WorldWideScience

Sample records for silicon oxide surface

  1. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    Science.gov (United States)

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  2. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  3. Laser direct writing of oxide structures on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Birkelund, Karen; Grey, Francois

    1996-01-01

    on amorphous and crystalline silicon surfaces in order to determine the depassivation mechanism. The minimum linewidth achieved is about 450 nm using writing speeds of up to 100 mm/s. The process is fully compatible with local oxidation of silicon by scanning probe lithography. Wafer-scale patterns can...

  4. A parametric study of laser induced ablation-oxidation on porous silicon surfaces

    International Nuclear Information System (INIS)

    De Stefano, Luca; Rea, Ilaria; Nigro, M Arcangela; Della Corte, Francesco G; Rendina, Ivo

    2008-01-01

    We have investigated the laser induced ablation-oxidation process on porous silicon layers having different porosities and thicknesses by non-destructive optical techniques. In particular, the interaction between a low power blue light laser and the porous silicon surfaces has been characterized by variable angle spectroscopic ellipsometry and Fourier transform infrared spectroscopy. The oxidation profiles etched on the porous samples can be tuned as functions of the layer porosity and laser fluence. Oxide stripes of width less than 2 μm and with thicknesses between 100 nm and 5 μm have been produced, depending on the porosity of the porous silicon, by using a 40 x focusing objective

  5. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  6. Anchoring of alkyl chain molecules on oxide surface using silicon alkoxide

    Energy Technology Data Exchange (ETDEWEB)

    Narita, Ayumi, E-mail: narita.ayumi@jaea.go.jp [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan); Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao; Hirao, Norie [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Yaita, Tsuyoshi [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan)

    2012-01-01

    Chemical states of the interfaces between octadecyl-triethoxy-silane (ODTS) molecules and sapphire surface were measured by X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure (NEXAFS) using synchrotron soft X-rays. The nearly self-assembled monolayer of ODTS was formed on the sapphire surface. For XPS and NEXAFS measurements, it was elucidated that the chemical bond between silicon alkoxide in ODTS and the surface was formed, and the alkane chain of ODTS locates upper side on the surface. As a result, it was elucidated that the silicon alkoxide is a good anchor for the immobilization of organic molecules on oxides.

  7. Improved the Surface Roughness of Silicon Nanophotonic Devices by Thermal Oxidation Method

    Energy Technology Data Exchange (ETDEWEB)

    Shi Zujun; Shao Shiqian; Wang Yi, E-mail: ywangwnlo@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, No. 1037, Luoyu Street, Wuhan 430074 (China)

    2011-02-01

    The transmission loss of the silicon-on-insulator (SOI) waveguide and the coupling loss of the SOI grating are determined to a large extent by the surface roughness. In order to obtain smaller loss, thermal oxidation is a good choice to reduce the surface roughness of the SOI waveguide and grating. Before the thermal oxidation, the root mean square of the surface roughness is over 11 nm. After the thermal oxidation, the SEM figure shows that the bottom of the grating is as smooth as quartz surface, while the AFM shows that the root mean square of the surface is less than 5 nm.

  8. Formation of silicon carbide by laser ablation in graphene oxide-N-methyl-2-pyrrolidone suspension on silicon surface

    Science.gov (United States)

    Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz

    2018-01-01

    Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction‌ (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy‌ (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV‌-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.

  9. Super-oxidation of silicon nanoclusters: magnetism and reactive oxygen species at the surface

    Energy Technology Data Exchange (ETDEWEB)

    Lepeshkin, Sergey; Baturin, Vladimir; Tikhonov, Evgeny; Matsko, Nikita; Uspenskii, Yurii; Naumova, Anastasia; Feya, Oleg; Schoonen, Martin A.; Oganov, Artem R.

    2016-01-01

    Oxidation of silicon nanoclusters depending on the temperature and oxygen pressure is explored from first principles using the evolutionary algorithm, and structural and thermodynamic analysis. From our calculations of 90 SinOm clusters we found that under normal conditions oxidation does not stop at the stoichiometric SiO2 composition, as it does in bulk silicon, but goes further placing extra oxygen atoms on the cluster surface. These extra atoms are responsible for light emission, relevant to reactive oxygen species and many of them are magnetic. We argue that the super-oxidation effect is size-independent and discuss its relevance to nanotechnology and miscellaneous applications, including biomedical ones.

  10. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  11. Surface and Core Electronic Structure of Oxidized Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    Noor A. Nama

    2010-01-01

    Full Text Available Ab initio restricted Hartree-Fock method within the framework of large unit cell formalism is used to simulate silicon nanocrystals between 216 and 1000 atoms (1.6–2.65 nm in diameter that include Bravais and primitive cell multiples. The investigated properties include core and oxidized surface properties. Results revealed that electronic properties converge to some limit as the size of the nanocrystal increases. Increasing the size of the core of a nanocrystal resulted in an increase of the energy gap, valence band width, and cohesive energy. The lattice constant of the core and oxidized surface parts shows a decreasing trend as the nanocrystal increases in a size that converges to 5.28 Ǻ in a good agreement with the experiment. Surface and core convergence to the same lattice constant reflects good adherence of oxide layer at the surface. The core density of states shows highly degenerate states that split at the oxygenated (001-(1×1 surface due to symmetry breaking. The nanocrystal surface shows smaller gap and higher valence and conduction bands when compared to the core part, due to oxygen surface atoms and reduced structural symmetry. The smaller surface energy gap shows that energy gap of the nanocrystal is controlled by the surface part. Unlike the core part, the surface part shows a descending energy gap that proves its obedience to quantum confinement effects. Nanocrystal geometry proved to have some influence on all electronic properties including the energy gap.

  12. Plasma surface oxidation of 316L stainless steel for improving adhesion strength of silicone rubber coating to metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Latifi, Afrooz, E-mail: afroozlatifi@yahoo.com [Department of Biomaterials, Biomedical Engineering Faculty, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Imani, Mohammad [Novel Drug Delivery Systems Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/115, Tehran (Iran, Islamic Republic of); Khorasani, Mohammad Taghi [Biomaterials Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/159, Tehran (Iran, Islamic Republic of); Daliri Joupari, Morteza [Animal and Marine Biotechnology Dept., National Institute of Genetic Engineering and Biotechnology, P.O. Box 14965/161, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • Stainless steel 316L was surface modified by plasma surface oxidation (PSO) and silicone rubber (SR) coating. • On the PSO substrates, concentration of oxide species was increased ca. 2.5 times comparing to non-PSO substrates. • The surface wettability was improved to 12.5°, in terms of water contact angle, after PSO. • Adhesion strength of SR coating on the PSO substrates was improved by more than two times comparing to non-PSO ones. • After pull-off test, the fractured area patterns for SR coating were dependent on the type of surface modifications received. - Abstract: Stainless steel 316L is one of the most widely used materials for fabricating of biomedical devices hence, improving its surface properties is still of great interest and challenging in biomaterial sciences. Plasma oxidation, in comparison to the conventional chemical or mechanical methods, is one of the most efficient methods recently used for surface treatment of biomaterials. Here, stainless steel specimens were surface oxidized by radio-frequency plasma irradiation operating at 34 MHz under pure oxygen atmosphere. Surface chemical composition of the samples was significantly changed after plasma oxidation by appearance of the chromium and iron oxides on the plasma-oxidized surface. A wettable surface, possessing high surface energy (83.19 mN m{sup −1}), was observed after plasma oxidation. Upon completion of the surface modification process, silicone rubber was spray coated on the plasma-treated stainless steel surface. Morphology of the silicone rubber coating was investigated by scanning electron microscopy (SEM). A uniform coating was formed on the oxidized surface with no delamination at polymer–metal interface. Pull-off tests showed the lowest adhesion strength of coating to substrate (0.12 MPa) for untreated specimens and the highest (0.89 MPa) for plasma-oxidized ones.

  13. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  14. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  15. Ellipsometry measurements of thickness of oxide and water layers on spherical and flat silicon surfaces

    International Nuclear Information System (INIS)

    Kenny, M.J.; Netterfield, R.; Wielunski, L.S.

    1998-01-01

    Full text: Ellipsometry has been used to measure the thickness of oxide layers on single crystal silicon surfaces, both flat and spherical and also to measure the extent of adsorption of moisture on the surface as a function of partial water vapour pressure. The measurements form part of an international collaborative project to make a precise determination of the Avogadro constant (ΔN A /N A -8 ) which will then be used to obtain an absolute definition of the kilogram, rather than one in terms of an artefact. Typically the native oxide layer on a cleaned silicon wafer is about 2 nm thick. On a polished sphere this oxide layer is typically 8 to 10 nm thick, the increased thickness being attributed to parameters related to the polishing process. Ellipsometry measurements on an 89 mm diameter polished silicon sphere at both VUW and CSIRO indicated a SiO 2 layer at 7 to 10 nm thick. It was observed that this thickness varied regularly. The crystal orientation of the sphere was determined using electron patterns generated from an electron microscope and the oxide layer was then measured through 180 arcs of great circles along (110) and (100) planes. It was observed that the thickness varied systematically with orientation. The minimum thickness was 7.4 nm at the axis (softest direction in silicon) and the greatest thickness was 9.5 nm at the axis (hardest direction in silicon). This is similar to an orientation dependent cubic pattern which has been observed to be superimposed on polished silicon spheres. At VUW, the sphere was placed in an evacuated bell jar and the ellipsometry signal was observed as the water vapour pressure was progressively increased up to saturation. The amount of water vapour adsorbed at saturation was one or two monolayers, indicating that the sphere does not wet

  16. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  17. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  18. Novel Size and Surface Oxide Effects in Silicon Nanowires as Lithium Battery Anodes

    KAUST Repository

    McDowell, Matthew T.

    2011-09-14

    With its high specific capacity, silicon is a promising anode material for high-energy lithium-ion batteries, but volume expansion and fracture during lithium reaction have prevented implementation. Si nanostructures have shown resistance to fracture during cycling, but the critical effects of nanostructure size and native surface oxide on volume expansion and cycling performance are not understood. Here, we use an ex situ transmission electron microscopy technique to observe the same Si nanowires before and after lithiation and have discovered the impacts of size and surface oxide on volume expansion. For nanowires with native SiO2, the surface oxide can suppress the volume expansion during lithiation for nanowires with diameters <∼50 nm. Finite element modeling shows that the oxide layer can induce compressive hydrostatic stress that could act to limit the extent of lithiation. The understanding developed herein of how volume expansion and extent of lithiation can depend on nanomaterial structure is important for the improvement of Si-based anodes. © 2011 American Chemical Society.

  19. Electronic detection of surface plasmon polaritons by metal-oxide-silicon capacitor

    Directory of Open Access Journals (Sweden)

    Robert E. Peale

    2016-09-01

    Full Text Available An electronic detector of surface plasmon polaritons (SPPs is reported. SPPs optically excited on a metal surface using a prism coupler are detected by using a close-coupled metal-oxide-silicon (MOS capacitor. Incidence-angle dependence is explained by Fresnel transmittance calculations, which also are used to investigate the dependence of photo-response on structure dimensions. Electrodynamic simulations agree with theory and experiment and additionally provide spatial intensity distributions on and off the SPP excitation resonance. Experimental dependence of the photoresponse on substrate carrier type, carrier concentration, and back-contact biasing is qualitatively explained by simple theory of MOS capacitors.

  20. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  1. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Derbali, L., E-mail: rayan.slat@yahoo.fr [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia); Ezzaouia, H. [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-08-01

    Highlights: Black-Right-Pointing-Pointer Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. Black-Right-Pointing-Pointer An efficient surface passivation can be obtained after thermal treatment of obtained films. Black-Right-Pointing-Pointer Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 Degree-Sign C. Vanadium pentoxide (V{sub 2}O{sub 5}) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 Degree-Sign C and 800 Degree-Sign C, under O{sub 2} atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  2. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2012-01-01

    Highlights: ► Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. ► An efficient surface passivation can be obtained after thermal treatment of obtained films. ► Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 °C. Vanadium pentoxide (V 2 O 5 ) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 °C and 800 °C, under O 2 atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  3. Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.

  4. Tunnel Oxides Formed by Field-Induced Anodisation for Passivated Contacts of Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Jingnan Tong

    2018-02-01

    Full Text Available Tunnel silicon oxides form a critical component for passivated contacts for silicon solar cells. They need to be sufficiently thin to allow carriers to tunnel through and to be uniform both in thickness and stoichiometry across the silicon wafer surface, to ensure uniform and low recombination velocities if high conversion efficiencies are to be achieved. This paper reports on the formation of ultra-thin silicon oxide layers by field-induced anodisation (FIA, a process that ensures uniform oxide thickness by passing the anodisation current perpendicularly through the wafer to the silicon surface that is anodised. Spectroscopical analyses show that the FIA oxides contain a lower fraction of Si-rich sub-oxides compared to wet-chemical oxides, resulting in lower recombination velocities at the silicon and oxide interface. This property along with its low temperature formation highlights the potential for FIA to be used to form low-cost tunnel oxide layers for passivated contacts of silicon solar cells.

  5. Surface effects in segmented silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kopsalis, Ioannis

    2017-05-15

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO{sub 2} layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO{sub 2} and at the Si-SiO{sub 2} interface. In this thesis the surface radiation damage of the Si-SiO{sub 2} system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO{sub 2} of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface

  6. Surface effects in segmented silicon sensors

    International Nuclear Information System (INIS)

    Kopsalis, Ioannis

    2017-05-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO 2 layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO 2 and at the Si-SiO 2 interface. In this thesis the surface radiation damage of the Si-SiO 2 system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO 2 of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO 2 ) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO 2 interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface radiation damage of silicon sensors.

  7. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  8. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  9. Oxidation under electron bombardment. A tool for studying the initial states of silicon oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Carriere, B.; Deville, J.P.; El Maachi, A.

    1987-06-01

    The exciting beam of an Auger electron spectrometer has been used to monitor the oxidation of silicon single crystals at room temperature and very low pressures of oxygen (approx. 10/sup -7/ Torr). This process allows us to build ultra-thin layers of silica on silicon (down to 30 A) but it is mostly used to investigate the mechanisms of the initial stages of oxidation. Auger spectra recorded continuously during the oxidation process provide information on (1) the nature of the silicon-oxygen chemical bonds which are interpreted through fine structure in the Auger peak, and (2) the kinetics of oxide formation which are deduced from curves of Auger signal versus time. An account is given of the contribution of these Auger studies to the description of the intermediate oxide layer during the reaction between silicon and oxygen and the influence of surface structural disorder, induced mainly by argon-ion bombardment, is discussed in terms of reactivity and oxide coverage.

  10. Oxidation of mullite-zirconia-alumina-silicon carbide composites

    International Nuclear Information System (INIS)

    Baudin, C.; Moya, J.S.

    1990-01-01

    This paper reports the isothermal oxidation of mullite-alumina-zirconia-silicon carbide composites obtained by reaction sintering studied in the temperature interval 800 degrees to 1400 degrees C. The kinetics of the oxidation process was related to the viscosity of the surface glassy layer as well as to the crystallization of the surface film. The oxidation kinetics was halted to T ≤ 1300 degrees C, presumably because of crystallization

  11. Influence of oxidation treatment on ballistic electron surface-emitting display of porous silicon

    International Nuclear Information System (INIS)

    Du, Wentao; Zhang, Xiaoning; Zhang, Yujuan; Wang, Wenjiang; Duan, Xiaotao

    2012-01-01

    Two groups of porous silicon (PS) samples are treated by rapid thermal oxidation (RTO) and electrochemical oxidation (ECO), respectively. Scanning electron microscopy images show that PS samples are segmented into two layers. Oxidized film layer is formed on the top surface of PS samples treated by RTO while at the bottom of PS samples treated by ECO. Both ECO and RTO treatment can make emission current density, diode current density, and emission efficiency of PS increase with the bias voltage increasing. The emission current density and the field emission enhancement factor β of PS sample treated by RTO are larger than that treated by ECO. The Fowler–Nordheim curves of RTO and ECO samples are linear which indicates that high electric field exists on the oxidized layer and field emission occurs whether PS is treated by RTO or ECO.

  12. On the growth of native oxides on hydrogen-terminated silicon surfaces in dark and under illumination with light

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Zinine, A.; Bankras, R.G.; Wormeester, Herbert; Poelsema, Bene; Schmitz, Jurriaan

    2006-01-01

    After a cleaning procedure, a silicon surface can be terminated by Si-OH groups which results in a high chemical activity. As it is accepted, after removing the wet-chemically grown oxide layer using an HF solution, the surface becomes terminated with Si-H groups. This results in a chemically stable

  13. Surface Area, and Oxidation Effects on Nitridation Kinetics of Silicon Powder Compacts

    Science.gov (United States)

    Bhatt, R. T.; Palczer, A. R.

    1998-01-01

    Commercially available silicon powders were wet-attrition-milled from 2 to 48 hr to achieve surface areas (SA's) ranging from 1.3 to 70 sq m/g. The surface area effects on the nitridation kinetics of silicon powder compacts were determined at 1250 or 1350 C for 4 hr. In addition, the influence of nitridation environment, and preoxidation on nitridation kinetics of a silicon powder of high surface area (approximately equals 63 sq m/g) was investigated. As the surface area increased, so did the percentage nitridation after 4 hr in N2 at 1250 or 1350 C. Silicon powders of high surface area (greater than 40 sq m/g) can be nitrided to greater than 70% at 1250 C in 4 hr. The nitridation kinetics of the high-surface-area powder compacts were significantly delayed by preoxidation treatment. Conversely, the nitridation environment had no significant influence on the nitridation kinetics of the same powder. Impurities present in the starting powder, and those accumulated during attrition milling, appeared to react with the silica layer on the surface of silicon particles to form a molten silicate layer, which provided a path for rapid diffusion of nitrogen and enhanced the nitridation kinetics of high surface area silicon powder.

  14. Recent progress in the development and understanding of silicon surface passivation by aluminum oxide for photovoltaics

    NARCIS (Netherlands)

    Dingemans, G.; Kessels, W.M.M.

    2010-01-01

    In the recent years, considerable progress has been made in the understanding of the unique silicon surface passivation properties of aluminum oxide (Al2O3) films including its underlying mechanisms. Containing a high fixed negative charge density located close to the Si interface, Al2O3 provides a

  15. The influence of initial defects on mechanical stress and deformation distribution in oxidized silicon

    Directory of Open Access Journals (Sweden)

    Kulinich O. A.

    2008-10-01

    Full Text Available The near-surface silicon layers in silicon – dioxide silicon systems with modern methods of research are investigated. It is shown that these layers have compound structure and their parameters depend on oxidation and initial silicon parameters. It is shown the influence of initial defects on mechanical stress and deformation distribution in oxidized silicon.

  16. Determining the thickness of aliphatic alcohol monolayers covalently attached to silicon oxide surfaces using angle-resolved X-ray photoelectron spectroscopy

    Science.gov (United States)

    Lee, Austin W. H.; Kim, Dongho; Gates, Byron D.

    2018-04-01

    The thickness of alcohol based monolayers on silicon oxide surfaces were investigated using angle-resolved X-ray photoelectron spectroscopy (ARXPS). Advantages of using alcohols as building blocks for the formation of monolayers include their widespread availability, ease of handling, and stability against side reactions. Recent progress in microwave assisted reactions demonstrated the ease of forming uniform monolayers with alcohol based reagents. The studies shown herein provide a detailed investigation of the thickness of monolayers prepared from a series of aliphatic alcohols of different chain lengths. Monolayers of 1-butanol, 1-hexanol, 1-octanol, 1-decanol, and 1-dodecanol were each successfully formed through microwave assisted reactions and characterized by ARXPS techniques. The thickness of these monolayers consistently increased by ∼1.0 Å for every additional methylene (CH2) within the hydrocarbon chain of the reagents. Tilt angles of the molecules covalently attached to silicon oxide surfaces were estimated to be ∼35° for each type of reagent. These results were consistent with the observations reported for thiol based or silane based monolayers on either gold or silicon oxide surfaces, respectively. The results of this study also suggest that the alcohol based monolayers are uniform at a molecular level.

  17. Experimental study of nucleate pool boiling heat transfer of water on silicon oxide nanoparticle coated copper heating surface

    International Nuclear Information System (INIS)

    Das, Sudev; Kumar, D.S.; Bhaumik, Swapan

    2016-01-01

    Highlights: • EBPVD approach was employed for fabrication of well-ordered nanoparticle coated micro/nanostructure on metal surface. • Nucleate boiling heat transfer performance on nanoparticle coated micro/nanostructure surface was experimentally studied. • Stability of nanoparticle coated surface under boiling environment was systematically studied. • 58% enhancement of boiling heat transfer coefficient was found. • Present experimental results are validated with well known boiling correlations. - Abstract: Electron beam physical vapor deposition (EBPVD) coating approach was employed for fabrication of well-ordered of nanoparticle coated micronanostructures on metal surfaces. This paper reports the experimental study of augmentation of pool boiling heat transfer performance and stabilities of silicon oxide nanoparticle coated surfaces with water at atmospheric pressure. The surfaces were characterized with respect to dynamic contact angle, surface roughness, topography, and morphology. The results were found that there is a reduction of about 36% in the incipience superheat and 58% enhancement in heat transfer coefficient for silicon oxide coated surface over the untreated surface. This enhancement might be the reason of enhanced wettability, enhanced surface roughness and increased number of a small artificial cavity on a heating surface. The performance and stability of nanoparticle coated micro/nanostructure surfaces were examined and found that after three runs of experiment the heat transfer coefficient with heat flux almost remain constant.

  18. Passivating electron contact based on highly crystalline nanostructured silicon oxide layers for silicon solar cells

    Czech Academy of Sciences Publication Activity Database

    Stuckelberger, J.; Nogay, G.; Wyss, P.; Jeangros, Q.; Allebe, Ch.; Debrot, F.; Niquille, X.; Ledinský, Martin; Fejfar, Antonín; Despeisse, M.; Haug, F.J.; Löper, P.; Ballif, C.

    2016-01-01

    Roč. 158, Dec (2016), s. 2-10 ISSN 0927-0248 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : surface passivation * passivating contact * nanostructure * silicon oxide * nanocrystalline * microcrystalline * poly-silicon * crystallization * Raman * transmission line measurement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.784, year: 2016

  19. Oxidation of hydrogen-passivated silicon surfaces by scanning near-field optical lithography using uncoated and aluminum-coated fiber probes

    DEFF Research Database (Denmark)

    Madsen, Steen; Bozhevolnyi, Sergey I.; Birkelund, Karen

    1997-01-01

    Optically induced oxidation of hydrogen-passivated silicon surfaces using a scanning near-field optical microscope was achieved with both uncoated and aluminum-coated fiber probes. Line scans on amorphous silicon using uncoated fiber probes display a three-peak profile after etching in potassium...... hydroxide. Numerical simulations of the electromagnetic field around the probe-sample interaction region are used to explain the experimental observations. With an aluminum-coated fiber probe, lines of 35 nm in width were transferred into the amorphous silicon layer. (C) 1997 American Institute of Physics....

  20. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  1. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  2. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  3. Mechanical grooving of oxidized porous silicon to reduce the reflectivity of monocrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zarroug, A.; Dimassi, W.; Ouertani, R.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre des Recherches et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    In this work, we are interested to use oxidized porous silicon (ox-PS) as a mask. So, we display the creating of a rough surface which enhances the absorption of incident light by solar cells and reduces the reflectivity of monocrystalline silicon (c-Si). It clearly can be seen that the mechanical grooving enables us to elaborate the texturing of monocrystalline silicon wafer. Results demonstrated that the application of a PS layer followed by a thermal treatment under O2 ambient easily gives us an oxide layer of uniform size which can vary from a nanometer to about ten microns. In addition, the Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer illustrates the possibility to realize oxide layer as a mask for porous silicon. We found also that this simple and low cost method decreases the total reflectivity (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  5. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  6. Preservation of atomically clean silicon surfaces in air by contact bonding

    DEFF Research Database (Denmark)

    Grey, Francois; Ljungberg, Karin

    1997-01-01

    When two hydrogen-passivated silicon surfaces are placed in contact under cleanroom conditions, a weak bond is formed. Cleaving this bond under ultrahigh vacuum (UHV) conditions, and observing the surfaces with low energy electron diffraction and scanning tunneling microscopy, we find that the or...... reconstruction from oxidation in air, Contact bonding opens the way to novel applications of reconstructed semiconductor surfaces, by preserving their atomic structure intact outside of a UHV chamber. (C) 1997 American Institute of Physics.......When two hydrogen-passivated silicon surfaces are placed in contact under cleanroom conditions, a weak bond is formed. Cleaving this bond under ultrahigh vacuum (UHV) conditions, and observing the surfaces with low energy electron diffraction and scanning tunneling microscopy, we find...... that the ordered atomic structure of the surfaces is protected from oxidation, even after the bonded samples have been in air for weeks. Further, we show that silicon surfaces that have been cleaned and hydrogen-passivated in UHV can be contacted in UHV in a similarly hermetic fashion, protecting the surface...

  7. Atomic force microscopy-based repeated machining theory for nanochannels on silicon oxide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Z.Q., E-mail: wangzhiqian@sia.cn [State Key Laboratory of Robotics, Shenyang Institute of Automation, CAS, Shenyang 110016 (China); Graduate University of the Chinese Academy of Sciences, Beijing 100049 (China); Jiao, N.D. [State Key Laboratory of Robotics, Shenyang Institute of Automation, CAS, Shenyang 110016 (China); Tung, S. [Department of Mechanical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Dong, Z.L. [State Key Laboratory of Robotics, Shenyang Institute of Automation, CAS, Shenyang 110016 (China)

    2011-02-01

    The atomic force microscopy (AFM)-based repeated nanomachining of nanochannels on silicon oxide surfaces is investigated both theoretically and experimentally. The relationships of the initial nanochannel depth vs. final nanochannel depth at a normal force are systematically studied. Using the derived theory and simulation results, the final nanochannel depth can be predicted easily. Meanwhile, if a nanochannel with an expected depth needs to be machined, a right normal force can be selected simply and easily in order to decrease the wear of the AFM tip. The theoretical analysis and simulation results can be effectively used for AFM-based fabrication of nanochannels.

  8. Fluorescence studies of Rhodamine 6G functionalized silicon oxide nanostructures

    International Nuclear Information System (INIS)

    Baumgaertel, Thomas; Borczyskowski, Christian von; Graaf, Harald

    2010-01-01

    Selective anchoring of optically active molecules on nanostructured surfaces is a promising step towards the creation of nanoscale devices with new functionalities. Recently we have demonstrated the electrostatic attachment of charged fluorescent molecules on silicon oxide nanostructures prepared by atomic force microscopy (AFM) nanolithography via local anodic oxidation (LAO) of dodecyl-terminated silicon. In this paper we report on our findings from a more detailed optical investigation of the bound dye Rhodamine 6G. High sensitivity optical wide field microscopy as well as confocal laser microscopy have been used to characterize the Rhodamine fluorescence emission. A highly interesting question concerns the interaction between an emitter close to a silicon surface because mechanisms such as energy transfer and fluorescence quenching will occur which are still not fully understood. Since the oxide thickness can be varied during preparation continuously from 1 to ∼ 5 nm, it is possible to investigate the fluorescence of the bound dye in close proximity to the underlying silicon. Using confocal laser microscopy we were also able to obtain optical spectra from the bound molecules. Together with the results from an analysis of their photochemical bleaching behaviour, we conjecture that some of the Rhodamine 6G molecules on the structure are interacting with the oxide, causing a spectral shift and differences in their photochemical properties.

  9. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  10. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  11. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  12. Role of oxygen in surface segregation of metal impurities in silicon poly- and bicrystals

    Energy Technology Data Exchange (ETDEWEB)

    Amarray, E.; Deville, J.P.

    1987-07-01

    Metal impurities at surfaces of polycrystalline silicon ribbons have been characterized by surface sensitive methods. Oxygen and heat treatments were found to be a driving force for surface segregation of these impurities. To better analyse their influence and their possible incidence in gettering, model studies were undertaken on Czochralski grown silicon bicrystals. Two main factors of surface segregation have been studied: the role of an ultra-thin oxide layer and the effect of heat treatments. The best surface purification was obtained after an annealing process at 750/sup 0/C of a previously oxidized surface at 450/sup 0/C. This was related to the formation of SiO clusters, followed by a coalescence of SiO/sub 4/ units leading to the subsequent injection of silicon self-interstitials in the lattice.

  13. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    OpenAIRE

    Lee, Youngseok; Oh, Woongkyo; Dao, Vinh Ai; Hussain, Shahzada Qamar; Yi, Junsin

    2012-01-01

    It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT) solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO) process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0) which improves the efficie...

  14. Regularities of radiation defects build up on oxide materials surface

    International Nuclear Information System (INIS)

    Bitenbaev, M.I.; Polyakov, A.I.; Tuseev, T.

    2005-01-01

    Analysis of experimental data by radiation defects study on different oxide elements (silicon, beryllium, aluminium, rare earth elements) irradiated by the photo-, gamma-, neutron-, alpha- radiation, protons and helium ions show, that gas adsorption process on the surface centers and radiation defects build up in metal oxide correlated between themselves. These processes were described by the equivalent kinetic equations for analysis of radiation defects build up in the different metal oxides. It was revealed in the result of the analysis: number of radiation defects are droningly increasing up to limit value with the treatment temperature growth. Constant of radicals death at ionizing radiation increases as well. Amount of surface defects in different oxides defining absorbing activity of these materials looks as: silicon oxide→beryllium oxide→aluminium oxide. So it was found, that most optimal material for absorbing system preparation is silicon oxide by it power intensity and berylium oxide by it adsorption efficiency

  15. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  16. Density of oxidation-induced stacking faults in damaged silicon

    NARCIS (Netherlands)

    Kuper, F.G.; Hosson, J.Th.M. De; Verwey, J.F.

    1986-01-01

    A model for the relation between density and length of oxidation-induced stacking faults on damaged silicon surfaces is proposed, based on interactions of stacking faults with dislocations and neighboring stacking faults. The model agrees with experiments.

  17. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    Science.gov (United States)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  18. Self-cleaning glass coating containing titanium oxide and silicon

    International Nuclear Information System (INIS)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P.

    2009-01-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  19. Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy

    International Nuclear Information System (INIS)

    Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.

    2011-01-01

    Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.

  20. Detailed statistical contact angle analyses; "slow moving" drops on inclining silicon-oxide surfaces.

    Science.gov (United States)

    Schmitt, M; Groß, K; Grub, J; Heib, F

    2015-06-01

    Contact angle determination by sessile drop technique is essential to characterise surface properties in science and in industry. Different specific angles can be observed on every solid which are correlated with the advancing or the receding of the triple line. Different procedures and definitions for the determination of specific angles exist which are often not comprehensible or reproducible. Therefore one of the most important things in this area is to build standard, reproducible and valid methods for determining advancing/receding contact angles. This contribution introduces novel techniques to analyse dynamic contact angle measurements (sessile drop) in detail which are applicable for axisymmetric and non-axisymmetric drops. Not only the recently presented fit solution by sigmoid function and the independent analysis of the different parameters (inclination, contact angle, velocity of the triple point) but also the dependent analysis will be firstly explained in detail. These approaches lead to contact angle data and different access on specific contact angles which are independent from "user-skills" and subjectivity of the operator. As example the motion behaviour of droplets on flat silicon-oxide surfaces after different surface treatments is dynamically measured by sessile drop technique when inclining the sample plate. The triple points, the inclination angles, the downhill (advancing motion) and the uphill angles (receding motion) obtained by high-precision drop shape analysis are independently and dependently statistically analysed. Due to the small covered distance for the dependent analysis (contact angle determination. They are characterised by small deviations of the computed values. Additional to the detailed introduction of this novel analytical approaches plus fit solution special motion relations for the drop on inclined surfaces and detailed relations about the reactivity of the freshly cleaned silicon wafer surface resulting in acceleration

  1. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  2. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    Science.gov (United States)

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  3. Ion-step method for surface potential sensing of silicon nanowires

    NARCIS (Netherlands)

    Chen, S.; van Nieuwkasteele, Jan William; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    This paper presents a novel stimulus-response method for surface potential sensing of silicon nanowire (Si NW) field-effect transistors. When an "ion-step" from low to high ionic strength is given as a stimulus to the gate oxide surface, an increase of double layer capacitance is therefore expected.

  4. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  5. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  6. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    Science.gov (United States)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  7. Characterisation and stabilisation of the surface region of a highly polished silicon crystal sphere

    International Nuclear Information System (INIS)

    Kenny, M.J.; Wielunski, L.S.

    1999-01-01

    Full text: Typically a single crystal silicon wafer has a native oxide layer a few nm thick which changes slowly with time. A number of parameters such as hydrocarbons, water vapour, storage environment can affect this layer. The thickness of the layer is also orientation dependent. In the case of a silicon sphere the situation becomes more complex, because all orientations are present and the process of polishing involves a higher pressure and also high local temperatures. A highly polished single crystal sphere 93.6 mm in diameter is being used to determine the Avogadro constant with an uncertainty of ≤ 1 x 10 -8 . This will then be used to obtain an atomic definition of the kilogram. The composition and structure of the surface oxide layer play an important role in this measurement. Firstly the density of the oxide layer is different from that of silicon. Secondly since the diameter is measured by optical interferometry, corrections must be applied for the phase change in the reflected light beam due to the surface layer. Thirdly the orientation dependence of the layer complicated the corrections to be applied. Fourthly if measurements are made over a period of time, any changes in the surface layer must be taken into account. Given the accuracy required in the determination, the surface layer is a determining factor in the final result. A number of techniques such as spectroscopic ellipsometry and ion beam analysis are being used to study the composition and structure of the surface of a silicon sphere. Cleaning techniques such as HF and low temperature ultraviolet (ozone) are being developed to produce a clean surface. The next step involves deposition of a stable and uniform surface oxide layer a few nm thick. Techniques being investigated for this include ultra violet ozone deposition at 450 deg C and plasma deposition. The paper describes work at the NML in achieving an appropriate stable surface on the silicon sphere

  8. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  9. Field oxide radiation damage measurements in silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Laakso, M [Particle Detector Group, Fermilab, Batavia, IL (United States) Research Inst. for High Energy Physics (SEFT), Helsinki (Finland); Singh, P; Shepard, P F [Dept. of Physics and Astronomy, Univ. Pittsburgh, PA (United States)

    1993-04-01

    Surface radiation damage in planar processed silicon detectors is caused by radiation generated holes being trapped in the silicon dioxide layers on the detector wafer. We have studied charge trapping in thick (field) oxide layers on detector wafers by irradiating FOXFET biased strip detectors and MOS test capacitors. Special emphasis was put on studying how a negative bias voltage across the oxide during irradiation affects hole trapping. In addition to FOXFET biased detectors, negatively biased field oxide layers may exist on the n-side of double-sided strip detectors with field plate based n-strip separation. The results indicate that charge trapping occurred both close to the Si-SiO[sub 2] interface and in the bulk of the oxide. The charge trapped in the bulk was found to modify the electric field in the oxide in a way that leads to saturation in the amount of charge trapped in the bulk when the flatband/threshold voltage shift equals the voltage applied over the oxide during irradiation. After irradiation only charge trapped close to the interface is annealed by electrons tunneling to the oxide from the n-type bulk. (orig.).

  10. Metal/silicon Interfaces and Their Oxidation Behavior - Photoemission Spectroscopy Analysis.

    Science.gov (United States)

    Yeh, Jyh-Jye

    Synchrotron radiation photoemission spectroscopy was used to study Ni/Si and Au/Si interface properties on the atomic scale at room temperature, after high temperature annealing and after oxygen exposures. Room temperature studies of metal/Si interfaces provide background for an understanding of the interface structure after elevated temperature annealing. Oxidation studies of Si surfaces covered with metal overlayers yield insight about the effect of metal atoms in the Si oxidation mechanisms and are useful in the identification of subtle differences in bonding relations between atoms at the metal/Si interfaces. Core level and valence band spectra with variable surface sensitivities were used to study the interactions between metal, Si, and oxygen for metal coverages and oxide thickness in the monolayer region. Interface morphology at the initial stage of metal/Si interface formation and after oxidation was modeled on the basis of the evolutions of metal and Si signals at different probing depths in the photoemission experiment. Both Ni/Si and Au/Si interfaces formed at room temperature have a diffusive region at the interface. This is composed of a layer of metal-Si alloy, formed by Si outdiffusion into the metal overlayer, above a layer of interstitial metal atoms in the Si substrate. Different atomic structures of these two regions at Ni/Si interface can account for the two different growth orientations of epitaxial Ni disilicides on the Si(111) surface after thermal annealing. Annealing the Au/Si interface at high temperature depletes all the Au atoms except for one monolayer of Au on the Si(111) surface. These phenomena are attributed to differences in the metal-Si chemical bonding relations associated with specific atomic structures. After oxygen exposures, both the Ni disilicide surface and Au covered Si surfaces (with different coverages and surface orderings) show silicon in higher oxidation states, in comparison to oxidized silicon on a clean surface

  11. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  12. Characterization of Al2O3 surface passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Albadri, Abdulrahman M.

    2014-01-01

    A study of the passivation of silicon surface by aluminum oxide (Al 2 O 3 ) is reported. A correlation of fixed oxide charge density (Q f ) and interface trap density (D it ) on passivation efficiency is presented. Low surface recombination velocity (SRV) was obtained even by as-deposited Al 2 O 3 films and this was found to be associated to the passivation of interface states. Fourier transfer infrared spectroscopy spectra show the existence of an interfacial silicon oxide thin layer in both as-deposited and annealed Al 2 O 3 films. Q f is found positive in as-deposited films and changing to negative upon subsequent annealing, providing thus an enhancement of the passivation in p-type silicon wafers, associated to field effects. Secondary ion mass spectrometry analysis confirms the correlation between D it and hydrogen concentration at the Al 2 O 3 /Si interface. A lowest SRV of 15 cm/s was obtained after an anneal at 400 °C in nitrogen atmosphere. - Highlights: • Al 2 O 3 provides superior passivation for silicon surfaces. • Atomic layer deposition-Al 2 O 3 was deposited at a low temperature of 200 °C. • A lowest surface passivation velocity of 15 cm/s was obtained after an anneal at 400 °C in nitrogen. • As-deposited Al 2 O 3 films form very thin SiO 2 layer responsible of low interface trap densities. • High negative fixed charge density of (− 2 × 10 12 cm −2 ) was achieved upon annealing at 400 °C

  13. Hydrogen Incorporation during Aluminium Anodisation on Silicon Wafer Surfaces

    International Nuclear Information System (INIS)

    Lu, Pei Hsuan Doris; Strutzberg, Hartmuth; Wenham, Stuart; Lennon, Alison

    2014-01-01

    Hydrogen can act to reduce recombination at silicon surfaces for solar cell devices and consequently the ability of dielectric layers to provide a source of hydrogen for this purpose is of interest. However, due to the ubiquitous nature of hydrogen and its mobility, direct measurements of hydrogen incorporation in dielectric layers are challenging. In this paper, we report the use of secondary ion mass spectrometry measurements to show that deuterium from an electrolyte can be incorporated in an anodic aluminium oxide (AAO) layer and be introduced into an underlying amorphous silicon layer during anodisation of aluminium on silicon wafers. After annealing at 400 °C, the concentration of deuterium in the AAO was reduced by a factor of two, as the deuterium was re-distributed to the interface between the amorphous silicon and AAO and to the amorphous silicon. The assumption that hydrogen, from an aqueous electrolyte, could be similarly incorporated in AAO, is supported by the observation that the hydrogen content in the underlying amorphous silicon was increased by a factor of ∼ 3 after anodisation. Evidence for hydrogen being introduced into crystalline silicon after aluminium anodisation was provided by electrochemical capacitance voltage measurements indicating boron electrical deactivation in the underlying crystalline silicon. If introduced hydrogen can electrically deactivate dopant atoms at the surface, then it is reasonable to assume that it could also deactivate recombination-active states at the crystalline silicon interface therefore enabling higher minority carrier lifetimes in the silicon wafer

  14. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  15. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  16. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    International Nuclear Information System (INIS)

    Nguyen Minh, Quyen; Pujari, Sidharam P.; Wang, Bin; Wang, Zhanhua; Haick, Hossam; Zuilhof, Han; Rijn, Cees J.M. van

    2016-01-01

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH 2 ) 6 C 8 H 17−x F x ; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C 16 H 30−x F x ) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  17. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  18. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  19. Influence of acetylcholinesterase immobilization on the photoluminescence properties of mesoporous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Saleem, Muhammad [Department of Chemistry, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of); Rafiq, Muhammad; Seo, Sung-Yum [Department of Biology, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of); Lee, Ki Hwan, E-mail: khlee@kongju.ac.kr [Department of Chemistry, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of)

    2014-07-01

    Acetylcholinesterase immobilized p-type porous silicon surface was prepared by covalent attachment. The immobilization procedure was based on support surface chemical oxidation, silanization, surface activation with cyanuric chloride and finally covalent attachment of free enzyme on the cyanuric chloride activated porous silicon surface. Different pore diameter of porous silicon samples were prepared by electrochemical etching in HF based electrolyte solution and appropriate sample was selected suitable for enzyme immobilization with maximum trapping ability. The surface modification was studied through field emission scanning electron microscope, EDS, FT-IR analysis, and photoluminescence measurement by utilizing the fluctuation in the photoluminescence of virgin and enzyme immobilized porous silicon surface. Porous silicon showed strong photoluminescence with maximum emission at 643 nm and immobilization of acetylcholinesterase on porous silicon surface cause considerable increment on the photoluminescence of porous silicon material while acetylcholinesterase free counterpart did not exhibit any fluorescence in the range of 635–670 nm. The activities of the free and immobilized enzymes were evaluated by spectrophotometric method by using neostigmine methylsulfate as standard enzyme inhibitor. The immobilized enzyme exhibited considerable response toward neostigmine methylsulfate in a dose dependent manner comparable with that of its free counterpart alongside enhanced stability, easy separation from the reaction media and significant saving of enzyme. It was believed that immobilized enzyme can be exploited in organic and biomolecule synthesis possessing technical and economical prestige over free enzyme and prominence of easy separation from the reaction mixture.

  20. Influence of acetylcholinesterase immobilization on the photoluminescence properties of mesoporous silicon surface

    International Nuclear Information System (INIS)

    Saleem, Muhammad; Rafiq, Muhammad; Seo, Sung-Yum; Lee, Ki Hwan

    2014-01-01

    Acetylcholinesterase immobilized p-type porous silicon surface was prepared by covalent attachment. The immobilization procedure was based on support surface chemical oxidation, silanization, surface activation with cyanuric chloride and finally covalent attachment of free enzyme on the cyanuric chloride activated porous silicon surface. Different pore diameter of porous silicon samples were prepared by electrochemical etching in HF based electrolyte solution and appropriate sample was selected suitable for enzyme immobilization with maximum trapping ability. The surface modification was studied through field emission scanning electron microscope, EDS, FT-IR analysis, and photoluminescence measurement by utilizing the fluctuation in the photoluminescence of virgin and enzyme immobilized porous silicon surface. Porous silicon showed strong photoluminescence with maximum emission at 643 nm and immobilization of acetylcholinesterase on porous silicon surface cause considerable increment on the photoluminescence of porous silicon material while acetylcholinesterase free counterpart did not exhibit any fluorescence in the range of 635–670 nm. The activities of the free and immobilized enzymes were evaluated by spectrophotometric method by using neostigmine methylsulfate as standard enzyme inhibitor. The immobilized enzyme exhibited considerable response toward neostigmine methylsulfate in a dose dependent manner comparable with that of its free counterpart alongside enhanced stability, easy separation from the reaction media and significant saving of enzyme. It was believed that immobilized enzyme can be exploited in organic and biomolecule synthesis possessing technical and economical prestige over free enzyme and prominence of easy separation from the reaction mixture.

  1. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  2. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  3. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    Science.gov (United States)

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  4. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  5. Evaluation of hydrogen and oxygen impurity levels on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kenny, M.J.; Wielunski, L.S.; Netterfield, R.P.; Martin, P.J.; Leistner, A. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1996-12-31

    This paper reports on surface analytical techniques used to quantify surface concentrations of impurities such as oxygen and hydrogen. The following analytical techniques were used: Rutherford and Backscattering, elastic recoil detection, time-of-flight SIMS, spectroscopic ellipsometry, x-ray photoelectron spectroscopy. The results have shown a spread in thickness of oxide layer, ranging from unmeasurable to 1.6 nm. The data must be considered as preliminary at this stage, but give some insight into the suitability of the techniques and a general idea of the significance of impurities at the monolayer level. These measurements have been carried out on a small number of silicon surfaces both semiconductor grade <111> crystalline material and silicon which has been used in sphere fabrication. 5 refs., 1 fig.

  6. Evaluation of hydrogen and oxygen impurity levels on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kenny, M J; Wielunski, L S; Netterfield, R P; Martin, P J; Leistner, A [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1997-12-31

    This paper reports on surface analytical techniques used to quantify surface concentrations of impurities such as oxygen and hydrogen. The following analytical techniques were used: Rutherford and Backscattering, elastic recoil detection, time-of-flight SIMS, spectroscopic ellipsometry, x-ray photoelectron spectroscopy. The results have shown a spread in thickness of oxide layer, ranging from unmeasurable to 1.6 nm. The data must be considered as preliminary at this stage, but give some insight into the suitability of the techniques and a general idea of the significance of impurities at the monolayer level. These measurements have been carried out on a small number of silicon surfaces both semiconductor grade <111> crystalline material and silicon which has been used in sphere fabrication. 5 refs., 1 fig.

  7. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen Minh, Quyen [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Nanosens, IJsselkade 7, 7201 HB Zutphen (Netherlands); Pujari, Sidharam P. [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Wang, Bin [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Wang, Zhanhua [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Haick, Hossam [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Zuilhof, Han [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Rijn, Cees J.M. van, E-mail: cees.vanrijn@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands)

    2016-11-30

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH{sub 2}){sub 6}C{sub 8}H{sub 17−x}F{sub x}; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C{sub 16}H{sub 30−x}F{sub x}) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  8. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  9. Chemical modification of silicon surfaces for the application in soft lithography

    Energy Technology Data Exchange (ETDEWEB)

    Gilles, S.

    2007-05-15

    The objective of this work was to chemically modify silicon surfaces by anchoring functional molecules. A major part was devoted to the investigation and improvement of the self-assembly process of organosilanes on oxidized silicon surfaces. The formation of a release agent layer with perfluorinated alkylsilanes was performed by vapor phase deposition. An advanced vapor phase deposition device, called CASINO device, was built to enhance the qualities of the thin films. It is possible to carry out cleaning and silanization in a closed chamber without exposing the samples to air in between. Thereby surface contamination is avoided. Experiments with the new device were performed following examples given in literature. To optimize the silanization process in the CASINO device, it was also planned to apply heat treatment of the sample during or after the deposition process. Surface layers of thiolterminated and of aminoterminated molecules were investigated as adhesive layer for the linkage of metal structures to silicon surfaces, e.g. Shuttle-Transfer Printing with gold crossbar electrodes. First, thiol- and aminoterminated organosilane SAMs were tested as adhesive layers for gold. The surface modified with thiolterminated silane molecules was further examined. Adhesion was promoted only after heat treatment of a thiolmodified silicon substrate with a gold layer on top. (orig.)

  10. Covalent and stable CuAAC modification of silicon surfaces for control of cell adhesion

    DEFF Research Database (Denmark)

    Vutti, Surendra; Buch-Månson, Nina; Schoffelen, Sanne

    2015-01-01

    in the vapor or liquid phase. In this work, we compared these two methods for oxidized silicon surfaces and thoroughly characterized the functionalization steps by tagging and fluorescence imaging. We demonstrate that the vapor-phase functionalization only provided transient surface modification that was lost...... on extensive washing. For stable surface modification, a liquid-phase method was developed. In this method, silicon wafers were decorated with azides, either by silanization with (3-azidopropyl)triethoxysilane or by conversion of the amine groups of an aminopropylated surface by means of the azido...

  11. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  12. Hydrogen, oxygen and hydroxyl on porous silicon surface: A joint density-functional perturbation theory and infrared spectroscopy approach

    International Nuclear Information System (INIS)

    Alfaro, Pedro; Palavicini, Alessio; Wang, Chumin

    2014-01-01

    Based on the density functional perturbation theory (DFPT), infrared absorption spectra of porous silicon are calculated by using an ordered pore model, in which columns of silicon atoms are removed along the [001] direction and dangling bonds are initially saturated with hydrogen atoms. When these atoms on the pore surface are gradually replaced by oxygen ones, the ab-initio infrared absorption spectra reveal oxygen, hydroxyl, and coupled hydrogen–oxygen vibrational modes. In a parallel way, freestanding porous silicon samples were prepared by using electrochemical etching and they were further thermally oxidized in a dry oxygen ambient. Fourier transform infrared spectroscopy was used to investigate the surface modifications caused by oxygen adsorption. In particular, the predicted hydroxyl and oxygen bound to the silicon pore surface are confirmed. Finally, a global analysis of measured transmittance spectra has been performed by means of a combined DFPT and thin-film optics approach. - Highlights: • The density functional perturbation theory is used to study infrared absorption. • An ordered pore model is used to investigate the oxidation in porous silicon (PSi). • Infrared transmittance spectra of oxidized PSi freestanding samples are measured

  13. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  14. Formation of silicon Oxide nano thickness on Si (III) with the assistance of Cs

    International Nuclear Information System (INIS)

    Bahari, A.; Bagheri, M.

    2006-01-01

    : The possibility of controlling the growth of a uniform ultra thin oxide on silicon via oxygen dosing at low temperatures, would be a great interest for the projected further development of nano electronics. One way to achieve this is to be able to control the conversion of chemically adsorbed oxygen and retained at room temperature into oxide during subsequent heating. Oxygen is chemisorbed at room temperature on Si(111) surface to saturation ( >100 L O 2 ), and the experimental chamber is then evacuated. This leaves adsorbed oxygen as atomically inserted on Si surface which sits on the back bonds. This surface is then used as a base for further processing which in one case consists of annealing to 600- 700 d eg C and subsequent exposures equivalent to the first step. This is repeated again. As the focus of this work, a series of experiments are done with adsorbed Cs, which assists in retaining oxygen and in transforming the adsorbed oxygen into oxide upon heating. It was found that the oxide formed on the surface at low coverage clusters. Without any external influence, the clusters may be made to coalesce upon further oxygen adsorption at room temperature, and annealing terminates as a continuous monolayer of amorphous oxide on top of a well-ordered silicon substrate. This configuration is inert to further uptake of oxygen. A higher oxide thickness could be obtained with Cs. Also in this case, the oxide growth saturates in an inert oxide Iayer

  15. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  16. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  17. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    Science.gov (United States)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  18. Recovery of indium-tin-oxide/silicon heterojunction solar cells by thermal annealing

    OpenAIRE

    Morales Vilches, Ana Belén; Voz Sánchez, Cristóbal; Colina Brito, Mónica Alejandra; López Rodríguez, Gema; Martín García, Isidro; Ortega Villasclaras, Pablo Rafael; Orpella García, Alberto; Alcubilla González, Ramón

    2014-01-01

    The emitter of silicon heterojunction solar cells consists of very thin hydrogenated amorphous silicon layers deposited at low temperature. The high sheet resistance of this type of emitter requires a transparent conductive oxide layer, which also acts as an effective antireflection coating. The deposition of this front electrode, typically by Sputtering, involves a relatively high energy ion bombardment at the surface that could degrade the emitter quality. The work function of the tra...

  19. Thermal processing and native oxidation of silicon nanoparticles

    International Nuclear Information System (INIS)

    Winters, Brandon J.; Holm, Jason; Roberts, Jeffrey T.

    2011-01-01

    In this study, Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and electron energy loss spectroscopy (EELS) were used to investigate in-air oxidation of silicon nanoparticles ca. 11 nm in diameter. Particle samples were prepared first by extracting them from an RF plasma synthesis reactor, and then heating them in an inert carrier gas stream. The resulting particles had varying surface hydrogen coverages and relative amounts of SiH x (x = 1, 2, and 3), depending on the temperature to which they had been heated. The particles were allowed to oxidize in-air for several weeks. FTIR, XPS, and EELS analyses that were performed during this period clearly establish that adsorbed hydrogen retards oxidation, although in complex ways. In particular, particles that have been heated to intermediate hydrogen coverages oxidize more slowly in air than do freshly generated particles that have a much higher hydrogen content. In addition, the loss of surface hydride species at high processing temperatures results in fast initial oxidation and the formation of a self-limiting oxide layer. Analogous measurements made on deuterium-covered particles show broadly similar behavior; i.e., that oxidation is the slowest at some intermediate coverage of adsorbed deuterium.

  20. Modulated surface textures for enhanced scattering in thin-film silicon solar cells

    NARCIS (Netherlands)

    Isabella, O.; Battaglia, C.; Ballif, C.; Zeman, M.

    2012-01-01

    Nano-scale randomly textured front transparent oxides are superposed on micro-scale etched glass substrates to form modulated surface textures. The resulting enhanced light scattering is implemented in single and double junction thin-film silicon solar cells.

  1. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  2. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  3. Room temperature NO2-sensing properties of porous silicon/tungsten oxide nanorods composite

    International Nuclear Information System (INIS)

    Wei, Yulong; Hu, Ming; Wang, Dengfeng; Zhang, Weiyi; Qin, Yuxiang

    2015-01-01

    Highlights: • Porous silicon/WO 3 nanorods composite is synthesized via hydrothermal method. • The morphology of WO 3 nanorods depends on the amount of oxalic acid (pH value). • The sensor can detect ppb level NO 2 at room temperature. - Abstract: One-dimensional single crystalline WO 3 nanorods have been successfully synthesized onto the porous silicon substrates by a seed-induced hydrothermal method. The controlled morphology of porous silicon/tungsten oxide nanorods composite was obtained by using oxalic acid as an organic inducer. The reaction was carried out at 180 °C for 2 h. The influence of oxalic acid (pH value) on the morphology of porous silicon/tungsten oxide nanorods composite was investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD) and transmission electron microscopy (TEM). The NO 2 -sensing properties of the sensor based on porous silicon/tungsten oxide nanorods composite were investigated at different temperatures ranging from room temperature (∼25 °C) to 300 °C. At room temperature, the sensor behaved as a typical p-type semiconductor and exhibited high gas response, good repeatability and excellent selectivity characteristics toward NO 2 gas due to its high specific surface area, special structure, and large amounts of oxygen vacancies

  4. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  5. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  6. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  7. Thermal oxidation of silicon with two oxidizing species

    International Nuclear Information System (INIS)

    Vild-Maior, A.A.; Filimon, S.

    1979-01-01

    A theoretical model for the thermal oxidation of silicon in wet oxygen is presented. It is shown that the presence of oxygen in the oxidation furnace has an important effect when the water temperature is not too high (less than about 65 deg C). The model is in good agreement with the experimental data. (author)

  8. High-aspect-ratio, silicon oxide-enclosed pillar structures in microfluidic liquid chromatography.

    Science.gov (United States)

    Taylor, Lisa C; Lavrik, Nickolay V; Sepaniak, Michael J

    2010-11-15

    The present paper discusses the ability to separate chemical species using high-aspect-ratio, silicon oxide-enclosed pillar arrays. These miniaturized chromatographic systems require smaller sample volumes, experience less flow resistance, and generate superior separation efficiency over traditional packed bed liquid chromatographic columns, improvements controlled by the increased order and decreased pore size of the systems. In our distinctive fabrication sequence, plasma-enhanced chemical vapor deposition (PECVD) of silicon oxide is used to alter the surface and structural properties of the pillars for facile surface modification while improving the pillar mechanical stability and increasing surface area. The separation behavior of model compounds within our pillar systems indicated an unexpected hydrophobic-like separation mechanism. The effects of organic modifier, ionic concentration, and pressure-driven flow rate were studied. A decrease in the organic content of the mobile phase increased peak resolution while detrimentally effecting peak shape. A resolution of 4.7 (RSD = 3.7%) was obtained for nearly perfect Gaussian shaped peaks, exhibiting plate heights as low as 1.1 and 1.8 μm for fluorescein and sulforhodamine B, respectively. Contact angle measurements and DART mass spectrometry analysis indicate that our employed elastomeric soft bonding technique modifies pillar properties, creating a fortuitous stationary phase. This discovery provides evidence supporting the ability to easily functionalize PECVD oxide surfaces by gas-phase reactions.

  9. A photoemission study of the effectiveness of nickel, manganese, and cobalt based corrosion barriers for silicon photo-anodes during water oxidation

    Energy Technology Data Exchange (ETDEWEB)

    O' Connor, Robert; Bogan, Justin; McCoy, Anthony; Byrne, Conor; Hughes, Greg [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2016-05-21

    Silicon is an attractive material for solar water splitting applications due to its abundance and its capacity to absorb a large fraction of incident solar radiation. However, it has not received as much attention as other materials due to its tendency to oxidize very quickly in aqueous environments, particularly when it is employed as the anode where it drives the oxygen evolution reaction. In recent years, several works have appeared in the literature examining the suitability of thin transition metal oxide films grown on top of the silicon to act as a corrosion barrier. The film should be transparent to solar radiation, allow hole transport from the silicon surface to the electrolyte, and stop the diffusion of oxygen from the electrolyte back to the silicon. In this work, we compare Mn-oxide, Co-oxide, and Ni-oxide thin films grown using physical vapor deposition in order to evaluate which material offers the best combination of photocurrent and corrosion protection. In addition to the electrochemical data, we also present a detailed before-and-after study of the surface chemistry of the films using x-ray photoelectron spectroscopy. This approach allows for a comprehensive analysis of the mechanisms by which the corrosion barriers protect the underlying silicon, and how they degrade during the water oxidation reaction.

  10. Statistical contact angle analyses; "slow moving" drops on a horizontal silicon-oxide surface.

    Science.gov (United States)

    Schmitt, M; Grub, J; Heib, F

    2015-06-01

    Sessile drop experiments on horizontal surfaces are commonly used to characterise surface properties in science and in industry. The advancing angle and the receding angle are measurable on every solid. Specially on horizontal surfaces even the notions themselves are critically questioned by some authors. Building a standard, reproducible and valid method of measuring and defining specific (advancing/receding) contact angles is an important challenge of surface science. Recently we have developed two/three approaches, by sigmoid fitting, by independent and by dependent statistical analyses, which are practicable for the determination of specific angles/slopes if inclining the sample surface. These approaches lead to contact angle data which are independent on "user-skills" and subjectivity of the operator which is also of urgent need to evaluate dynamic measurements of contact angles. We will show in this contribution that the slightly modified procedures are also applicable to find specific angles for experiments on horizontal surfaces. As an example droplets on a flat freshly cleaned silicon-oxide surface (wafer) are dynamically measured by sessile drop technique while the volume of the liquid is increased/decreased. The triple points, the time, the contact angles during the advancing and the receding of the drop obtained by high-precision drop shape analysis are statistically analysed. As stated in the previous contribution the procedure is called "slow movement" analysis due to the small covered distance and the dominance of data points with low velocity. Even smallest variations in velocity such as the minimal advancing motion during the withdrawing of the liquid are identifiable which confirms the flatness and the chemical homogeneity of the sample surface and the high sensitivity of the presented approaches. Copyright © 2014 Elsevier Inc. All rights reserved.

  11. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  12. Physics and Chemistry on Well-Defined Semiconductor and Oxide Surfaces

    Science.gov (United States)

    Chen, Peijun

    High resolution electron energy loss spectroscopy (HREELS) and other surface spectroscopic techniques have been employed to investigate the following two classes of surface/interface phenomena on well-defined semiconductor and oxide surfaces: (i) the fundamental physical and chemical processes involved in gas-solid interaction on silicon single crystal surfaces, and (ii) the physical and chemical properties of metal-oxide interfaces. The particular systems reported in this dissertation are: NH_3, PH_3 and B_ {10}H_{14} on Si(111)-(7 x 7); NH_3 on Si(100) -(2 x 1); atomic H on Si(111)-(7 x 7) and boron-modified Si(111); Al on Al_2O_3 and Sn on SiO_2.. On silicon surfaces, the surface dangling bonds function as the primary adsorption sites where surface chemical processes take place. The unambiguous identification of surface species by vibrational spectroscopy allows the elementary steps involved in these surface chemical processes to be followed on a molecular level. For adsorbate molecules such as NH_3 and PH_3, the nature of the initial low temperature (100 -300 K) adsorption is found to be dissociative, while that for B_{10}H_ {14} is non-dissociative. This has been deduced based upon the presence (or absence) of specific characteristic vibrational mode(s) on surface. By following the evolution of surface species as a function of temperature, the elementary steps leading to silicon nitride thin film growth and doping of silicon are elucidated. In the case of NH_3 on Si(111)-(7 x 7) and Si(100)-(2 x 1), a detailed understanding on the role of substrate surface structure in controlling the surface reactivity has been gained on the basis of a Si adatom backbond-strain relief mechanism on the Si(111) -(7 x 7). The electronic modification to Si(111) surface by subsurface boron doping has been shown to quench its surface chemistry, even for the most aggressive atomic H. This discovery is potentially meaningful to the technology of gas-phase silicon etching. The

  13. Temporary surface passivation for characterisation of bulk defects in silicon : a review

    OpenAIRE

    Grant, Nicholas E.; Murphy, John D.

    2017-01-01

    Accurate measurements of the bulk minority carrier lifetime in high-quality silicon materials is challenging due to the influence of surface recombination. Conventional surface passivation processes such as thermal oxidation or dielectric deposition often modify the bulk lifetime significantly before measurement. Temporary surface passivation processes at room or very low temperatures enable a more accurate measurement of the true bulk lifetime, as they limit thermal reconfiguration of bulk d...

  14. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  15. The impact of surface coverage on the kinetics of electron transfer through redox monolayers on a silicon electrode surface

    International Nuclear Information System (INIS)

    Ciampi, Simone; Choudhury, Moinul H.; Ahmad, Shahrul Ainliah Binti Alang; Darwish, Nadim; Brun, Anton Le; Gooding, J.Justin

    2015-01-01

    Graphical abstract: The impact of surface coverage on the kinetics of electron transfer through redox monolayers on a silicon electrode surface. ABSTRACT: The impact of the coverage of ferrocene moieties, attached to a silicon electrode modified via hydrosilylation of a dialkyne, on the kinetics of electron transfer between the redox species and the electrode is explored. The coverage of ferrocene is controlled by varying the coupling time between azidomethylferrocene and the distal alkyne of the monolayer via the copper assisted azide-alkyne cycloaddition reaction. All other variables in the surface preparation are maintained identical. What is observed is that the higher the surface coverage of the ferrocene moieties the faster the apparent rates of electron transfer. This surface coverage-dependent kinetic effect is attributed to electrons hopping between ferrocene moieties across the redox film toward hotspots for the electron transfer event. The origin of these hotspots is tentatively suggested to result from minor amounts of oxide on the underlying silicon surface that reduce the barrier for the electron transfer.

  16. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  17. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  18. The effects of trichloroethane HCl and ion-implantation on the oxidation rate of silicon

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1994-01-01

    The thermal oxidation of silicon was studied using a large-scale industrial oxidation system. The characteristics of the oxides resulting from pure hydrogen/oxygen (Hsub(2)/Osub(2)), trichloroethane/oxygen (TCA/Osub(2) and hydrogen chloride/oxygen (HCI/Osub(2)) mixtures are compared. Both HCI and TCA addition to oxygen produced an enhanced oxidation rate. The oxidation rate for TCA/Osub(2) was approximately 30-40% higher than for HCI/Osub(2) mixtures. A molar ratio of TCA/Osub(2) of 1% gives an optimum process for very-large-scale industrial (VLSI) applications. However, 3% HCI/Osub(2) gives comparable results to 1% TCA. In addition, boron and phosphorus implantation are observed to increase the oxidation rate. Phosphorus doping of the silicon yields a higher rate than boron-doped wafers. This behaviour is explained in terms of surface damage and chemistry. It appears that the overall mechanisms governing all these processes are similar. (8 figures, 22 references) (Author)

  19. High-stability transparent amorphous oxide TFT with a silicon-doped back-channel layer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung-Rae; Park, Jea-Gun [Hanyang University, Seoul (Korea, Republic of)

    2014-10-15

    We significantly reduced various electrical instabilities of amorphous indium gallium zinc oxide thin-film transistors (TFTs) by using the co-deposition of silicon on an a-IGZO back channel. This process showed improved stability of the threshold voltage (V{sub th}) under high temperature and humidity and negative gate-bias illumination stress (NBIS) without any reduction of IDS. The enhanced stability was achieved with silicon, which has higher metal-oxide bonding strengths than gallium does. Additionally, SiO{sub x} distributed on the a-IGZO surface reduced the adsorption and the desorption of H{sub 2}O and O{sub 2}. This process is applicable to the TFT manufacturing process with a variable sputtering target.

  20. Electrochemical impedance spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mula, Guido, E-mail: guido.mula@unica.it [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Tiddia, Maria V. [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Ruffilli, Roberta [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Falqui, Andrea [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Palmas, Simonetta; Mascia, Michele [Dipartimento di Ingegneria Meccanica Chimica e dei Materiali, Università degli Studi di Cagliari, Piazza d' Armi, 09126 Cagliari (Italy)

    2014-04-01

    We present a study of the electrochemical oxidation process of porous silicon. We analyze the effect of the layer thickness (1.25–22 μm) and of the applied current density (1.1–11.1 mA/cm{sup 2}, values calculated with reference to the external samples surface) on the oxidation process by comparing the galvanostatic electrochemical impedance spectroscopy (EIS) measurements and the optical specular reflectivity of the samples. The results of EIS were interpreted using an equivalent circuit to separate the contribution of different sample parts. A different behavior of the electrochemical oxidation process has been found for thin and thick samples: whereas for thin samples the oxidation process is univocally related to current density and thickness, for thicker samples this is no more true. Measurements by Energy Dispersive Spectroscopy using a Scanning Electron Microscopy confirmed that the inhomogeneity of the electrochemical oxidation process is increased by higher thicknesses and higher currents. A possible explanation is proposed to justify the different behavior of thin and thick samples during the electrochemical process. - Highlights: • A multidisciplinary approach on porous Si electrochemical oxidation is proposed. • Electrochemical, optical, and structural characterizations are used. • Layer thickness and oxidation current effects are shown. • An explanation of the observed behavior is proposed.

  1. Radiation resistant passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Swanson, R.M.; Gan, J.Y.; Gruenbaum, P.E.

    1991-01-01

    This patent describes a silicon solar cell having improved stability when exposed to concentrated solar radiation. It comprises a body of silicon material having a major surface for receiving radiation, a plurality of p and n conductivity regions in the body for collecting electrons and holes created by impinging radiation, and a passivation layer on the major surface including a first layer of silicon oxide in contact with the body and a polycrystalline silicon layer on the first layer of silicon oxide

  2. UV laser ablation of silicon carbide ring surfaces for mechanical seal applications

    Science.gov (United States)

    Daurelio, Giuseppe; Bellosi, Alida; Sciti, Diletta; Chita, Giuseppe; Allegretti, Didio; Guerrini, Fausto

    2000-02-01

    Silicon carbide ceramic seal rings are treated by KrF excimer laser irradiation. Surface characteristics, induced by laser treatment, depend upon laser fluence, the number of laser pulses, their energy and frequency, the rotation rate of the ring and the processing atmosphere. It was ascertained that silicon carbide has to be processed under an inert atmosphere to avoid surface oxidation. Microstructural analyses of surface and cross section of the laser processed samples showed that the SiC surface is covered by a scale due to the melting/resolidification processes. At high fluence there are no continuous scales on the surfaces; materials is removed by decomposition/vaporization and the ablation depth is linearly dependent on the number of pulses. Different surface morphologies are observed. The evolution of surface morphology and roughness is discussed with reference to compositions, microstructure and physical and optical properties of the ceramic material and to laser processing parameters. Preliminary results on tribological behavior of the treated seals are reported.

  3. A Facile Method for Detection of Substituted Salicylic Acids Using Pyrenesulfonamide-Terminated Self-Assembled Monolayers on Silicon Oxide Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Han, Gyeongyeop; Choi, Jaehyuck; Lee, Jungkyu; Kumar, Ashwani; Lee, Ju-Young; Kim, Hong-Seok [Kyungpook Nation al University, Daegu (Korea, Republic of)

    2016-05-15

    We have developed a method for sensing substituted salicylic acids on silicon oxide surfaces. The receptor molecule was successfully immobilized onto the surface by self-assembly, and, as a demonstration, micropatterns of substituted salicylic acids were generated by soft lithography techniques. We believe that this approach used herein will not only widen the understanding of the specific interactions between salicylic acids and pyrenesulfonamide derivatives, but also be applicable to practical devices such as chemo/bio analytical sensors. We have successfully demonstrated the molecular recognition between salicylic acids and pyrene derivatives in solution by fluorescence measurement. Briefly, selective recognition was achieved using intermolecular interactions, including π-π interactions and multi-hydrogen bonds, and intramolecular hydrogen bonding between the phenolic O-H group and the adjacent C=O group.

  4. A Facile Method for Detection of Substituted Salicylic Acids Using Pyrenesulfonamide-Terminated Self-Assembled Monolayers on Silicon Oxide Surfaces

    International Nuclear Information System (INIS)

    Han, Gyeongyeop; Choi, Jaehyuck; Lee, Jungkyu; Kumar, Ashwani; Lee, Ju-Young; Kim, Hong-Seok

    2016-01-01

    We have developed a method for sensing substituted salicylic acids on silicon oxide surfaces. The receptor molecule was successfully immobilized onto the surface by self-assembly, and, as a demonstration, micropatterns of substituted salicylic acids were generated by soft lithography techniques. We believe that this approach used herein will not only widen the understanding of the specific interactions between salicylic acids and pyrenesulfonamide derivatives, but also be applicable to practical devices such as chemo/bio analytical sensors. We have successfully demonstrated the molecular recognition between salicylic acids and pyrene derivatives in solution by fluorescence measurement. Briefly, selective recognition was achieved using intermolecular interactions, including π-π interactions and multi-hydrogen bonds, and intramolecular hydrogen bonding between the phenolic O-H group and the adjacent C=O group

  5. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  6. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Riahi, R., E-mail: riahirim01@gmail.com [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Faculty of Sciences Tunis–El Manar University (Tunisia); Derbali, L. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Ouertani, B. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Environment Science and Technology of Borj-Cedria (Tunisia); Ezzaouia, H. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2017-05-15

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  7. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    International Nuclear Information System (INIS)

    Riahi, R.; Derbali, L.; Ouertani, B.; Ezzaouia, H.

    2017-01-01

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  8. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  9. The oxidation of titanium nitride- and silicon nitride-coated stainless steel in carbon dioxide environments

    International Nuclear Information System (INIS)

    Mitchell, D.R.G.; Stott, F.H.

    1992-01-01

    A study has been undertaken into the effects of thin titanium nitride and silicon nitride coatings, deposited by physical vapour deposition and chemical vapour deposition processes, on the oxidation resistance of 321 stainless steel in a simulated advanced gas-cooled reactor carbon dioxide environment for long periods at 550 o C and 700 o C under thermal-cycling conditions. The uncoated steel contains sufficient chromium to develop a slow-growing chromium-rich oxide layer at these temperatures, particularly if the surfaces have been machine-abraded. Failure of this layer in service allows formation of less protective iron oxide-rich scales. The presence of a thin (3-4 μm) titanium nitride coating is not very effective in increasing the oxidation resistance since the ensuing titanium oxide scale is not a good barrier to diffusion. Even at 550 o C, iron oxide-rich nodules are able to develop following relatively rapid oxidation and breakdown of the coating. At 700 o C, the coated specimens oxidize at relatively similar rates to the uncoated steel. A thin silicon nitride coating gives improved oxidation resistance, with both the coating and its slow-growing oxide being relatively electrically insulating. The particular silicon nitride coating studied here was susceptible to spallation on thermal cycling, due to an inherently weak coating/substrate interface. (Author)

  10. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  11. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  12. Regularities of radiation defects build up on oxide materials surface; Zakonomernosti nakopleniya radiatsionnykh defektov na poverkhnosti oksidnykh materialov

    Energy Technology Data Exchange (ETDEWEB)

    Bitenbaev, M I; Polyakov, A I [Fiziko-Tekhnicheskij Inst., Almaty (Kazakhstan); Tuseev, T [Inst. Yadernoj Fiziki, Almaty (Kazakhstan)

    2005-07-01

    Analysis of experimental data by radiation defects study on different oxide elements (silicon, beryllium, aluminium, rare earth elements) irradiated by the photo-, gamma-, neutron-, alpha- radiation, protons and helium ions show, that gas adsorption process on the surface centers and radiation defects build up in metal oxide correlated between themselves. These processes were described by the equivalent kinetic equations for analysis of radiation defects build up in the different metal oxides. It was revealed in the result of the analysis: number of radiation defects are droningly increasing up to limit value with the treatment temperature growth. Constant of radicals death at ionizing radiation increases as well. Amount of surface defects in different oxides defining absorbing activity of these materials looks as: silicon oxide{yields}beryllium oxide{yields}aluminium oxide. So it was found, that most optimal material for absorbing system preparation is silicon oxide by it power intensity and berylium oxide by it adsorption efficiency.

  13. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  14. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  15. Fusion bonding of silicon nitride surfaces

    DEFF Research Database (Denmark)

    Reck, Kasper; Østergaard, Christian; Thomsen, Erik Vilain

    2011-01-01

    While silicon nitride surfaces are widely used in many micro electrical mechanical system devices, e.g. for chemical passivation, electrical isolation or environmental protection, studies on fusion bonding of two silicon nitride surfaces (Si3N4–Si3N4 bonding) are very few and highly application...

  16. Determination of surface oxide compositions on Alloy 600 using Rutherford backscattering

    International Nuclear Information System (INIS)

    Hanson, A.L.; Kraner, H.W.

    1984-01-01

    The surface composition of oxides formed on Alloy 600 under conditions similar to those in the primary side of PWR heat exchangers has been studied as a function of potential using Rutherford backscattering and proton inelastic scattering. Electropolished samples of Alloy 600 were exposed at several potentials to a solution of 0.18M H 3 BO 3 (2000ppm B) with 0.21mM LiOH (1.5ppm Li) at 300 0 C for 450 hours. The potentials relative to an internal hydrogen electrode ranged from -.09 to 750 mV. RBS analysis showed little or no oxide formation on samples exposed at 0 mV. Above 0 mV oxide layers formed whose thicknesses increased with potential. In addition the RBS showed a significantly enhanced concentration of aluminum and silicon in oxide. Both the oxygen and the sum of the aluminum and silicon content appeared to maintain a fixed surface concentration independent of the oxide thickness. Boron and lithium concentrations were analyzed with proton inelastic scattering. No lithium was detected in any sample. The boron concentration was found to follow the thickness of the oxide

  17. Determination of surface oxide compositions on Alloy 600 using Rutherford backscattering

    International Nuclear Information System (INIS)

    Hanson, A.L.; Isaacs, H.S.; Kraner, H.W.

    1984-01-01

    The surface composition of oxides formed on Alloy 600 under conditions similar to those in the primary side of PWR heat exchangers has been studied as a function of potential using Rutherford backscattering and proton inelastic scattering. Electropolished samples of Alloy 600 were exposed at several potentials to a solution of 0.18M H 3 BO 3 (2000 ppM B) with 0.28M LiOH (1.4 ppM Li) at 300 0 C for 450 hours. The potentials relative to an internal hydrogen electrode ranged from -.09 to 750 mV. RBS analysis showed little or no oxide formation on samples exposed at 0 mV. Above 0 mV oxide layers formed whose thicknesses increased with potential. In addition the RBS showed a significantly enhanced concentration of aluminum and silicon in oxide. Both the oxygen and the sum of the aluminum and silicon content appeared to maintain a fixed surface concentration independent of the oxide thickness. Boron and lithium concentration were analyzed with proton inelastic scattering. No lithium was found in any sample. The boron concentration was found to follow the thickness of the oxide

  18. Superacid Passivation of Crystalline Silicon Surfaces.

    Science.gov (United States)

    Bullock, James; Kiriya, Daisuke; Grant, Nicholas; Azcatl, Angelica; Hettick, Mark; Kho, Teng; Phang, Pheng; Sio, Hang C; Yan, Di; Macdonald, Daniel; Quevedo-Lopez, Manuel A; Wallace, Robert M; Cuevas, Andres; Javey, Ali

    2016-09-14

    The reduction of parasitic recombination processes commonly occurring within the silicon crystal and at its surfaces is of primary importance in crystalline silicon devices, particularly in photovoltaics. Here we explore a simple, room temperature treatment, involving a nonaqueous solution of the superacid bis(trifluoromethane)sulfonimide, to temporarily deactivate recombination centers at the surface. We show that this treatment leads to a significant enhancement in optoelectronic properties of the silicon wafer, attaining a level of surface passivation in line with state-of-the-art dielectric passivation films. Finally, we demonstrate its advantage as a bulk lifetime and process cleanliness monitor, establishing its compatibility with large area photoluminescence imaging in the process.

  19. Characterization of silicon-oxide interfaces and organic monolayers by IR-UV ellipsometry and FTIR spectroscopy

    Science.gov (United States)

    Hess, P.; Patzner, P.; Osipov, A. V.; Hu, Z. G.; Lingenfelser, D.; Prunici, P.; Schmohl, A.

    2006-08-01

    VUV-laser-induced oxidation of Si(111)-(1×1):H, Si(100):H, and a-Si:H at 157 nm (F II laser) in pure O II and pure H IIO atmospheres was studied between 30°C and 250°C. The oxidation process was monitored in real time by spectroscopic ellipsometry (NIR-UV) and FTIR spectroscopy. The ellipsometric measurements could be simulated with a three-layer model, providing detailed information on the variation of the suboxide interface with the nature of the silicon substrate surface. Besides the silicon-dioxide and suboxide layer, a dense, disordered, roughly monolayer thick silicon layer was included, as found previously by molecular dynamics calculations. The deviations from the classical Deal-Grove mechanism and the self-limited growth of the ultrathin dioxide layers (TMS) groups and n-alkylthiol monolayers on gold-coated silicon. The C-H stretching vibrations of the methylene and methyl groups could be identified by FTIR spectroscopy and IR ellipsometry.

  20. Influence of Surface Chemistry on the Release of an Antibacterial Drug from Nanostructured Porous Silicon.

    Science.gov (United States)

    Wang, Mengjia; Hartman, Philip S; Loni, Armando; Canham, Leigh T; Bodiford, Nelli; Coffer, Jeffery L

    2015-06-09

    Nanostructured mesoporous silicon possesses important properties advantageous to drug loading and delivery. For controlled release of the antibacterial drug triclosan, and its associated activity versus Staphylococcus aureus, previous studies investigated the influence of porosity of the silicon matrix. In this work, we focus on the complementary issue of the influence of surface chemistry on such properties, with particular regard to drug loading and release kinetics that can be ideally adjusted by surface modification. Comparison between drug release from as-anodized, hydride-terminated hydrophobic porous silicon and the oxidized hydrophilic counterpart is complicated due to the rapid bioresorption of the former; hence, a hydrophobic interface with long-term biostability is desired, such as can be provided by a relatively long chain octyl moiety. To minimize possible thermal degradation of the surfaces or drug activity during loading of molten drug species, a solution loading method has been investigated. Such studies demonstrate that the ability of porous silicon to act as an effective carrier for sustained delivery of antibacterial agents can be sensitively altered by surface functionalization.

  1. Microtextured Silicon Surfaces for Detectors, Sensors & Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Carey, JE; Mazur, E

    2005-05-19

    With support from this award we studied a novel silicon microtexturing process and its application in silicon-based infrared photodetectors. By irradiating the surface of a silicon wafer with intense femtosecond laser pulses in the presence of certain gases or liquids, the originally shiny, flat surface is transformed into a dark array of microstructures. The resulting microtextured surface has near-unity absorption from near-ultraviolet to infrared wavelengths well below the band gap. The high, broad absorption of microtextured silicon could enable the production of silicon-based photodiodes for use as inexpensive, room-temperature multi-spectral photodetectors. Such detectors would find use in numerous applications including environmental sensors, solar energy, and infrared imaging. The goals of this study were to learn about microtextured surfaces and then develop and test prototype silicon detectors for the visible and infrared. We were extremely successful in achieving our goals. During the first two years of this award, we learned a great deal about how microtextured surfaces form and what leads to their remarkable optical properties. We used this knowledge to build prototype detectors with high sensitivity in both the visible and in the near-infrared. We obtained room-temperature responsivities as high as 100 A/W at 1064 nm, two orders of magnitude higher than standard silicon photodiodes. For wavelengths below the band gap, we obtained responsivities as high as 50 mA/W at 1330 nm and 35 mA/W at 1550 nm, close to the responsivity of InGaAs photodiodes and five orders of magnitude higher than silicon devices in this wavelength region.

  2. Metrology of nanosize biopowders using porous silicon surface

    International Nuclear Information System (INIS)

    Zhuravel', L.V.; Latukhina, N.V.; Pisareva, E.V.; Vlasov, M.Yu.; Volkov, A.V.; Volodkin, B.O.

    2008-01-01

    Powders of hydroxyapatite deposited on porous silicon surface were investigated by TEM and STM methods. Thickness of porous lay was 1-100 micrometers; porous diameter was 0.01-10 micrometers. Images of porous silicon surface with deposited particles give possibility to estimate particles size and induce that only proportionate porous diameter particles have good adhesion to porous silicon surface.

  3. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    Science.gov (United States)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  4. Surface and interfacial chemistry of high-k dielectric and interconnect materials on silicon

    Science.gov (United States)

    Kirsch, Paul Daniel

    Surfaces and interfaces play a critical role in the manufacture and function of silicon based integrated circuits. It is therefore reasonable to study the chemistries at these surfaces and interfaces to improve existing processes and to develop new ones. Model barium strontium titanate high-k dielectric systems have been deposited on ultrathin silicon oxynitride in ultrahigh vacuum. The resulting nanostructures are characterized with secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS). An interfacial reaction between Ba and Sr atoms and SiOxNy was found to create silicates, BaSixOy or SrSi xOy. Inclusion of N in the interfacial oxide decreased silicate formation in both Ba and Sr systems. Furthermore, inclusion of N in the interfacial oxide decreased the penetration of Ba and Sr containing species, such as silicides and silicates. Sputter deposited HfO2 was studied on nitrided and unnitrided Si(100) surfaces. XPS and SIMS were used to verify the presence of interfacial HfSixOy and estimate its relative amount on both nitrided and unnitrided samples. More HfSixOy formed without the SiNx interfacial layer. These interfacial chemistry results are then used to explain the electrical measurements obtained from metal oxide semiconductor (MOS) capacitors. MOS capacitors with interfacial SiNx exhibit reduced leakage current and increased capacitance. Lastly, surface science techniques were used to develop a processing technique for reducing thin films of copper (II) and copper (I) oxide to copper. Deuterium atoms (D*) and methyl radicals (CH3*) were shown to reduce Cu 2+ and/or Cu1+ to Cu0 within 30 min at a surface temperature of 400 K under a flux of 1 x 1015 atoms/cm2s. Temperature programmed desorption experiments suggest that oxygen leaves the surface as D2O and CO2 for the D* and CH3* treated surfaces, respectively.

  5. Investigations of the surface conductivity of silicon dioxide and methods to reduce it

    NARCIS (Netherlands)

    Voorthuyzen, J.A.; Keskin, K.; Bergveld, Piet

    1987-01-01

    In this paper we describe our investigations of the electrical conductivity of the silicon dioxide-air interface. It appears that this conductivity is caused by the adsorption of water vapour on the oxide surface and strongly depends on the relative humidity of the surrounding air. Considering this

  6. Oxidation of clean silicon surfaces studied by four-point probe surface conductance measurements

    DEFF Research Database (Denmark)

    Petersen, Christian Leth; Grey, Francois; Aono, M.

    1997-01-01

    We have investigated how the conductance of Si(100)-(2 x 1) and Si(111)-(7 x 7) surfaces change during exposure to molecular oxygen. A monotonic decrease in conductance is seen as the (100) surfaces oxidizes. In contract to a prior study, we propose that this change is caused by a decrease in sur...

  7. Nafion/Silicon Oxide Composite Membrane for High Temperature Proton Exchange Membrane Fuel Cell

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Nafion/Silicon oxide composite membranes were produced via in situ sol-gel reaction of tetraethylorthosilicate (TEOS) in Nafion membranes. The physicochemical properties of the membranes were studied by FT-IR, TG-DSC and tensile strength. The results show that the silicon oxide is compatible with the Nafion membrane and the thermo stability of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. Furthermore, the tensile strength of Nafion/Silicon oxide composite membrane is similar to that of the Nafion membrane. The proton conductivity of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. When the Nafion/Silicon oxide composite membrane was employed as an electrolyte in H2/O2 PEMFC, a higher current density value (1 000 mA/cm2 at 0.38 V) than that of the Nafion 1135 membrane (100 mA/cm2 at 0.04 V) was obtained at 110 ℃.

  8. Nano-ridge fabrication by local oxidation of silicon edges with silicon nitride as a mask

    NARCIS (Netherlands)

    Haneveld, J.; Berenschot, Johan W.; Maury, P.A.; Jansen, Henricus V.

    2005-01-01

    A method to fabricate nano-ridges over a full wafer is presented. The fabrication method uses local oxidation of silicon, with silicon nitride as a mask, and wet anisotropic etching of silicon. The realized structures are 7-20 nm wide, 40-100 nm high and centimeters long. All dimensions are easily

  9. [Synergetic effects of silicon carbide and molecular sieve loaded catalyst on microwave assisted catalytic oxidation of toluene].

    Science.gov (United States)

    Wang, Xiao-Hui; Bo, Long-Li; Liu, Hai-Nan; Zhang, Hao; Sun, Jian-Yu; Yang, Li; Cai, Li-Dong

    2013-06-01

    Molecular sieve loaded catalyst was prepared by impregnation method, microwave-absorbing material silicon carbide and the catalyst were investigated for catalytic oxidation of toluene by microwave irradiation. Research work examined effects of silicon carbide and molecular sieve loading Cu-V catalyst's mixture ratio as well as mixed approach changes on degradation of toluene, and characteristics of catalyst were measured through scanning electron microscope, specific surface area test and X-ray diffraction analysis. The result showed that the fixed bed reactor had advantages of both thermal storage property and low-temperature catalytic oxidation when 20% silicon carbide was filled at the bottom of the reactor, and this could effectively improve the utilization of microwave energy as well as catalytic oxidation efficiency of toluene. Under microwave power of 75 W and 47 W, complete-combustion temperatures of molecular sieve loaded Cu-V catalyst and Cu-V-Ce catalyst to toluene were 325 degrees C and 160 degrees C, respectively. Characteristics of the catalysts showed that mixture of rare-earth element Ce increased the dispersion of active components in the surface of catalyst, micropore structure of catalyst effectively guaranteed high adsorption capacity for toluene, while amorphous phase of Cu and V oxides increased the activity of catalyst greatly.

  10. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  11. Influence of sample oxidation on the nature of optical luminescence from porous silicon

    International Nuclear Information System (INIS)

    Coulthard, I.; Antel, W. J. Jr.; Freeland, J. W.; Sham, T. K.; Naftel, S. J.; Zhang, P.

    2000-01-01

    Site-selective luminescence experiments were performed upon porous-silicon samples exposed to varying degrees of oxidation. The source of different luminescence bands was determined to be due to either quantum confinement in nanocrystalline silicon or defective silicon oxide. Of particular interest is the defective silicon-oxide luminescence band found at 2.1 eV, which was found to frequently overlap with a luminescence band from nanocrystalline silicon. Some of the historical confusion and debate with regards to the source of luminescence from porous silicon can be attributed to this overlap. (c) 2000 American Institute of Physics

  12. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  13. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  14. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  15. Nanoscale fabrication and characterization of chemically modified silicon surfaces using conductive atomic force microscopy in liquids

    Science.gov (United States)

    Kinser, Christopher Reagan

    This dissertation examines the modification and characterization of hydrogen-terminated silicon surfaces in organic liquids. Conductive atomic force microscope (cAFM) lithography is used to fabricate structures with sub-100 nm line width on H:Si(111) in n-alkanes, 1-alkenes, and 1-alkanes. Nanopatterning is accomplished by applying a positive (n-alkanes and 1-alkenes) or a negative (1-alkanes) voltage pulse to the silicon substrate with the cAFM tip connected to ground. The chemical and kinetic behavior of the patterned features is characterized using AFM, lateral force microscopy, time-of-flight secondary ion mass spectroscopy (TOF SIMS), and chemical etching. Features patterned in hexadecane, 1-octadecene, and undecylenic acid methyl ester exhibited chemical and kinetic behavior consistent with AFM field induced oxidation. The oxide features are formed due to capillary condensation of a water meniscus at the AFM tip-sample junction. A space-charge limited growth model is proposed to explain the observed growth kinetics. Surface modifications produced in the presence of neat 1-dodecyne and 1-octadecyne exhibited a reduced lateral force compared to the background H:Si(111) substrate and were resistant to a hydrofluoric acid etch, characteristics which indicate that the patterned features are not due to field induced oxidation and which are consistent with the presence of the methyl-terminated 1-alkyne bound directly to the silicon surface through silicon-carbon bonds. In addition to the cAFM patterned surfaces, full monolayers of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromoethyl ester (SAM-2) were grown on H:Si(111) substrates using ultraviolet light. The structure and chemistry of the monolayers were characterized using AFM, TOF SIMS, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity (XRR), X-ray standing waves (XSW), and X-ray fluorescence (XRF). These combined analyses provide evidence that SAM-1 and SAM-2 form dense monolayers

  16. Optimization of oxidation processes to improve crystalline silicon solar cell emitters

    Directory of Open Access Journals (Sweden)

    L. Shen

    2014-02-01

    Full Text Available Control of the oxidation process is one key issue in producing high-quality emitters for crystalline silicon solar cells. In this paper, the oxidation parameters of pre-oxidation time, oxygen concentration during pre-oxidation and pre-deposition and drive-in time were optimized by using orthogonal experiments. By analyzing experimental measurements of short-circuit current, open circuit voltage, series resistance and solar cell efficiency in solar cells with different sheet resistances which were produced by using different diffusion processes, we inferred that an emitter with a sheet resistance of approximately 70 Ω/□ performed best under the existing standard solar cell process. Further investigations were conducted on emitters with sheet resistances of approximately 70 Ω/□ that were obtained from different preparation processes. The results indicate that emitters with surface phosphorus concentrations between 4.96 × 1020 cm−3 and 7.78 × 1020 cm−3 and with junction depths between 0.46 μm and 0.55 μm possessed the best quality. With no extra processing, the final preparation of the crystalline silicon solar cell efficiency can reach 18.41%, which is an increase of 0.4%abs compared to conventional emitters with 50 Ω/□ sheet resistance.

  17. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  18. Oxide-Free Bonding of III-V-Based Material on Silicon and Nano-Structuration of the Hybrid Waveguide for Advanced Optical Functions

    Directory of Open Access Journals (Sweden)

    Konstantinos Pantzas

    2015-10-01

    Full Text Available Oxide-free bonding of III-V-based materials for integrated optics is demonstrated on both planar Silicon (Si surfaces and nanostructured ones, using Silicon on Isolator (SOI or Si substrates. The hybrid interface is characterized electrically and mechanically. A hybrid InP-on-SOI waveguide, including a bi-periodic nano structuration of the silicon guiding layer is demonstrated to provide wavelength selective transmission. Such an oxide-free interface associated with the nanostructured design of the guiding geometry has great potential for both electrical and optical operation of improved hybrid devices.

  19. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  20. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    Science.gov (United States)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  1. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  2. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  3. Surface Effects in Segmented Silicon Sensors

    OpenAIRE

    Kopsalis, Ioannis

    2017-01-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO2 layers at the surface, thus changing the sensor properties and limiting their...

  4. Silicon and Germanium (111) Surface Reconstruction

    Science.gov (United States)

    Hao, You Gong

    Silicon (111) surface (7 x 7) reconstruction has been a long standing puzzle. For the last twenty years, various models were put forward to explain this reconstruction, but so far the problem still remains unsolved. Recent ion scattering and channeling (ISC), scanning tunneling microscopy (STM) and transmission electron diffraction (TED) experiments reveal some new results about the surface which greatly help investigators to establish better models. This work proposes a silicon (111) surface reconstruction mechanism, the raising and lowering mechanism which leads to benzene -like ring and flower (raised atom) building units. Based on these building units a (7 x 7) model is proposed, which is capable of explaining the STM and ISC experiment and several others. Furthermore the building units of the model can be used naturally to account for the germanium (111) surface c(2 x 8) reconstruction and other observed structures including (2 x 2), (5 x 5) and (7 x 7) for germanium as well as the (/3 x /3)R30 and (/19 x /19)R23.5 impurity induced structures for silicon, and the higher temperature disordered (1 x 1) structure for silicon. The model is closely related to the silicon (111) surface (2 x 1) reconstruction pi-bonded chain model, which is the most successful model for the reconstruction now. This provides an explanation for the rather low conversion temperature (560K) of the (2 x 1) to the (7 x 7). The model seems to meet some problems in the explanation of the TED result, which is explained very well by the dimer, adatom and stacking fault (DAS) model proposed by Takayanagi. In order to explain the TED result, a variation of the atomic scattering factor is proposed. Comparing the benzene-like ring model with the DAS model, the former needs more work to explain the TED result and the later has to find a way to explain the silicon (111) surface (1 x 1) disorder experiment.

  5. Surface thiolation of silicon for antifouling application.

    Science.gov (United States)

    Zhang, Xiaoning; Gao, Pei; Hollimon, Valerie; Brodus, DaShan; Johnson, Arion; Hu, Hongmei

    2018-02-07

    Thiol groups grafted silicon surface was prepared as previously described. 1H,1H,2H,2H-perfluorodecanethiol (PFDT) molecules were then immobilized on such a surface through disulfide bonds formation. To investigate the contribution of PFDT coating to antifouling, the adhesion behaviors of Botryococcus braunii (B. braunii) and Escherichia coli (E. coli) were studied through biofouling assays in the laboratory. The representative microscope images suggest reduced B. braunii and E. coli accumulation densities on PFDT integrated silicon substrate. However, the antifouling performance of PFDT integrated silicon substrate decreased over time. By incubating the aged substrate in 10 mM TCEP·HCl solution for 1 h, the fouled PFDT coating could be removed as the disulfide bonds were cleaved, resulting in reduced absorption of algal cells and exposure of non-fouled silicon substrate surface. Our results indicate that the thiol-terminated substrate can be potentially useful for restoring the fouled surface, as well as maximizing the effective usage of the substrate.

  6. Demonstration of slot-waveguide structures on silicon nitride / silicon oxide platform.

    Science.gov (United States)

    Barrios, C A; Sánchez, B; Gylfason, K B; Griol, A; Sohlström, H; Holgado, M; Casquel, R

    2007-05-28

    We report on the first demonstration of guiding light in vertical slot-waveguides on silicon nitride/silicon oxide material system. Integrated ring resonators and Fabry-Perot cavities have been fabricated and characterized in order to determine optical features of the slot-waveguides. Group index behavior evidences guiding and confinement in the low-index slot region at O-band (1260-1370nm) telecommunication wavelengths. Propagation losses of <20 dB/cm have been measured for the transverse-electric mode of the slot-waveguides.

  7. Surface Coating of Gypsum-Based Molds for Maxillofacial Prosthetic Silicone Elastomeric Material: The Surface Topography.

    Science.gov (United States)

    Khalaf, Salah; Ariffin, Zaihan; Husein, Adam; Reza, Fazal

    2015-07-01

    This study aimed to compare the surface roughness of maxillofacial silicone elastomers fabricated in noncoated and coated gypsum materials. This study was also conducted to characterize the silicone elastomer specimens after surfaces were modified. A gypsum mold was coated with clear acrylic spray. The coated mold was then used to produce modified silicone experimental specimens (n = 35). The surface roughness of the modified silicone elastomers was compared with that of the control specimens, which were prepared by conventional flasking methods (n = 35). An atomic force microscope (AFM) was used for surface roughness measurement of silicone elastomer (unmodified and modified), and a scanning electron microscope (SEM) was used to evaluate the topographic conditions of coated and noncoated gypsum and silicone elastomer specimens (unmodified and modified) groups. After the gypsum molds were characterized, the fabricated silicone elastomers molded on noncoated and coated gypsum materials were evaluated further. Energy-dispersive X-ray spectroscopy (EDX) analysis of gypsum materials (noncoated and coated) and silicone elastomer specimens (unmodified and modified) was performed to evaluate the elemental changes after coating was conducted. Independent t test was used to analyze the differences in the surface roughness of unmodified and modified silicone at a significance level of p SEM analysis results showed evident differences in surface smoothness. EDX data further revealed the presence of the desired chemical components on the surface layer of unmodified and modified silicone elastomers. Silicone elastomers with lower surface roughness of maxillofacial prostheses can be obtained simply by coating a gypsum mold. © 2014 by the American College of Prosthodontists.

  8. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  9. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    Energy Technology Data Exchange (ETDEWEB)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  10. Plasmonic Properties of Silicon Nanocrystals Doped with Boron and Phosphorus.

    Science.gov (United States)

    Kramer, Nicolaas J; Schramke, Katelyn S; Kortshagen, Uwe R

    2015-08-12

    Degenerately doped silicon nanocrystals are appealing plasmonic materials due to silicon's low cost and low toxicity. While surface plasmonic resonances of boron-doped and phosphorus-doped silicon nanocrystals were recently observed, there currently is poor understanding of the effect of surface conditions on their plasmonic behavior. Here, we demonstrate that phosphorus-doped silicon nanocrystals exhibit a plasmon resonance immediately after their synthesis but may lose their plasmonic response with oxidation. In contrast, boron-doped nanocrystals initially do not exhibit plasmonic response but become plasmonically active through postsynthesis oxidation or annealing. We interpret these results in terms of substitutional doping being the dominant doping mechanism for phosphorus-doped silicon nanocrystals, with oxidation-induced defects trapping free electrons. The behavior of boron-doped silicon nanocrystals is more consistent with a strong contribution of surface doping. Importantly, boron-doped silicon nanocrystals exhibit air-stable plasmonic behavior over periods of more than a year.

  11. Charging effects during focused electron beam induced deposition of silicon oxide

    NARCIS (Netherlands)

    de Boer, Sanne K.; van Dorp, Willem F.; De Hosson, Jeff Th. M.

    2011-01-01

    This paper concentrates on focused electron beam induced deposition of silicon oxide. Silicon oxide pillars are written using 2, 4, 6, 8, 10-pentamethyl-cyclopenta-siloxane (PMCPS) as precursor. It is observed that branching of the pillar occurs above a minimum pillar height. The branching is

  12. Creation of hydrophobic surfaces using a paint containing functionalized oxide particles

    Science.gov (United States)

    Sino, Paul Albert L.; Herrera, Marvin U.; Balela, Mary Donnabelle L.

    2017-05-01

    Hydrophobic surfaces were created by coating various substrates (aluminum sheet, soda-lime glass, silicon carbide polishing paper, glass with double-sided adhesive) with paint containing functionalized oxide particles. The paint was created by functionalizing oxide particles (ground ZnO, TiO2 nanoparticles, or TiO2 microparticles) with fluorosilane molecules in absolute ethanol. Water contact angle of samples shows that the coated substrate becomes hydrophobic (water contact angle ≥ 90°). Among the oxides that were used, ground ZnO yielded contact angle exemplifying superhydrophobicity (water contact angle ≥ 150°). Scanning electron micrograph of paint-containing TiO2 nanoparticles shows rough functionalized oxides structures which probably increase the hydrophobicity of the surface.

  13. Oxidation of ultra low carbon and silicon bearing steels

    Energy Technology Data Exchange (ETDEWEB)

    Suarez, Lucia [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: lucia.suarez@ctm.com.es; Rodriguez-Calvillo, Pablo [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: pablo.rodriguez@ctm.com.es; Houbaert, Yvan [Department of Materials Science and Engineering, University of Ghent (Belgium)], E-mail: Yvan.Houbaert@UGent.be; Colas, Rafael [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon (Mexico)], E-mail: rcolas@mail.uanl.mx

    2010-06-15

    Oxidation tests were carried out in samples from an ultra low carbon and two silicon bearing steels to determine the distribution and morphology of the oxide species present. The ultra low carbon steel was oxidized for short periods of time within a chamber designed to obtain thin oxide layers by controlling the atmosphere, and for longer times in an electric furnace; the silicon steels were reheated only in the electric furnace. The chamber was constructed to study the behaviour encountered during the short period of time between descaling and rolling in modern continuous mills. It was found that the oxide layers formed on the samples reheated in the electric furnace were made of different oxide species. The specimens treated in the chamber had layers made almost exclusively of wustite. Selected oxide samples were studied by scanning electron microscopy to obtain electron backscattered diffraction patterns, which were used to identify the oxide species in the layer.

  14. Porosity-dependent fractal nature of the porous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N.; Dariani, R. S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of)

    2015-07-15

    Porous silicon films with porosity ranging from 42% to 77% were fabricated by electrochemical anodization under different current density. We used atomic force microscopy and dynamic scaling theory for deriving the surface roughness profile and processing the topography of the porous silicon layers, respectively. We first compared the topography of bare silicon surface with porous silicon and then studied the effect of the porosity of porous silicon films on their scaling behavior by using their self-affinity nature. Our work demonstrated that silicon compared to the porous silicon films has the highest Hurst parameter, indicating that the formation of porous layer due to the anodization etching of silicon surface leads to an increase of its roughness. Fractal analysis revealed that the evolution of the nanocrystallites’ fractal dimension along with porosity. Also, we found that both interface width and Hurst parameter are affected by the increase of porosity.

  15. Control of single-electron charging of metallic nanoparticles onto amorphous silicon surface.

    Science.gov (United States)

    Weis, Martin; Gmucová, Katarína; Nádazdy, Vojtech; Capek, Ignác; Satka, Alexander; Kopáni, Martin; Cirák, Július; Majková, Eva

    2008-11-01

    Sequential single-electron charging of iron oxide nanoparticles encapsulated in oleic acid/oleyl amine envelope and deposited by the Langmuir-Blodgett technique onto Pt electrode covered with undoped hydrogenated amorphous silicon film is reported. Single-electron charging (so-called quantized double-layer charging) of nanoparticles is detected by cyclic voltammetry as current peaks and the charging effect can be switched on/off by the electric field in the surface region induced by the excess of negative/positive charged defect states in the amorphous silicon layer. The particular charge states in amorphous silicon are created by the simultaneous application of a suitable bias voltage and illumination before the measurement. The influence of charged states on the electric field in the surface region is evaluated by the finite element method. The single-electron charging is analyzed by the standard quantized double layer model as well as two weak-link junctions model. Both approaches are in accordance with experiment and confirm single-electron charging by tunnelling process at room temperature. This experiment illustrates the possibility of the creation of a voltage-controlled capacitor for nanotechnology.

  16. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  17. Surface chemistry of a hydrogenated mesoporous p-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Media, El-Mahdi, E-mail: belhadidz@tahoo.fr; Outemzabet, Ratiba, E-mail: oratiba@hotmail.com

    2017-02-15

    Highlights: • Due to its large specific surface porous silicon is used as substrate for drug therapy and biosensors. • We highlight the evidency of the contribution of the hydrides (SiHx) in the formation of the porous silicon. • The responsible species in the porous silicon formation are identified and quantified at different conditions. • By some chemical treatments we show that silicon surface can be turn from hydrophobic to hydrophilic. - Abstract: The finality of this work is devoted to the grafting of organic molecules on hydrogen passivated mesoporous silicon surfaces. The study would aid in the development for the formation of organic monolayers on silicon surface to be exploited for different applications such as the realisation of biosensors and medical devices. The basic material is silicon which has been first investigated by FTIR at atomistic plane during the anodic forward and backward polarization (i.e. “go” and “return”). For this study, we applied a numerical program based on least squares method to infrared absorbance spectra obtained by an in situ attenuated total reflection on p-type silicon in diluted HF electrolyte. Our numerical treatment is based on the fitting of the different bands of IR absorbance into Gaussians corresponding to the different modes of vibration of molecular groups such as siloxanes and hydrides. An adjustment of these absorbance bands is done systematically. The areas under the fitted bands permit one to follow the intensity of the different modes of vibration that exist during the anodic forward and backward polarization in order to compare the reversibility of the phenomenon of the anodic dissolution of silicon. It permits also to follow the evolution between the hydrogen silicon termination at forward and backward scanning applied potential. Finally a comparison between the states of the initial and final surface was carried out. We confirm the presence of clearly four and three distinct vibration modes

  18. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    Science.gov (United States)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  19. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  20. Nitric oxide levels in the anterior chamber of vitrectomized eyes with silicon oil

    Directory of Open Access Journals (Sweden)

    Paulo Escarião

    2013-10-01

    Full Text Available PURPOSE: To investigate the nitric oxide levels in the anterior chamber of eyes who underwent pars plana vitrectomy (PPV with silicone oil. METHODS: Patients who underwent PPV with silicon oil injection, from february 2005 to august 2007, were selected. Nine patients (nine eyes participated in the study (five women and four men. Nitric oxide concentration was quantified after the aspiration of aqueous humor samples during the procedure of silicon oil removal. Data such as: oil emulsification; presence of oil in the anterior chamber; intraocular pressure and time with silicone oil were evaluated. Values of p <0.05 were considered to be statistically significant. RESULTS: A positive correlation between nitric oxide concentration and time with silicon oil in the vitreous cavity (r=0.799 was observed. The nitric oxide concentration was significantly higher (p=0.02 in patients with silicon oil more than 24 months (0.90µmol/ml ± 0.59, n=3 in the vitreous cavity comparing to patients with less than 24 months (0.19µmol/ml ± 0.10, n=6. CONCLUSION: A positive correlation linking silicone oil time in the vitreous cavity with the nitric oxide concentration in the anterior chamber was observed.

  1. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya. [Russian Academy of Sciences, Kotel’nikov Institute of Radio Engineering and Electronics, Saratov Branch (Russian Federation)

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 to 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.

  2. Oxidation and corrosion of silicon-based ceramics and composites

    International Nuclear Information System (INIS)

    Jacobson, N.S.; Fox, D.S.; Smialek, J.L.

    1997-01-01

    Silica scales exhibit slow growth rates and a low activation energy. Thus silica-protected materials are attractive high temperature structural materials for their potentially excellent oxidation resistance and well-documented high temperature strength. This review focuses on silicon carbide, silicon nitride, and composites of these materials. It is divided into four parts: (i) Fundamental oxidation mechanisms, (ii) Special properties of silica scales, (iii) Protective coatings, and (iv) Internal oxidation behavior of composites. While the fundamental oxidation mechanism of SiC is understood, there are still many questions regarding the oxidation mechanism of Si 3 N 4 . Silica scales exhibit many unique properties as compared to chromia and alumina. These include slower growth rates, SiO(g) formation, sensitivity to water vapor and impurities, and dissolution by basic molten salts. Protective coatings can limit the deleterious effects. The fourth area-internal oxidation of fibers and fiber coatings in composites-has limited the application of these novel materials. Strategies for understanding and limiting this internal oxidation are discussed. (orig.)

  3. Femtosecond laser irradiation-induced infrared absorption on silicon surfaces

    Directory of Open Access Journals (Sweden)

    Qinghua Zhu

    2015-04-01

    Full Text Available The near-infrared (NIR absorption below band gap energy of crystalline silicon is significantly increased after the silicon is irradiated with femtosecond laser pulses at a simple experimental condition. The absorption increase in the NIR range primarily depends on the femtosecond laser pulse energy, pulse number, and pulse duration. The Raman spectroscopy analysis shows that after the laser irradiation, the silicon surface consists of silicon nanostructure and amorphous silicon. The femtosecond laser irradiation leads to the formation of a composite of nanocrystalline, amorphous, and the crystal silicon substrate surface with microstructures. The composite has an optical absorption enhancement at visible wavelengths as well as at NIR wavelength. The composite may be useful for an NIR detector, for example, for gas sensing because of its large surface area.

  4. Waveguiding properties of Er-implanted silicon-rich oxides

    International Nuclear Information System (INIS)

    Elliman, R.G.; Forcales, M.; Wilkinson, A.R.; Smith, N.J.

    2007-01-01

    The optical properties of erbium-doped silicon-rich silicon-oxide waveguides containing amorphous silicon nanoclusters and/or silicon nanocrystals are reported. Both amorphous nanoclusters and nanocrystals are shown to act as effective sensitizers for Er, with nanocrystals being more effective at low pump powers and nanoclusters being more effective at higher pump powers. All samples are shown to exhibit photo-induced absorption, as measured for a guided 1.5 μm probe beam while the waveguide was illuminated from above with a 477 nm pump beam. At a given pump power samples containing silicon nanocrystals exhibited greater attenuation than samples containing amorphous nanoclusters. The absorption is shown to be consistent with confined-carrier absorption due to photoexcited carriers in the nanocrystals and/or nanoclusters

  5. Effect of nanoscale surface roughness on the bonding energy of direct-bonded silicon wafers

    Science.gov (United States)

    Miki, N.; Spearing, S. M.

    2003-11-01

    Direct wafer bonding of silicon wafers is a promising technology for manufacturing three-dimensional complex microelectromechanical systems as well as silicon-on-insulator substrates. Previous work has reported that the bond quality declines with increasing surface roughness, however, this relationship has not been quantified. This article explicitly correlates the bond quality, which is quantified by the apparent bonding energy, and the surface morphology via the bearing ratio, which describes the area of surface lying above a given depth. The apparent bonding energy is considered to be proportional to the real area of contact. The effective area of contact is defined as the area sufficiently close to contribute to the attractive force between the two bonding wafers. Experiments were conducted with silicon wafers whose surfaces were roughened by a buffered oxide etch solution (BOE, HF:NH4F=1:7) and/or a potassium hydroxide solution. The surface roughness was measured by atomic force microscopy. The wafers were direct bonded to polished "monitor" wafers following a standard RCA cleaning and the resulting bonding energy was measured by the crack-opening method. The experimental results revealed a clear correlation between the bonding energy and the bearing ratio. A bearing depth of ˜1.4 nm was found to be appropriate for the characterization of direct-bonded silicon at room temperature, which is consistent with the thickness of the water layer at the interface responsible for the hydrogen bonds that link the mating wafers.

  6. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    OpenAIRE

    Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe

    2015-01-01

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...

  7. Research and development of photovoltaic power system. Research on surface passivation for high-efficiency silicon solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Hyomen passivation no kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Saito, T [Tokyo Univ. of Agriculture and Technology, Tokyo (Japan). Faculty of Technology

    1994-12-01

    This paper reports the result obtained during fiscal 1994 on research on surface passivation of high-efficiency silicon solar cells. In research on carrier recombination on SiO2/doped silicon interface, measurements were carried out on minority carrier life with respect to p-type silicon substrates with which phosphorus with high and low concentrations are diffused uniformly on the surface and non-uniformly on the back and then oxidized. The measurements were performed for the purpose of evaluating the carrier recombination at p-n junctions. Effective life time of oxidized test samples increased longer than that of prior to the oxidization as a result of effect of surface passivation contributing remarkably. In research on reduction in carrier recombination on SiO2/Si interface by using H radical annealing, experiments were conducted by using a method that uses more active H-atoms. As a result, it was revealed that the reduction effect is recognized at as low temperature as 200{degree}C, and photo-bias effect is also noticeable. Other research activities included analytic research on minority carrier recombination on micro crystalline silicon/crystalline silicon interface, and experimental research on evaluation of minority carrier life of poly-crystalline silicon wafers. 6 figs.

  8. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  9. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  10. 18O isotopic tracer studies of silicon oxidation in dry oxygen

    International Nuclear Information System (INIS)

    Han, C.J.

    1986-01-01

    Oxidation of silicon in dry oxygen has been an important process in the integrated circuit industry for making gate insulators on metal-oxide-semiconductory (MOS) devices. This work examines this process using isotopic tracers of oxygen to determine the transport mechanisms of oxygen through silicon dioxide. Oxides were grown sequentially using mass-16 and mass-18 oxygen gas sources to label the oxygen molecules from each step. The resulting oxides are analyzed using secondary ion mass spectrometry (SIMS). The results of these analyses suggest two oxidant species are present during the oxidation, each diffuses and oxidizes separately during the process. A model from this finding using a sum of two linear-parabolic growth rates, each representing the growth rate from one of the oxidants, describes the reported oxidation kinetics in the literature closely. A fit of this relationship reveals excellent fits to the data for oxide thicknesses ranging from 30 A to 1 μm and for temperatures ranging from 800 to 1200 0 C. The mass-18 oxygen tracers also enable a direct observation of the oxygen solubility in the silicon dioxide during a dry oxidation process. The SIMS profiles establish a maximum solubility for interstitial oxygen at 1000 0 C at 2 x 10 20 cm -3 . Furthermore, the mass-18 oxygen profiles show negligible network diffusion during an 1000 0 C oxidation

  11. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    Science.gov (United States)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  12. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  13. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  14. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  15. Indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  16. A highly sensitive and durable electrical sensor for liquid ethanol using thermally-oxidized mesoporous silicon

    Science.gov (United States)

    Harraz, Farid A.; Ismail, Adel A.; Al-Sayari, S. A.; Al-Hajry, A.; Al-Assiri, M. S.

    2016-12-01

    A capacitive detection of liquid ethanol using reactive, thermally oxidized films constructed from electrochemically synthesized porous silicon (PSi) is demonstrated. The sensor elements are fabricated as meso-PSi (pore sizes hydrophobic PSi surface exhibited almost a half sensitivity of the thermal oxide sensor. The response to water is achieved only at the oxidized surface and found to be ∼one quarter of the ethanol sensitivity, dependent on parameters such as vapor pressure and surface tension. The capacitance response retains ∼92% of its initial value after continuous nine cyclic runs and the sensors presumably keep long-term stability after three weeks storage, demonstrating excellent durability and storage stability. The observed behavior in current system is likely explained by the interface interaction due to dipole moment effect. The results suggest that the current sensor structure and design can be easily made to produce notably higher sensitivities for reversible detection of various analytes.

  17. Silicon oxide: a non-innocent surface for molecular electronics and nanoelectronics studies.

    Science.gov (United States)

    Yao, Jun; Zhong, Lin; Natelson, Douglas; Tour, James M

    2011-02-02

    Silicon oxide (SiO(x)) has been widely used in many electronic systems as a supportive and insulating medium. Here, we demonstrate various electrical phenomena such as resistive switching and related nonlinear conduction, current hysteresis, and negative differential resistance intrinsic to a thin layer of SiO(x). These behaviors can largely mimic numerous electrical phenomena observed in molecules and other nanomaterials, suggesting that substantial caution should be paid when studying conduction in electronic systems with SiO(x) as a component. The actual electrical phenomena can be the result of conduction from SiO(x) at a post soft-breakdown state and not the presumed molecular or nanomaterial component. These electrical properties and the underlying mechanisms are discussed in detail.

  18. High resolution medium energy ion scattering study of silicon oxidation and oxy nitridation

    International Nuclear Information System (INIS)

    Gusev, E.P.; Lu, H.C.; Garfunkel, E.; Gustafsson, T.

    1998-01-01

    Full text: Silicon oxide is likely to remain the material of choice for gate oxides in microelectronics for the foreseeable future. As device become ever smaller and faster, the thickness of these layers in commercial products is predicted to be less than 50 Angstroms in just a few years. An understanding of such devices will therefore likely to be based on microscopic concepts and should now be investigated by atomistic techniques. With medium energy ion scattering (MEIS) using an electrostatic energy analyzer, depth profiling of thin (<60 Angstroms) silicon oxide films on Si(100) with 3 - 5 Angstroms depth resolution in the near region has been done. The growth mechanism of thin oxide films on Si(100) has been studied, using sequential oxygen isotope exposures. It is found that the oxide films are stoichiometric to within approx. 10 Angstroms of the interface. It is also found that the oxidation reactions occur at the surface, in the transition region and at interface, with only the third region being included in the conventional (Deal-Grove) model for oxide formation. Nitrogen is sometimes added to gate oxides, as it has been found empirically that his improves some of the electrical properties. The role, location and even the amount of nitrogen that exists in such films are poorly understood, and represent interesting analytical challenges. MEIS data will be presented that address these questions, measured for a number of different processing conditions. We have recently demonstrated how to perform nitrogen nano-engineering in such ultrathin gate dielectrics, and these results will also be discussed

  19. Surface topography and morphology characterization of PIII irradiated silicon surface

    International Nuclear Information System (INIS)

    Sharma, Satinder K.; Barthwal, Sumit

    2008-01-01

    The effect of plasma immersion ion implantation (PIII) treatment on silicon surfaces was investigated by micro-Raman and atomic force microscopy (AFM) technique. The surface damage was given by the implantation of carbon, nitrogen, oxygen and argon ions using an inductively coupled plasma (ICP) source at low pressure. AFM studies show that surface topography of the PIII treated silicon wafers depend on the physical and chemical nature of the implanted species. Micro-Raman spectra indicate that the significant reduction of intensity of Raman peak after PIII treatment. Plasma immersion ion implantation is a non-line-of-sight ion implantation method, which allows 3D treatment of materials. Therefore, PIII based surface modification and plasma immersion ion deposition (PIID) coatings are applied in a wide range of situations.

  20. Silicon effects on formation of EPO oxide coatings on aluminum alloys

    International Nuclear Information System (INIS)

    Wang, L.; Nie, X.

    2006-01-01

    Electrolytic plasma processes (EPP) can be used for cleaning, metal-coating, carburizing, nitriding, and oxidizing. Electrolytic plasma oxidizing (EPO) is an advanced technique to deposit thick and hard ceramic coatings on a number of aluminum alloys. However, the EPO treatment on Al-Si alloys with a high Si content has rarely been reported. In this research, an investigation was conducted to clarify the effects of silicon contents on the EPO coating formation, morphology, and composition. Cast hypereutectic 390 alloys (∼ 17% Si) and hypoeutectic 319 alloys (∼ 7% Si) were chosen as substrates. The coating morphology, composition, and microstructure of the EPO coatings on those substrates were investigated using scanning electron microscopy (SEM) with energy dispersive X-ray (EDX) analysis and X-ray diffraction (XRD). A stylus roughness tester was used for surface roughness measurement. It was found that the EPO process had four stages where each stage was corresponding to various coating surface morphology, composition, and phase structures, characterised by different coating growth mechanisms

  1. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  2. Development of an oxidized porous silicon vacuum microtriode

    Energy Technology Data Exchange (ETDEWEB)

    Smith, II, Don Deewayne [Texas A & M Univ., College Station, TX (United States)

    1994-05-01

    In order to realize a high-power microwave amplifier design known as a gigatron, a gated field emission array must be developed that can deliver a high-intensity electron beam at gigahertz frequencies. No existing field emission device meets the requirements for a gigatron cathode. In the present work, a porous silicon-based approach is evaluated. The use of porous silicon reduces the size of a single emitter to the nanometer scale, and a true two-dimensional array geometry can be approached. A wide number of applications for such a device exist in various disciplines. Oxidized porous silicon vacuum diodes were first developed in 1990. No systematic study had been done to characterize the performance of these devices as a function of the process parameters. The author has done the first such study, fabricating diodes from p<100>, p<111>, and n<100> silicon substrates. Anodization current densities from 11 mA/cm2 to 151 mA/cm2 were used, and Fowler-Nordheim behavior was observed in over 80% of the samples. In order to effectively adapt this technology to mainstream vacuum microelectronic applications, a means of creating a gated triodic structure must be found. No previous attempts had successfully yielded such a device. The author has succeeded in utilizing a novel metallization method to fabricate the first operational oxidized porous silicon vacuum microtriodes, and results are encouraging.

  3. Influence of post-annealing on the electrical properties of metal/oxide/silicon nitride/oxide/silicon capacitors for flash memories

    International Nuclear Information System (INIS)

    Kim, Hee Dong; An, Ho-Myoung; Kim, Kyoung Chan; Seo, Yu Jeong; Kim, Tae Geun

    2008-01-01

    We report the effect of post-annealing on the electrical properties of metal/oxide/silicon nitride/oxide/silicon (MONOS) capacitors. Four samples, namely as-deposited and annealed at 750, 850 and 950 °C for 30 s in nitrogen ambient by a rapid thermal process, were prepared and characterized for comparison. The best performance with the largest memory window of 4.4 V and the fastest program speed of 10 ms was observed for the sample annealed at 850 °C. In addition, the highest traps density of 6.84 × 10 18 cm −3 was observed with ideal trap distributions for the same sample by capacitance–voltage (C–V) measurement. These results indicate that the memory traps in the ONO structure can be engineered by post-annealing to improve the electrical properties of the MONOS device

  4. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  5. Surface and interface strains studied by x-ray diffraction

    International Nuclear Information System (INIS)

    Akimoto, Koichi; Emoto, Takashi; Ichimiya, Ayahiko

    1998-01-01

    The authors have developed a technique of X-ray diffraction in order to measure strain fields near semiconductor surface and interface. The diffraction geometry is using the extremely asymmetric Bragg-case bulk reflection of a small incident angle to the surface and a large angle exiting from the surface. The incident angle of the X-rays is set near critical angle of total reflection by tuning X-ray energy of synchrotron radiation at the Photon Factory, Japan. For thermally grown-silicon oxide/Si(100) interface, the X-ray intensity of the silicon substrate 311 reflection has been measured. From comparison of the full width at half maxima (FWHM) of X-ray rocking curves of various thickness of silicon oxides, it has been revealed that silicon substrate lattice is highly strained in the thin (less than about 5 nm) silicon oxide/silicon system. In order to know the original silicon surface strain, the authors have also performed the same kind of measurements in the ultra-high vacuum chamber. A clean Si(111) 7x7 surface gives sharper X-ray diffraction peak than that of the native oxide/Si(111) system. From these measurements, it is concluded that the thin silicon oxide film itself gives strong strain fields to the silicon substrates, which may be the reason of the existence of the structural transition layer at the silicon oxide/Si interface

  6. Room temperature NO2 gas sensing of Au-loaded tungsten oxide nanowires/porous silicon hybrid structure

    International Nuclear Information System (INIS)

    Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming

    2016-01-01

    In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)

  7. Self-cleaning glass coating containing titanium oxide and silicon; Revestimentos autolimpantes para vidros contendo oxido de titanio e silicio

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P. [Universidade Federal do Rio Grande do Sul (LACER/UFRGS), Porto Alegre, RS (Brazil). Lab. de Materiais Ceramicos

    2009-07-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  8. Optimization of the Surface Structure on Black Silicon for Surface Passivation.

    Science.gov (United States)

    Jia, Xiaojie; Zhou, Chunlan; Wang, Wenjing

    2017-12-01

    Black silicon shows excellent anti-reflection and thus is extremely useful for photovoltaic applications. However, its high surface recombination velocity limits the efficiency of solar cells. In this paper, the effective minority carrier lifetime of black silicon is improved by optimizing metal-catalyzed chemical etching (MCCE) method, using an Al 2 O 3 thin film deposited by atomic layer deposition (ALD) as a passivation layer. Using the spray method to eliminate the impact on the rear side, single-side black silicon was obtained on n-type solar grade silicon wafers. Post-etch treatment with NH 4 OH/H 2 O 2 /H 2 O mixed solution not only smoothes the surface but also increases the effective minority lifetime from 161 μs of as-prepared wafer to 333 μs after cleaning. Moreover, adding illumination during the etching process results in an improvement in both the numerical value and the uniformity of the effective minority carrier lifetime.

  9. Characterization of Transition Metal Oxide/Silicon Heterojunctions for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Luis G. Gerling

    2015-10-01

    Full Text Available During the last decade, transition metal oxides have been actively investigated as hole- and electron-selective materials in organic electronics due to their low-cost processing. In this study, four transition metal oxides (V2O5, MoO3, WO3, and ReO3 with high work functions (>5 eV were thermally evaporated as front p-type contacts in planar n-type crystalline silicon heterojunction solar cells. The concentration of oxygen vacancies in MoO3−x was found to be dependent on film thickness and redox conditions, as determined by X-ray Photoelectron Spectroscopy. Transfer length method measurements of oxide films deposited on glass yielded high sheet resistances (~109 Ω/sq, although lower values (~104 Ω/sq were measured for oxides deposited on silicon, indicating the presence of an inversion (hole rich layer. Of the four oxide/silicon solar cells, ReO3 was found to be unstable upon air exposure, while V2O5 achieved the highest open-circuit voltage (593 mV and conversion efficiency (12.7%, followed by MoO3 (581 mV, 12.6% and WO3 (570 mV, 11.8%. A short-circuit current gain of ~0.5 mA/cm2 was obtained when compared to a reference amorphous silicon contact, as expected from a wider energy bandgap. Overall, these results support the viability of a simplified solar cell design, processed at low temperature and without dopants.

  10. Natural Contamination and Surface Flashover on Silicone Rubber Surface under Haze–Fog Environment

    Directory of Open Access Journals (Sweden)

    Ang Ren

    2017-10-01

    Full Text Available Anti-pollution flashover of insulator is important for power systems. In recent years, haze-fog weather occurs frequently, which makes discharge occurs easily on the insulator surface and accelerates insulation aging of insulator. In order to study the influence of haze-fog on the surface discharge of room temperature vulcanized silicone rubber, an artificial haze-fog lab was established. Based on four consecutive years of insulator contamination accumulation and atmospheric sampling in haze-fog environment, the contamination configuration appropriate for RTV-coated surface discharge test under simulation environment of haze-fog was put forward. ANSYS Maxwell was used to analyze the influence of room temperature vulcanized silicone rubber surface attachments on electric field distribution. The changes of droplet on the polluted room temperature vulcanized silicone rubber surface and the corresponding surface flashover voltage under alternating current (AC, direct current (DC positive polar (+, and DC negative polar (− power source were recorded by a high speed camera. The results are as follows: The main ion components from haze-fog atmospheric particles are NO3−, SO42−, NH4+, and Ca2+. In haze-fog environment, both the equivalent salt deposit density (ESDD and non-soluble deposit density (NSDD of insulators are higher than that under general environment. The amount of large particles on the AC transmission line is greater than that of the DC transmission line. The influence of DC polarity power source on the distribution of contamination particle size is not significant. After the deposition of haze-fog, the local conductivity of the room temperature vulcanized silicone rubber surface increased, which caused the flashover voltage reduce. Discharge is liable to occur at the triple junction point of droplet, air, and room temperature vulcanized silicone rubber surface. After the deformation or movement of droplets, a new triple junction

  11. Instrumental studies on silicone oil adsorption to the surface of intraocular lenses

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Chun Ho [Lab. of Tissue Engineering, Korea Institute of Radiological and Medical Sciences, Seoul 139-706 (Korea, Republic of); Joo, Choun-Ki [Department of Ophthalmology and Visual Science, Medical College of Catholic University, Seoul 137-701 (Korea, Republic of); Chun, Heung Jae, E-mail: chunhj@catholic.ac.kr [Institute of Cell and Tissue Engineering, Medical College of Catholic University, Seoul 137-701 (Korea, Republic of); Yoo, Bok Ryul [Organosilicone Chemistry Laboratory, Korea Institute of Science and Technology, Seoul 130-650 (Korea, Republic of); Noh, Dong Il; Shim, Young Bock [Research Institute of Biomedical Engineering, Korea Bone Bank Co. Ltd., Seoul 153-782 (Korea, Republic of)

    2012-12-01

    Highlights: Black-Right-Pointing-Pointer It was found that PHEMA and Acrysof IOLs possess silicone oil repellant ability. Black-Right-Pointing-Pointer The residual silicone oil was detected on the surfaces of PMMA and silicone IOLs. Black-Right-Pointing-Pointer XPS studies showed that silicone oil coverage of PMMA lenses was 12%. Black-Right-Pointing-Pointer Silicone oil covered the entire surface of the silicone IOLs. - Abstract: The purpose of this study was to examine the degree of adherence of silicone oil to various intraocular lenses (IOLs) through comparison of the physico-chemical properties of the oil and IOLs. Four kinds of IOLs comprising various biomaterials were examined: PMMA (720A Trade-Mark-Sign ), PHEMA (IOGEL 1103 Trade-Mark-Sign ), Acrysof (MA60BM Trade-Mark-Sign ), and silicone (SI30NB Trade-Mark-Sign ). Each lens was immersed in silicone oil or carboxylated silicone (CS-PDMS) oil for 72 h. For determination of the changes in chemical and elemental compositions on the surfaces of IOLs caused by the contact with silicone oil, IOLs were washed and rinsed with n-pentane to remove as much of the adsorbed silicone oil as possible, then subjected to Fourier transform infrared spectroscopic (FTIR) and X-ray photoelectron spectroscopic (XPS) analyses. The results of FTIR studies strongly indicate that washing with n-pentane completely removed the adhered silicone oil on the surfaces of PHEMA and Acrysof IOLs, whereas the residual silicone oil was detected on the surfaces of PMMA and silicone IOLs. XPS studies showed that silicone oil coverage of PMMA lenses was 12%, even after washing with n-pentane. In the case of silicone IOLs, the relative O1s peak area of carboxyl group in the residual CS-PDMS oil was found to be {approx}2.7%. Considering that 2.8% carboxyl group-substituted silicone oil was used in the present study, CS-PDMS oil covered the entire surface of the silicone IOLs.

  12. Facile preparation of superhydrophobic surfaces based on metal oxide nanoparticles

    Science.gov (United States)

    Bao, Xue-Mei; Cui, Jin-Feng; Sun, Han-Xue; Liang, Wei-Dong; Zhu, Zhao-Qi; An, Jin; Yang, Bao-Ping; La, Pei-Qing; Li, An

    2014-06-01

    A novel method for fabrication of superhydrophobic surfaces was developed by facile coating various metal oxide nanoparticles, including ZnO, Al2O3 and Fe3O4, on various substrates followed by treatment with polydimethylsiloxane (PDMS) via chemical vapor deposition (CVD) method. Using ZnO nanoparticles as a model, the changes in the surface chemical composition and crystalline structures of the metal oxide nanoparticles by PDMS treatment were investigated by X-ray photoelectron spectroscopy (XPS), X-ray powder diffraction (XRD) and Fourier transform infrared (FTIR) analysis. The results show that the combination of the improved surface roughness generated from of the nanoparticles aggregation with the low surface-energy of silicon-coating originated from the thermal pyrolysis of PDMS would be responsible for the surface superhydrophobicity. By a simple dip-coating method, we show that the metal oxide nanoparticles can be easily coated onto the surfaces of various textural and dimensional substrates, including glass slide, paper, fabric or sponge, for preparation of superhydrophobic surfaces for different purpose. The present strategy may provide an inexpensive and new route to surperhydrophobic surfaces, which would be of technological significance for various practical applications especially for separation of oils or organic contaminates from water.

  13. Facile preparation of superhydrophobic surfaces based on metal oxide nanoparticles

    International Nuclear Information System (INIS)

    Bao, Xue-Mei; Cui, Jin-Feng; Sun, Han-Xue; Liang, Wei-Dong; Zhu, Zhao-Qi; An, Jin; Yang, Bao-Ping; La, Pei-Qing; Li, An

    2014-01-01

    A novel method for fabrication of superhydrophobic surfaces was developed by facile coating various metal oxide nanoparticles, including ZnO, Al 2 O 3 and Fe 3 O 4 , on various substrates followed by treatment with polydimethylsiloxane (PDMS) via chemical vapor deposition (CVD) method. Using ZnO nanoparticles as a model, the changes in the surface chemical composition and crystalline structures of the metal oxide nanoparticles by PDMS treatment were investigated by X-ray photoelectron spectroscopy (XPS), X-ray powder diffraction (XRD) and Fourier transform infrared (FTIR) analysis. The results show that the combination of the improved surface roughness generated from of the nanoparticles aggregation with the low surface-energy of silicon-coating originated from the thermal pyrolysis of PDMS would be responsible for the surface superhydrophobicity. By a simple dip-coating method, we show that the metal oxide nanoparticles can be easily coated onto the surfaces of various textural and dimensional substrates, including glass slide, paper, fabric or sponge, for preparation of superhydrophobic surfaces for different purpose. The present strategy may provide an inexpensive and new route to surperhydrophobic surfaces, which would be of technological significance for various practical applications especially for separation of oils or organic contaminates from water.

  14. Facile preparation of superhydrophobic surfaces based on metal oxide nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Bao, Xue-Mei; Cui, Jin-Feng; Sun, Han-Xue; Liang, Wei-Dong; Zhu, Zhao-Qi; An, Jin; Yang, Bao-Ping; La, Pei-Qing; Li, An, E-mail: lian2010@lut.cn

    2014-06-01

    A novel method for fabrication of superhydrophobic surfaces was developed by facile coating various metal oxide nanoparticles, including ZnO, Al{sub 2}O{sub 3} and Fe{sub 3}O{sub 4}, on various substrates followed by treatment with polydimethylsiloxane (PDMS) via chemical vapor deposition (CVD) method. Using ZnO nanoparticles as a model, the changes in the surface chemical composition and crystalline structures of the metal oxide nanoparticles by PDMS treatment were investigated by X-ray photoelectron spectroscopy (XPS), X-ray powder diffraction (XRD) and Fourier transform infrared (FTIR) analysis. The results show that the combination of the improved surface roughness generated from of the nanoparticles aggregation with the low surface-energy of silicon-coating originated from the thermal pyrolysis of PDMS would be responsible for the surface superhydrophobicity. By a simple dip-coating method, we show that the metal oxide nanoparticles can be easily coated onto the surfaces of various textural and dimensional substrates, including glass slide, paper, fabric or sponge, for preparation of superhydrophobic surfaces for different purpose. The present strategy may provide an inexpensive and new route to surperhydrophobic surfaces, which would be of technological significance for various practical applications especially for separation of oils or organic contaminates from water.

  15. Al-Si alloy point contact formation and rear surface passivation for silicon solar cells using double layer porous silicon

    International Nuclear Information System (INIS)

    Moumni, Besma; Ben Jaballah, Abdelkader; Bessais, Brahim

    2012-01-01

    Lowering the rear surface recombination velocities by a dielectric layer has fascinating advantages compared with the standard fully covered Al back-contact silicon solar cells. In this work the passivation effect by double layer porous silicon (PS) (wide band gap) and the formation of Al-Si alloy in narrow p-type Si point contact areas for rear passivated solar cells are analysed. As revealed by Fourier transform infrared spectroscopy, we found that a thin passivating aluminum oxide (Al 2 O 3 ) layer is formed. Scanning electron microscopy analysis performed in cross sections shows that with bilayer PS, liquid Al penetrates into the openings, alloying with the Si substrate at depth and decreasing the contact resistivity. At the solar cell level, the reduction in the contact area and resistivity leads to a minimization of the fill factor losses.

  16. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  17. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  18. Ozone Oxidation of Self-Assembled Monolayers on SiOx-Coated Zinc Selenide Surfaces

    Science.gov (United States)

    McIntire, T. M.; Ryder, O. S.; Finlayson-Pitts, B. J.

    2008-12-01

    Airborne particles are important for visibility, human health, climate, and atmospheric reactions. Atmospheric particles contain a significant fraction of organics and such compounds present on airborne particles are susceptible to oxidation by atmospheric oxidants, such as OH, ozone, halogen atoms, and nitrogen trioxide. Oxidized organics associated with airborne particles are thought to be polar, hygroscopic species with enhanced cloud-nucleating properties. Oxide layers on silicon, or SiO2-coated substrates, act as models of environmentally relevant surfaces such as dust particles upon which organics adsorb. We have shown previously that ozone oxidation of unsaturated self-assembled monolayers (SAMs) on silicon attenuated total reflectance (ATR) crystals leads to the formation of carbonyl groups and micron-sized, hydrophobic organic aggregates surrounded by carbon depleted substrate that do not have increased water uptake as previously assumed. Reported here are further ATR-FTIR studies of the oxidation of alkene SAMs on ZnSe and SiO2-coated ZnSe. These substrates have the advantage that they transmit below 1500 cm-1, allowing detection of additional product species. These experiments show that the loss of C=C and formation of carbonyl groups is also accompanied by formation of a peak at 1110 cm-1, attributed to the secondary ozonide. Details concerning the products and mechanism of ozonolysis of alkene SAMs on surfaces based on these new data are presented and the implications for the oxidation of alkenes on airborne dust particles are discussed.

  19. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  20. Porous silicon structures with high surface area/specific pore size

    Science.gov (United States)

    Northrup, M.A.; Yu, C.M.; Raley, N.F.

    1999-03-16

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gases in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters. 9 figs.

  1. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  2. Correlation between surface microstructure and optical properties of porous silicon

    Directory of Open Access Journals (Sweden)

    Saeideh Rhramezani Sani

    2007-12-01

    Full Text Available   We have studied the effect of increasing porosity and its microstructure surface variation on the optical and dielectric properties of porous silicon. It seems that porosity, as the surface roughness within the range of a few microns, shows quantum effect in the absorption and reflection process of porous silicon. Optical constants of porous silicon at normal incidence of light with wavelength in the range of 250-3000 nm have been calculated by Kramers-Kroning method. Our experimental analysis shows that electronic structure and dielectric properties of porous silicon are totally different from silicon. Also, it shows that porous silicon has optical response in the visible region. This difference was also verified by effective media approximation (EMA.

  3. A multimodal optical and electrochemical device for monitoring surface reactions: redox active surfaces in porous silicon Rugate filters.

    Science.gov (United States)

    Ciampi, Simone; Guan, Bin; Darwish, Nadim A; Zhu, Ying; Reece, Peter J; Gooding, J Justin

    2012-12-21

    Herein, mesoporous silicon (PSi) is configured as a single sensing device that has dual readouts; as a photonic crystal sensor in a Rugate filter configuration, and as a high surface area porous electrode. The as-prepared PSi is chemically modified to provide it with stability in aqueous media and to allow for the subsequent coupling of chemical species, such as via Cu(I)-catalyzed cycloaddition reactions between 1-alkynes and azides ("click" reactions). The utility of the bimodal capabilities of the PSi sensor for monitoring surface coupling procedures is demonstrated by the covalent coupling of a ferrocene derivative, as well as by demonstrating ligand-exchange reactions (LER) at the PSi surface. Both types of reactions were monitored through optical reflectivity measurements, as well as electrochemically via the oxidation/reduction of the surface tethered redox species.

  4. Interface bonding in silicon oxide nanocontacts: interaction potentials and force measurements

    Science.gov (United States)

    Wierez-Kien, M.; Craciun, A. D.; Pinon, A. V.; Le Roux, S.; Gallani, J. L.; Rastei, M. V.

    2018-04-01

    The interface bonding between two silicon-oxide nanoscale surfaces has been studied as a function of atomic nature and size of contacting asperities. The binding forces obtained using various interaction potentials are compared with experimental force curves measured in vacuum with an atomic force microscope. In the limit of small nanocontacts (typically contact area which is altered by stretching speeds. The mean unbinding force is found to decrease as the contact spends time in the attractive regime. This contact weakening is featured by a negative aging coefficient which broadens and shifts the thermal-induced force distribution at low stretching speeds.

  5. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  6. High Surface Area of Porous Silicon Drives Desorption of Intact Molecules

    Science.gov (United States)

    Northen, Trent R.; Woo, Hin-Koon; Northen, Michael T.; Nordström, Anders; Uritboonthail, Winnie; Turner, Kimberly L.; Siuzdak, Gary

    2007-01-01

    The surface structure of porous silicon used in desorption/ionization on porous silicon (DIOS) mass analysis is known to play a primary role in the desorption/ionization (D/I) process. In this study, mass spectrometry and scanning electron microscopy (SEM) are used to examine the correlation between intact ion generation with surface ablation, and surface morphology. The DIOS process is found to be highly laser energy dependent and correlates directly with the appearance of surface ions (Sin+ and OSiH+). A threshold laser energy for DIOS is observed (10 mJ/cm2), which supports that DIOS is driven by surface restructuring and is not a strictly thermal process. In addition, three DIOS regimes are observed which correspond to surface restructuring and melting. These results suggest that higher surface area silicon substrates may enhance DIOS performance. A recent example which fits into this mechanism is silicon nanowires surface which have a high surface energy and concomitantly requires lower laser energy for analyte desorpton. PMID:17881245

  7. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  8. The role of oxide interlayers in back reflector configurations for amorphous silicon solar cells

    NARCIS (Netherlands)

    Demontis, V.; Sanna, C.; Melskens, J.; Santbergen, R.; Smets, A.H.M.; Damiano, A.; Zeman, M.

    2013-01-01

    Thin oxide interlayers are commonly added to the back reflector of thin-film silicon solar cells to increase their current. To gain more insight in the enhancement mechanism, we tested different back reflector designs consisting of aluminium-doped zinc oxide (ZnO:Al) and/or hydrogenated silicon

  9. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  10. Covalent biofunctionalization of silicon nitride surfaces

    NARCIS (Netherlands)

    Arafat, A.; Giesbers, M.; Rosso, M.; Sudhölter, E.J.R.; Schroën, C.G.P.H.; White, R.G.; Li Yang,; Linford, M.R.; Zuilhof, H.

    2007-01-01

    Covalently attached organic monolayers on etched silicon nitride (SixN4; x 3) surfaces were prepared by reaction of SixN4-coated wafers with neat or solutions of 1-alkenes and 1-alkynes in refluxing mesitylene. The surface modification was monitored by measurement of the static water contact angle,

  11. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  12. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  13. Grafting of functionalized polymer on porous silicon surface using Grignard reagent

    Science.gov (United States)

    Tighilt, F.-Z.; Belhousse, S.; Sam, S.; Hamdani, K.; Lasmi, K.; Chazalviel, J. N.; Gabouze, N.

    2017-11-01

    Recently, considerable attention has been paid to the manipulation and the control of the physicochemical properties of porous silicon surfaces because of their crucial importance to the modern microelectronics industry. Hybrid structures consisting of deposited polymer on porous silicon surfaces are important to applications in microelectronics, photovoltaics and sensors (Ensafi et al., 2016; Kashyout et al., 2015; Osorio et al.; 2015; Hejjo et al., 2002) [1-4]. In many cases, the polymer can provide excellent mechanical and chemical protection of the substrate, changes the electrochemical interface characteristics of the substrate, and provides new ways to the functionalization of porous silicon surfaces for molecular recognition and sensing. In this work, porous silicon surface was modified by anodic treatment in ethynylmagnesium bromide electrolyte leading to the formation of a polymeric layer bearing some bromine substituents. Subsequently, the formed polymer is functionalized with amine molecules containing functional groups (carboxylic acid or pyridine) by a substitution reaction between bromine sites and amine groups (Hofmann reaction). The chemical composition of the modified porous silicon surfaces was investigated and the grafting of polymeric chains and functional groups on the porous silicon surface was confirmed by Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) which displayed the principal characteristic peaks attributed to the different functional groups. Furthermore, the surface of the material was examined by scanning electron microscopy (SEM).

  14. Fabrication of wear-resistant silicon microprobe tips for high-speed surface roughness scanning devices

    Science.gov (United States)

    Wasisto, Hutomo Suryo; Yu, Feng; Doering, Lutz; Völlmeke, Stefan; Brand, Uwe; Bakin, Andrey; Waag, Andreas; Peiner, Erwin

    2015-05-01

    Silicon microprobe tips are fabricated and integrated with piezoresistive cantilever sensors for high-speed surface roughness scanning systems. The fabrication steps of the high-aspect-ratio silicon microprobe tips were started with photolithography and wet etching of potassium hydroxide (KOH) resulting in crystal-dependent micropyramids. Subsequently, thin conformal wear-resistant layer coating of aluminum oxide (Al2O3) was demonstrated on the backside of the piezoresistive cantilever free end using atomic layer deposition (ALD) method in a binary reaction sequence with a low thermal process and precursors of trimethyl aluminum and water. The deposited Al2O3 layer had a thickness of 14 nm. The captured atomic force microscopy (AFM) image exhibits a root mean square deviation of 0.65 nm confirming the deposited Al2O3 surface quality. Furthermore, vacuum-evaporated 30-nm/200-nm-thick Au/Cr layers were patterned by lift-off and served as an etch mask for Al2O3 wet etching and in ICP cryogenic dry etching. By using SF6/O2 plasma during inductively coupled plasma (ICP) cryogenic dry etching, micropillar tips were obtained. From the preliminary friction and wear data, the developed silicon cantilever sensor has been successfully used in 100 fast measurements of 5- mm-long standard artifact surface with a speed of 15 mm/s and forces of 60-100 μN. Moreover, the results yielded by the fabricated silicon cantilever sensor are in very good agreement with those of calibrated profilometer. These tactile sensors are targeted for use in high-aspect-ratio microform metrology.

  15. Extrinsic passivation of silicon surfaces for solar cells

    OpenAIRE

    Bonilla, R.S.; Reichel, C.; Hermle, M.; Martins, G.; Wilshaw, P.R.

    2015-01-01

    In the present work we study the extent to which extrinsic chemical and field effect passivation can improve the overall electrical passivation quality of silicon dioxide on silicon. Here we demonstrate that, when optimally applied, extrinsic passivation can produce surface recombination velocities below 1.2 cm/s in planar 1 Omega cm n-type Si. This is largely due to the additional field effect passivation component which reduces the recombination velocity below 2.13 cm/s. On textured surface...

  16. Magnetic oxide heterostructures. EuO on cubic oxides and on silicon

    International Nuclear Information System (INIS)

    Caspers, Christian

    2013-01-01

    In the thesis at hand, we explore fundamental properties of ultrathin europium oxide (EuO) films. EuO is a model system of a localized 4f Heisenberg ferromagnet, in which the ferromagnetic coupling. provided a high crystalline quality. can be tuned by biaxial lattice strain. Moreover, the magnetic oxide EuO is perfectly suited as a spin-functional tunnel contact for silicon spintronics. However, up to now a challenging bulk and interface chemistry of EuO and Si has hampered a seamless integration into functional silicon heterostructures. In order to investigate fundamental aspects of the magnetic and electronic structure of ultrathin EuO, in the first part of this thesis, we synthesize EuO thin films on conductive YSZ substrates from bulklike thicknesses down to one nanometer by oxide molecular beam epitaxy (MBE). The EuO thin films are of textbook-like single-crystalline quality, and show bulk-like magnetic properties. We control the stoichiometry of buried EuO thin films by hard X-ray photoemission spectroscopy (HAXPES); even a 1 nm ultrathin EuO film exhibits no valence change or interface shifts. Furthermore, we conduct an advanced magnetic characterization by the magnetic circular dichroism (MCD) of Eu core-levels in photoemission, this gives us insight into the intra-atomic exchange coupling of EuO thin films. The MCD reveals large asymmetries of up to 49% in the well-resolved Eu 4d photoemission multiplet. Thus, ultrathin EuO coherently grown on conductive YSZ allows us to explore fundamental magnetic and electronic properties of a 4f magnetic oxide. Biaxial lateral strain applied to single-crystalline EuO is of fundamental interest, since it alters the electronic structure and magnetic coupling in a controlled way. We apply +4.2% tensile biaxial strain to EuO by epitaxial EuO/LaAlO 3 (100) heterostructures. EuO seamlessly adapts the lateral lattice parameter of LaAlO 3 , while the perpendicular parameter of EuO is the unchanged EuO bulk value, thus the

  17. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  18. Stable electroluminescence from passivated nano-crystalline porous silicon using undecylenic acid

    Science.gov (United States)

    Gelloz, B.; Sano, H.; Boukherroub, R.; Wayner, D. D. M.; Lockwood, D. J.; Koshida, N.

    2005-06-01

    Stabilization of electroluminescence from nanocrystalline porous silicon diodes has been achieved by replacing silicon-hydrogen bonds terminating the surface of nanocrystalline silicon with more stable silicon-carbon (Si-C) bonds. Hydrosilylation of the surface of partially and anodically oxidized porous silicon samples was thermally induced at about 90 °C using various different organic molecules. Devices whose surface have been modified with stable covalent bonds shows no degradation in the EL efficiency and EL output intensity under DC operation for several hours. The enhanced stability can be attributed to the high chemical resistance of Si-C bonds against current-induced surface oxidation associated with the generation of nonradiative defects. Although devices treated with 1-decene exhibit reduced EL efficiency and brightness compared to untreatred devices, other molecules, such as ethyl-undecylenate and particularly undecylenic acid provide stable and more efficient visible electroluminescence at room temperature. Undecylenic acid provides EL brightness as high as that of an untreated device.

  19. The effect of oxidation on the efficiency and spectrum of photoluminescence of porous silicon

    International Nuclear Information System (INIS)

    Bulakh, B. M.; Korsunska, N. E.; Khomenkova, L. Yu.; Staraya, T. R.; Sheinkman, M. K.

    2006-01-01

    The photoluminescence spectra of porous silicon and their temperature dependences and transformations on aging are studied. It is shown that the infrared band prevailing in the spectra of as-prepared samples is due to exciton recombination in silicon crystallites. On aging, a well-pronounced additional band is observed at shorter wavelengths of the spectra. It is assumed that this band is due to the recombination of carriers that are excited in silicon crystallites and recombine via some centers located in oxide. It is shown that the broad band commonly observable in oxidized porous silicon is a superposition of the above two bands. The dependences of the peak positions and integrated intensities of the bands on time and temperature are studied. The data on the distribution of oxide centers with depth in the porous layer are obtained

  20. Preparation and properties of novel epoxy/graphene oxide nanosheets (GON) composites functionalized with flame retardant containing phosphorus and silicon

    International Nuclear Information System (INIS)

    Li, Kuo-Yi; Kuan, Chen-Feng; Kuan, Hsu-Chiang; Chen, Chia-Hsun; Shen, Ming-Yuan; Yang, Jia-Ming; Chiang, Chin-Lung

    2014-01-01

    2-(Diphenylphosphino)ethyltriethoxy silane (DPPES) was grafted onto the surface of graphene oxide nanosheets (GON) via a condensation reaction. X-ray photoelectron spectroscopy, X-ray diffractometry, Fourier transform infrared spectroscopy and Raman spectroscopy verify that DPPES did not only covalently bond to GON as a functionalization moiety, but partly restored its conjugated structure as a reducing agent. DPPES on graphene sheets oxide was observed by transmission electron microscopy, and contributed to the favorable dispersion of DPPES-GON in nonpolar toluene. Additionally, the flame retardancy and thermal stability of epoxy/DPPES-GON nanocomposites that contain various weight fractions of DPPES-GON were studied using the limiting oxygen index test, UL-94 test and by thermogravimetric analysis in nitrogen. The composites containing 10 wt% DPPES-GON can pass V-0 rating in UL-94 test. Adding 10 wt% DPPES-GON in epoxy greatly increased the char yield and LOI by 42% and 80%, respectively. Epoxy/DPPES-GON nanocomposites with phosphorus, silicon and graphene layer structures were found to exhibit much greater flame retardancy than neat epoxy. The synergistic effects among silicon, phosphorus and GON can improve the flame retardancy of epoxy resin. - Highlights: • Flame retardant was grafted on the surface of graphene oxide nanosheets (GON) by the condensation reaction. • The synergistic effect between silicon, phosphorus and GON improved the flame retardance of epoxy resin. • Epoxy composites have excellent flame retardance at low additive concentrations

  1. Effect of charged deep states in hydrogenated amorphous silicon on the behavior of iron oxides nanoparticles deposited on its surface

    International Nuclear Information System (INIS)

    Gmucova, Katarina; Weis, Martin; Nadazdy, Vojtech; Capek, Ignac; Satka, Alexander; Chitu, Livia; Cirak, Julius; Majkova, Eva

    2008-01-01

    Langmuir-Blodgett technique has been used for the deposition of ordered two-dimensional arrays of iron oxides (Fe 3 O 4 /Fe 2 O 3 ) nanoparticles onto the photovoltaic hydrogenated amorphous silicon (a-Si:H) thin film. Electric field at the a-Si:H/iron oxides nanoparticles interface was directly in the electrochemical cell modified by light soaking and bias voltage (negative or positive) pretreatment resulting in the change of the dominant type of charged deep states in the a-Si:H layer. Induced reversible changes in the nanoparticle redox behavior have been observed. We suggest two possible explanations of the data obtained, both of them are needed to describe measured electrochemical signals. The first one consists in the electrocatalytical effect caused by the defect states (negatively or positively charged) in the a-Si:H layer. The second one consists in the possibility to manipulate the nanoparticle cores in the prepared structure immersed in aqueous solution via the laser irradiation under specific bias voltage. In this case, the nanoparticle cores are assumed to be covered with surface clusters of heterovalent complexes created onto the surface regions with prevailing ferrous or ferric valency. Immersed in the high viscosity surrounding composed of the wet organic nanoparticle envelope these cores are able to perform a field-assisted pivotal motion. The local electric field induced by the deep states in the a-Si:H layer stabilizes their 'orientation ordering' in an energetically favourable position

  2. Study of double porous silicon surfaces for enhancement of silicon solar cell performance

    Science.gov (United States)

    Razali, N. S. M.; Rahim, A. F. A.; Radzali, R.; Mahmood, A.

    2017-09-01

    In this work, design and simulation of double porous silicon surfaces for enhancement of silicon solar cell is carried out. Both single and double porous structures are constructed by using TCAD ATHENA and TCAD DEVEDIT tools of the SILVACO software respectively. After the structures were created, I-V characteristics and spectral response of the solar cell were extracted using ATLAS device simulator. Finally, the performance of the simulated double porous solar cell is compared with the performance of both single porous and bulk-Si solar cell. The results showed that double porous silicon solar cell exhibited 1.8% efficiency compared to 1.3% and 1.2% for single porous silicon and bulk-Si solar cell.

  3. Controlling the Nanoscale Patterning of AuNPs on Silicon Surfaces

    Directory of Open Access Journals (Sweden)

    Chris J. Allender

    2013-03-01

    Full Text Available This study evaluates the effectiveness of vapour-phase deposition for creating sub-monolayer coverage of aminopropyl triethoxysilane (APTES on silicon in order to exert control over subsequent gold nanoparticle deposition. Surface coverage was evaluated indirectly by observing the extent to which gold nanoparticles (AuNPs deposited onto the modified silicon surface. By varying the distance of the silicon wafer from the APTES source and concentration of APTES in the evaporating media, control over subsequent gold nanoparticle deposition was achievable to an extent. Fine control over AuNP deposition (AuNPs/μm2 however, was best achieved by adjusting the ionic concentration of the AuNP-depositing solution. Furthermore it was demonstrated that although APTES was fully removed from the silicon surface following four hours incubation in water, the gold nanoparticle-amino surface complex was stable under the same conditions. Atomic force microscopy (AFM and X-ray photoelectron spectroscopy (XPS were used to study these affects.

  4. Microstructure and oxidative degradation behavior of silicon carbide fiber Hi-Nicalon type S

    International Nuclear Information System (INIS)

    Takeda, M.; Urano, A.; Sakamoto, J.; Imai, Y.

    1998-01-01

    Polycarbosilane-derived SiC fibers, Nicalon, Hi-Nicalon, and Hi-Nicalon type S were exposed for 1 to 100 h at 1273-1773 K in air. Oxide layer growth and tensile strength change of these fibers were examined after the oxidation test. As a result, three types of SiC fibers decreased their strength as oxide layer thickness increased. Fracture origins were determined at near the oxide layer-fiber interface. Adhered fibers arised from softening of silicon oxide at high temperature were also observed. In this study, Hi-Nicalon type S showed better oxidation resistance than other polycarbosilane-derived SiC fibers after 1673 K or higher temperature exposure in air for 10 h. This result was explained by the poreless silicon oxide layer structure of Hi-Nicalon type S. (orig.)

  5. Nanolayer surface passivation schemes for silicon solar cells

    NARCIS (Netherlands)

    Dingemans, G.

    2011-01-01

    This thesis is concerned with nanolayer surface passivation schemes and corresponding deposition processes, for envisaged applications in crystalline silicon solar cells. Surface passivation, i.e. the reduction of electronic recombination processes at semiconductor surfaces, is essential for

  6. Demultiplexing Surface Waves With Silicon Nanoantennas

    DEFF Research Database (Denmark)

    Sinev, I.; Bogdanov, A.; Komissarenko, F.

    2017-01-01

    We demonstrate directional launching of surface plasmon polaritons on thin gold film with a single silicon nanosphere. The directivity pattern of the excited surface waves exhibits rapid switching from forward to backward excitation within extremely narrow spectral hand (! 50 nm), which is driven...... by the mutual interference of magnetic and electric dipole moments supported by the dielectric nanoantenna....

  7. Surface wave photonic device based on porous silicon multilayers

    International Nuclear Information System (INIS)

    Guillermain, E.; Lysenko, V.; Benyattou, T.

    2006-01-01

    Porous silicon is widely studied in the field of photonics due to its interesting optical properties. In this work, we present theoretical and first experimental studies of a new kind of porous silicon photonic device based on optical surface wave. A theoretical analysis of the device is presented using plane-wave approximation. The porous silicon multilayered structures are realized using electrochemical etching of p + -type silicon. Morphological and optical characterizations of the realized structures are reported

  8. Silicon quantum dots: surface matters

    Czech Academy of Sciences Publication Activity Database

    Dohnalová, K.; Gregorkiewicz, T.; Kůsová, Kateřina

    2014-01-01

    Roč. 26, č. 17 (2014), 1-28 ISSN 0953-8984 R&D Projects: GA ČR GPP204/12/P235 Institutional support: RVO:68378271 Keywords : silicon quantum dots * quantum dot * surface chemistry * quantum confinement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.346, year: 2014

  9. Interaction at the silicon/transition metal oxide heterojunction interface and its effect on the photovoltaic performance.

    Science.gov (United States)

    Liang, Zhimin; Su, Mingze; Zhou, Yangyang; Gong, Li; Zhao, Chuanxi; Chen, Keqiu; Xie, Fangyan; Zhang, Weihong; Chen, Jian; Liu, Pengyi; Xie, Weiguang

    2015-11-07

    The interfacial reaction and energy level alignment at the Si/transition metal oxide (TMO, including MoO3-x, V2O5-x, WO3-x) heterojunction are systematically investigated. We confirm that the interfacial reaction appears during the thermal deposition of TMO, with the reaction extent increasing from MoO3-x, to V2O5-x, and to WO3-x. The reaction causes the surface oxidation of silicon for faster electron/hole recombination, and the reduction of TMO for effective hole collection. The photovoltaic performance of the Si/TMO heterojunction devices is affected by the interface reaction. MoO3-x are the best hole selecting materials that induce least surface oxidation but strongest reduction. Compared with H-passivation, methyl group passivation is an effective way to reduce the interface reaction and improve the interfacial energy level alignment for better electron and hole collection.

  10. Broadband antireflective silicon carbide surface produced by cost-effective method

    DEFF Research Database (Denmark)

    Argyraki, Aikaterini; Ou, Yiyu; Ou, Haiyan

    2013-01-01

    A cost-effective method for fabricating antireflective subwavelength structures on silicon carbide is demonstrated. The nanopatterning is performed in a 2-step process: aluminum deposition and reactive ion etching. The effect, of the deposited aluminum film thickness and the reactive ion etching...... conditions, on the average surface reflectance and nanostructure landscape have been investigated systematically. The average reflectance of silicon carbide surface is significantly suppressed from 25.4% to 0.05%, under the optimal experimental conditions, in the wavelength range of 390-784 nm. The presence...... of stochastic nanostructures also changes the wetting properties of silicon carbide surface from hydrophilic (47°) to hydrophobic (108°)....

  11. Friction-induced nanofabrication on monocrystalline silicon

    International Nuclear Information System (INIS)

    Yu Bingjun; Qian Linmao; Yu Jiaxin; Zhou Zhongrong; Dong Hanshan; Chen Yunfei

    2009-01-01

    Fabrication of nanostructures has become a major concern as the scaling of device dimensions continues. In this paper, a friction-induced nanofabrication method is proposed to fabricate protrusive nanostructures on silicon. Without applying any voltage, the nanofabrication is completed by sliding an AFM diamond tip on a sample surface under a given normal load. Nanostructured patterns, such as linear nanostructures, nanodots or nanowords, can be fabricated on the target surface. The height of these nanostructures increases rapidly at first and then levels off with the increasing normal load or number of scratching cycles. TEM analyses suggest that the friction-induced hillock is composed of silicon oxide, amorphous silicon and deformed silicon structures. Compared to the tribochemical reaction, the amorphization and crystal defects induced by the mechanical interaction may have played a dominating role in the formation of the hillocks. Similar to other proximal probe methods, the proposed method enables fabrication at specified locations and facilitates measuring the dimensions of nanostructures with high precision. It is highlighted that the fabrication can also be realized on electrical insulators or oxide surfaces, such as quartz and glass. Therefore, the friction-induced method points out a new route in fabricating nanostructures on demand.

  12. Study of organic grafting of the silicon surface from 4-nitrobenzene diazonium tetrafluoroborate

    International Nuclear Information System (INIS)

    Ait El Hadj, F.; Amiar, A.; Cherkaoui, M.; Chazalviel, J.-N.; Ozanam, F.

    2012-01-01

    The hydrogenated silicon surface has outstanding electronic properties. However, its resistance to oxidation is insufficient. An alternative is the substitution of the Si-H bonds with Si-organic groups. This modification of the silicon surface by grafting of organic molecules was carried out by electrochemical reduction of 4-nitrobenzene diazonium tetrafluoroborate in an aqueous medium containing HF and H 2 SO 4 . The choice fell on this electrochemical reaction because it allows for fast grafting. The reduction of nitrobenzene diazonium is confirmed by the presence of a voltammetric peak around −0.1 V/SCE. The grafting was also characterized by in situ infrared spectroscopy (FTIR) which, via the detection of vibrations characteristic of chemical bonds, allows one to identify the chemical functions present. In addition, electrochemical impedance measurements allowed us to approach the interfacial mechanisms. It appears that the cathodic grafting leads to the formation of a polymeric layer, but the same grafting also occurs spontaneously within a few tens of seconds at open circuit potential, an expected phenomenon indeed in view of the reduction potential of 4-nitrobenzene diazonium.

  13. Some aspects of the behavior of barium, bismuth and strontium on silicon surfaces studied by TXRF

    International Nuclear Information System (INIS)

    Kilian, G.; Kolbesen, B.O.; Pamler, W.; Unger, E.; Hoepfner, A.

    2000-01-01

    Current dielectric film materials (SiO 2 , SiO 2 /Si 3 N 4 ) are one of the limiting factors for the scaling of microelectronic devices in the sub-quartermicron regime, in particular regarding the storage capacitor of dynamic random access memories (DRAMs). Alternative materials comprise films with high ε such as BaSrTiO 3 (BST) or films with ferroelectric behavior such as PbZrTiO 3 (PZT) or SrBi 2 Ta 2 O 9 (SBT). In order to integrate ferroelectric and high ε films into standard CMOS technology it is necessary to investigate possible detrimental effects on performance and reliability. In case of SBT, very little is known about the effect of Ba, Bi and Sr contamination on silicon device technology. Therefore, some aspects of their adsorption, desorption and diffusion behavior at room and higher temperature in inert (N 2 ) and oxidising (O 2 ) ambient have been studied by monitoring the Ba, Bi and Sr concentrations on silicon surfaces by total reflection x-ray fluorescence analysis (TXRF). Ba and Sr are incorporated in the existing or growing oxide during RTA. If O 2 is present the growing oxide on the silicon surface forms a barrier which forces the Bi to diffuse into the bulk. Hence, cross contamination due to gas phase transport may occur in the case of Bi under N 2 atmosphere but is of no concern in the case of Ba and Sr. (author)

  14. Systematic spatial and stoichiometric screening towards understanding the surface of ultrasmall oxygenated silicon nanocrystal

    Energy Technology Data Exchange (ETDEWEB)

    Niaz, Shanawer, E-mail: shanawersi@gmail.com [Department of Physics, Bilkent University, Ankara 06800 (Turkey); Molecular Engineering Laboratory, at the Department of Physics, University of Patras, Patras, GR-26500 (Greece); Zdetsis, Aristides D.; Koukaras, Emmanuel N. [Molecular Engineering Laboratory, at the Department of Physics, University of Patras, Patras, GR-26500 (Greece); Gülseren, Oǧuz [Department of Physics, Bilkent University, Ankara 06800 (Turkey); Sadiq, Imran [Centre of Excellence in Solid State Physics, University of the Punjab, Lahore (Pakistan)

    2016-11-30

    Highlights: • Understanding surface science of oxygenated silicon nanocrystals by means of their composition, stoichiometry and spatial distribution. • Drastic change observed in binding energy, localization of frontier orbitals and HOMO-LUMO gap up to 1.48 eV. • Might be a safe alternative of size dependent bandgap tunability. - Abstract: In most of the realistic ab initio and model calculations which have appeared on the emission of light from silicon nanocrystals, the role of surface oxygen has been usually ignored, underestimated or completely ruled out. We investigate theoretically, by density functional theory (DFT/B3LYP) possible modes of oxygen bonding in hydrogen terminated silicon quantum dots using as a representative case of the Si{sub 29} nanocrystal. We have considered Bridge-bonded oxygen (BBO), Doubly-bonded oxygen (DBO), hydroxyl (OH) and Mix of these oxidizing agents. Due to stoichiometry, all comparisons performed are unbiased with respect to composition whereas spatial distribution of oxygen species pointed out drastic change in electronic and cohesive characteristics of nanocrytals. From an overall perspective of this study, it is shown that bridge bonded oxygenated Si nanocrystals accompanied by Mix have higher binding energies and large electronic gap compared to nanocrystals with doubly bonded oxygen atoms. In addition, it is observed that the presence of OH along with BBO, DBO and mixed configurations further lowers electronic gaps and binding energies but trends in same fashion. It is also demonstrated that within same composition, oxidizing constituent, along with their spatial distribution substantially alters binding energy, highest occupied molecular orbital (HOMO) and lowest unoccupied molecular orbital (LUMO) gap (up to 1.48 eV) and localization of frontier orbitals.

  15. Systematic spatial and stoichiometric screening towards understanding the surface of ultrasmall oxygenated silicon nanocrystal

    International Nuclear Information System (INIS)

    Niaz, Shanawer; Zdetsis, Aristides D.; Koukaras, Emmanuel N.; Gülseren, Oǧuz; Sadiq, Imran

    2016-01-01

    Highlights: • Understanding surface science of oxygenated silicon nanocrystals by means of their composition, stoichiometry and spatial distribution. • Drastic change observed in binding energy, localization of frontier orbitals and HOMO-LUMO gap up to 1.48 eV. • Might be a safe alternative of size dependent bandgap tunability. - Abstract: In most of the realistic ab initio and model calculations which have appeared on the emission of light from silicon nanocrystals, the role of surface oxygen has been usually ignored, underestimated or completely ruled out. We investigate theoretically, by density functional theory (DFT/B3LYP) possible modes of oxygen bonding in hydrogen terminated silicon quantum dots using as a representative case of the Si 29 nanocrystal. We have considered Bridge-bonded oxygen (BBO), Doubly-bonded oxygen (DBO), hydroxyl (OH) and Mix of these oxidizing agents. Due to stoichiometry, all comparisons performed are unbiased with respect to composition whereas spatial distribution of oxygen species pointed out drastic change in electronic and cohesive characteristics of nanocrytals. From an overall perspective of this study, it is shown that bridge bonded oxygenated Si nanocrystals accompanied by Mix have higher binding energies and large electronic gap compared to nanocrystals with doubly bonded oxygen atoms. In addition, it is observed that the presence of OH along with BBO, DBO and mixed configurations further lowers electronic gaps and binding energies but trends in same fashion. It is also demonstrated that within same composition, oxidizing constituent, along with their spatial distribution substantially alters binding energy, highest occupied molecular orbital (HOMO) and lowest unoccupied molecular orbital (LUMO) gap (up to 1.48 eV) and localization of frontier orbitals.

  16. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie; Dré on, Julie; Rucavado, Esteban; Mews, Mathias; Koida, Takashi; Boccard, Mathieu; Werner, Jé ré mie; Geissbü hler, Jonas; Lö per, Philipp; Morales-Masis, Monica; Korte, Lars; De Wolf, Stefaan; Balllif, Christophe

    2018-01-01

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient

  17. A silicon-based electrical source for surface plasmon polaritons

    NARCIS (Netherlands)

    Walters, Robert J.; van Loon, Rob V.A.; Brunets, I.; Schmitz, Jurriaan; Polman, Albert

    2009-01-01

    This work demonstrates the fabrication of a silicon-based electrical source for surface plasmon polaritons (SPPs) at low temperatures using silicon nanocrystal doped alumina within a metal-insulator-metal (MIM) waveguide geometry. The fabrication method uses established microtechnology processes

  18. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  19. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  20. Silicon dioxide obtained by Polymeric Precursor Method

    International Nuclear Information System (INIS)

    Oliveira, C.T.; Granado, S.R.; Lopes, S.A.; Cavalheiro, A.A.

    2011-01-01

    The Polymeric Precursor Method is able for obtaining several oxide material types with high surface area even obtained in particle form. Several MO 2 oxide types such as titanium, silicon and zirconium ones can be obtained by this methodology. In this work, the synthesis of silicon oxide was monitored by thermal analysis, XRD and surface area analysis in order to demonstrate the influence of the several synthesis and calcining parameters. Surface area values as higher as 370m2/g and increasing in the micropore volume nm were obtained when the material was synthesized by using ethylene glycol as polymerizing agent. XRD analysis showed that the material is amorphous when calcinated at 600°C in despite of the time of calcining, but the material morphology is strongly influenced by the polymeric resin composition. Using Glycerol as polymerizing agent, the pore size increase and the surface area goes down with the increasing in decomposition time, when compared to ethylene glycol. (author)

  1. Study on the fabrication of back surface reflectors in nano-crystalline silicon thin-film solar cells by using random texturing aluminum anodization

    Science.gov (United States)

    Shin, Kang Sik; Jang, Eunseok; Cho, Jun-Sik; Yoo, Jinsu; Park, Joo Hyung; Byungsung, O.

    2015-09-01

    In recent decades, researchers have improved the efficiency of amorphous silicon solar cells in many ways. One of the easiest and most practical methods to improve solar-cell efficiency is adopting a back surface reflector (BSR) as the bottom layer or as the substrate. The BSR reflects the incident light back to the absorber layer in a solar cell, thus elongating the light path and causing the so-called "light trapping effect". The elongation of the light path in certain wavelength ranges can be enhanced with the proper scale of BSR surface structure or morphology. An aluminum substrate with a surface modified by aluminum anodizing is used to improve the optical properties for applications in amorphous silicon solar cells as a BSR in this research due to the high reflectivity and the low material cost. The solar cells with a BSR were formed and analyzed by using the following procedures: First, the surface of the aluminum substrate was degreased by using acetone, ethanol and distilled water, and it was chemically polished in a dilute alkali solution. After the cleaning process, the aluminum surface's morphology was modified by using a controlled anodization in a dilute acid solution to form oxide on the surface. The oxidized film was etched off by using an alkali solution to leave an aluminum surface with randomly-ordered dimple-patterns of approximately one micrometer in size. The anodizing conditions and the anodized aluminum surfaces after the oxide layer had been removed were systematically investigated according to the applied voltage. Finally, amorphous silicon solar cells were deposited on a modified aluminum plate by using dc magnetron sputtering. The surfaces of the anodized aluminum were observed by using field-emission scanning electron microscopy. The total and the diffuse reflectances of the surface-modified aluminum sheets were measured by using UV spectroscopy. We observed that the diffuse reflectances increased with increasing anodizing voltage. The

  2. Oxidation Protection of Porous Reaction-Bonded Silicon Nitride

    Science.gov (United States)

    Fox, D. S.

    1994-01-01

    Oxidation kinetics of both as-fabricated and coated reaction-bonded silicon nitride (RBSN) were studied at 900 and 1000 C with thermogravimetry. Uncoated RBSN exhibited internal oxidation and parabolic kinetics. An amorphous Si-C-O coating provided the greatest degree of protection to oxygen, with a small linear weight loss observed. Linear weight gains were measured on samples with an amorphous Si-N-C coating. Chemically vapor deposited (CVD) Si3N4 coated RBSN exhibited parabolic kinetics, and the coating cracked severely. A continuous-SiC-fiber-reinforced RBSN composite was also coated with the Si-C-O material, but no substantial oxidation protection was observed.

  3. Viscous properties of aluminum oxide nanotubes and aluminium oxide nanoparticles - silicone oil suspensions

    Science.gov (United States)

    Thapa, Ram; French, Steven; Delgado, Adrian; Ramos, Carlos; Gutierrez, Jose; Chipara, Mircea; Lozano, Karen

    2010-03-01

    Electrorheological (ER) fluids consisting of γ-aluminum oxide nanotubes and γ-aluminum oxide nanoparticles dispersed within silicone oil were prepared. The relationship between shear stress and shear rate was measured and theoretically simulated by using an extended Bingham model for both the rheological and electrorheological features of these systems. Shear stress and viscosity showed a sharp increase for the aluminum oxide nanotubes suspensions subjected to applied electric fields whereas aluminum oxide nanoparticles suspensions showed a moderate change. It was found that the transition from liquid to solid state (mediated by the applied electric field) can be described by a power law and that for low applied voltages the relationship is almost linear.

  4. Stable electroluminescence from passivated nano-crystalline porous silicon using undecylenic acid

    Energy Technology Data Exchange (ETDEWEB)

    Gelloz, B.; Sano, H.; Koshida, N. [Dept. Elec. and Elec. Eng., Tokyo Univ. of A and T, Koganei, Tokyo 184-8588 (Japan); Boukherroub, R. [Laboratoire de Physique de la Matiere Condensee, Ecole Polytechnique, Route de Saclay, 91128 Palaiseau (France); Wayner, D.D.M.; Lockwood, D.J. [National Research Council, Ottawa (Canada)

    2005-06-01

    Stabilization of electroluminescence from nanocrystalline porous silicon diodes has been achieved by replacing silicon-hydrogen bonds terminating the surface of nanocrystalline silicon with more stable silicon-carbon (Si-C) bonds. Hydrosilylation of the surface of partially and anodically oxidized porous silicon samples was thermally induced at about 90 C using various different organic molecules. Devices whose surface have been modified with stable covalent bonds shows no degradation in the EL efficiency and EL output intensity under DC operation for several hours. The enhanced stability can be attributed to the high chemical resistance of Si-C bonds against current-induced surface oxidation associated with the generation of nonradiative defects. Although devices treated with 1-decene exhibit reduced EL efficiency and brightness compared to untreated devices, other molecules, such as ethyl-undecylenate and particularly undecylenic acid provide stable and more efficient visible electroluminescence at room temperature. Undecylenic acid provides EL brightness as high as that of an untreated device. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Photoluminescent silicon nanocrystals with chlorosilane surfaces - synthesis and reactivity

    Science.gov (United States)

    Höhlein, Ignaz M. D.; Kehrle, Julian; Purkait, Tapas K.; Veinot, Jonathan G. C.; Rieger, Bernhard

    2014-12-01

    We present a new efficient two-step method to covalently functionalize hydride terminated silicon nanocrystals with nucleophiles. First a reactive chlorosilane layer was formed via diazonium salt initiated hydrosilylation of chlorodimethyl(vinyl)silane which was then reacted with alcohols, silanols and organolithium reagents. With organolithium compounds a side reaction is observed in which a direct functionalization of the silicon surface takes place.We present a new efficient two-step method to covalently functionalize hydride terminated silicon nanocrystals with nucleophiles. First a reactive chlorosilane layer was formed via diazonium salt initiated hydrosilylation of chlorodimethyl(vinyl)silane which was then reacted with alcohols, silanols and organolithium reagents. With organolithium compounds a side reaction is observed in which a direct functionalization of the silicon surface takes place. Electronic supplementary information (ESI) available: Detailed experimental procedures and additional NMR, PL, EDX, DLS and TEM data. See DOI: 10.1039/C4NR05888G

  6. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors

    Science.gov (United States)

    Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.

    2013-10-01

    Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10-40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage.

  7. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors

    Science.gov (United States)

    Oakes, Landon; Westover, Andrew; Mares, Jeremy W.; Chatterjee, Shahana; Erwin, William R.; Bardhan, Rizia; Weiss, Sharon M.; Pint, Cary L.

    2013-01-01

    Silicon materials remain unused for supercapacitors due to extreme reactivity of silicon with electrolytes. However, doped silicon materials boast a low mass density, excellent conductivity, a controllably etched nanoporous structure, and combined earth abundance and technological presence appealing to diverse energy storage frameworks. Here, we demonstrate a universal route to transform porous silicon (P-Si) into stable electrodes for electrochemical devices through growth of an ultra-thin, conformal graphene coating on the P-Si surface. This graphene coating simultaneously passivates surface charge traps and provides an ideal electrode-electrolyte electrochemical interface. This leads to 10–40X improvement in energy density, and a 2X wider electrochemical window compared to identically-structured unpassivated P-Si. This work demonstrates a technique generalizable to mesoporous and nanoporous materials that decouples the engineering of electrode structure and electrochemical surface stability to engineer performance in electrochemical environments. Specifically, we demonstrate P-Si as a promising new platform for grid-scale and integrated electrochemical energy storage. PMID:24145684

  8. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  9. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  10. Morphology of IR and UV Laser-induced Structural Changes on Silicon Surfaces

    International Nuclear Information System (INIS)

    Jimenez-Jarquin, J.; Haro-Poniatowski, E.; Fernandez-Guasti, M.; Hernandez-Pozos, J.L.

    2005-01-01

    Using scanning electronic microscopy, we analyze the structural changes induced in silicon (100) wafers by focused IR (1064 nm) and UV (355 nm) nanosecond laser pulses. The experiments were performed in the laser ablation regime. When a silicon surface is irradiated by laser pulses in an O2 atmosphere conical microstructures are obtained. The changes in silicon surface morphology depend both on the incident radiation wavelength and the environmental atmosphere. We have patterned Si surfaces with a single focused laser spot and, in doing the experiments with IR or UV this reveals significant differences in the initial surface cracking and pattern formation, however the final result consist of an array of microcones when the experiment is carried out in oxygen. We employ a random scanning technique to irradiate silicon surfaces over large areas. In this form we have obtained large patterned areas

  11. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  12. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  13. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  14. Behind the Nature of Titanium Oxide Excellent Surface Passivation and Carrier Selectivity of c-Si

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Crovetto, Andrea; Hansen, Ole

    We present an expanded study of the passivation properties of titanium dioxide (TiO2) on p-type crystalline silicon (c-Si). We report a low surface recombination velocity (16 cm/s) for TiO2 passivation layers with a thin tunnelling oxide interlayer (SiO2 or Al2O3) on p-type crystalline silicon (c-Si......), and post-deposition annealing temperature were investigated. We have observed that that SiO2 and Al2O3 interlayers enhance the TiO2 passivation of c-Si. TiO2 thin film passivation layers alone result in lower effective carrier lifetime. Further annealing at 200  ̊C in N2 gas enhances the surface...

  15. XPS study of palladium sensitized nano porous silicon thin film

    Indian Academy of Sciences (India)

    Keywords. Porous silicon; passivation; palladium; oxidation; XPS. Abstract. Nano porous silicon (PS) was formed on -type monocrystalline silicon of 2–5 cm resistivity and (100) orientation by electrochemical anodization method using HF and ethanol as the electrolytes. High density of surface states, arising due to its ...

  16. Complete suppression of boron transient-enhanced diffusion and oxidation-enhanced diffusion in silicon using localized substitutional carbon incorporation

    Science.gov (United States)

    Carroll, M. S.; Chang, C.-L.; Sturm, J. C.; Büyüklimanli, T.

    1998-12-01

    In this letter, we show the ability, through introduction of a thin Si1-x-yGexCy layer, to eliminate the enhancement of enhanced boron diffusion in silicon due to an oxidizing surface or ion implant damage. This reduction of diffusion is accomplished through a low-temperature-grown thin epitaxial Si1-x-yGexCy layer which completely filters out excess interstitials introduced by oxidation or ion implant damage. We also quantify the oxidation-enhanced diffusion (OED) and transient-enhanced diffusion (TED) dependence on substitutional carbon level, and further report both the observation of carbon TED and OED, and its dependence on carbon levels.

  17. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Syyuan Shieh.

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O{sub 2}, NH{sub 3}) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  18. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Shieh, Syyuan [Univ. of California, Berkeley, CA (United States)

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O2, NH3) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  19. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  20. Silicon surface biofunctionalization with dopaminergic tetrahydroisoquinoline derivatives

    Energy Technology Data Exchange (ETDEWEB)

    Lucena-Serrano, A.; Lucena-Serrano, C.; Contreras-Cáceres, R.; Díaz, A.; Valpuesta, M. [Dep. Química Orgánica, Facultad de Ciencias, Universidad de Málaga, 29071 Málaga (Spain); Cai, C. [Dep. Chemistry, University of Houston, Houston, TX 77204-5003 (United States); López-Romero, J.M., E-mail: jmromero@uma.es [Dep. Química Orgánica, Facultad de Ciencias, Universidad de Málaga, 29071 Málaga (Spain)

    2016-01-01

    Graphical abstract: - Highlights: • Two dopaminergic tetrahydroisoquinolines (THI) were synthesized. • Vinyl-terminated THI incorporated onto the H−Si(1 1 1) substrates via a hydrosilylation. • The highest yield in coverage was obtained in DMSO, at 4 h of irradiation and 0.1 mbar of vacuum. • Alkynyl-terminated Si surface was produced for incorporation of azide-THI by click reaction. • Best yields on grafted molecule were obtained by click reaction in absence of ascorbic acid. - Abstract: In this work we grafted vinyl- and azido-terminated tetrahydroisoquinolines (compounds 1 and 2, respectively) onto H−Si(1 1 1) silicon wafers obtaining highly stable modified surfaces. A double bond was incorporated into the tetrahydroisoquinoline structure of 1 to be immobilized by a light induced hydrosilylation reaction on hydrogen-terminated Si(1 1 1). The best results were obtained employing a polar solvent (DMSO), rather than a non-polar solvent (toluene). The azide derivative 2 was grafted onto alkenyl-terminated silicon substrates with copper-catalyzed azide-alkyne cycloaddition (CuAAC). Atomic force microscopy (AFM), contact angle goniometry (CA) and X-ray photoemission spectroscopy (XPS) were used to demonstrate the incorporation of 1 and 2 into the surfaces, study the morphology of the modified surfaces and to calculate the yield of grafting and surface coverage. CA measurements showed the increase in the surface hydrophobicity when 1 or 2 were incorporated into the surface. Moreover, compounds 1 and 2 were prepared starting from 1-(p-nitrophenyl)tetrahydroisoquinoline 3 under smooth conditions and in good yields. The structures of 1 and 2 were designed with a reduced A-ring, two substituents at positions C-6 and C-7, an N-methyl group and a phenyl moiety at C-1 in order to provide a high affinity against dopaminergic receptors. Moreover, O-demethylation of 1 was carried out once it was adsorbed onto the surface by treatment with BBr{sub 3}. The method

  1. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  2. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0oxide layers. Only its thickness is somewhat larger than in thermal oxide.

  3. Surface elastic properties in silicon nanoparticles

    Science.gov (United States)

    Melis, Claudio; Giordano, Stefano; Colombo, Luciano

    2017-09-01

    The elastic behavior of the external surface of a solid body plays a key role in nanomechanical phenomena. While bulk elasticity enjoys the benefits of a robust theoretical understanding, many surface elasticity features remain unexplored: some of them are here addressed by blending together continuum elasticity and atomistic simulations. A suitable readdressing of the surface elasticity theory allows to write the balance equations in arbitrary curvilinear coordinates and to investigate the dependence of the surface elastic parameters on the mean and Gaussian curvatures of the surface. In particular, we predict the radial strain induced by surface effects in spherical and cylindrical silicon nanoparticles and provide evidence that the surface parameters are nearly independent of curvatures and, therefore, of the surface conformation.

  4. Removal of dangling bonds and surface states on silicon (001) with a monolayer of selenium

    International Nuclear Information System (INIS)

    Tao Meng; Udeshi, Darshak; Basit, Nasir; Maldonado, Eduardo; Kirk, Wiley P.

    2003-01-01

    Dangling bonds and surface states are inherent to semiconductor surfaces. By passivating dangling bonds on the silicon (001) surface with a monolayer of selenium, surface states are removed from the band gap. Magnesium contacts on selenium-passivated silicon (001) behave ohmically, as expected from the work function of magnesium and the electron affinity of silicon. After rapid thermal annealing and hot-plate annealing, magnesium contacts on selenium-passivated silicon (001) show better thermal stability than on hydrogen-passivated silicon (001), which is attributed to the suppression of silicide formation by selenium passivation

  5. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO{sub 2}/Si interfaces with low defect densities

    Energy Technology Data Exchange (ETDEWEB)

    Stegemann, Bert, E-mail: bert.stegemann@htw-berlin.de [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Gad, Karim M. [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Balamou, Patrice [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Sixtensson, Daniel [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Vössing, Daniel; Kasemann, Martin [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Angermann, Heike [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany)

    2017-02-15

    Highlights: • Fabrication of ultrathin SiO{sub 2} tunnel layers on c-Si. • Correlation of electronic and chemical SiO{sub 2}/Si interface properties revealed by XPS/SPV. • Chemically abrupt SiO{sub 2}/Si interfaces generate less interface defect states considerable. - Abstract: Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO{sub 2}/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO{sub 2}/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO{sub 2}/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO{sub 2}/Si interfaces have been shown to generate less interface defect states.

  6. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  7. Conciliating surface superhydrophobicities and mechanical strength of porous silicon films

    Science.gov (United States)

    Wang, Fuguo; Zhao, Kun; Cheng, Jinchun; Zhang, Junyan

    2011-01-01

    Hydrophobic surfaces on Mechanical stable macroporous silicon films were prepared by electrochemical etching with subsequent octadecyltrichlorosilane (OTS) modification. The surface morphologies were controlled by current densities and the mechanical properties were adjusted by their corresponding porosities. Contrast with the smooth macroporous silicon films with lower porosities (34.1%) and microporous silicon with higher porosities (97%), the macroporous film with a rough three-dimension (3D) surface and a moderate pore to cross-section area ratio (37.8%, PSi2‧) exhibited both good mechanical strength (Yong' modulus, shear modulus and collapse strength are 64.2, 24.1 and 0.32 GPa, respectively) and surface superhydrophobicity (water contact angle is 158.4 ± 2° and sliding angle is 2.7 ± 1°). This result revealed that the surface hydrophobicities (or the surface roughness) and mechanical strength of porous films could be conciliated by pore to cross-section area ratios control and 3D structures construction. Thus, the superhydrophobic surfaces on mechanical stable porous films could be obtained by 3D structures fabrication on porous film with proper pore to cross-section area ratios.

  8. DEPTH MEASUREMENT OF DISRUPTED LAYER ON SILICON WAFER SURFACE USING AUGER SPECTROSCOPY METHOD

    Directory of Open Access Journals (Sweden)

    V. A. Solodukha

    2016-01-01

    Full Text Available The paper proposes a method for depth measurement of a disrupted layer on silicon wafer surface which is based on application of Auger spectroscopy with the precision sputtering of surface silicon layers and registration of the Auger electron yield intensity. In order to measure the disrupted layer with the help of Auger spectroscopy it is necessary to determine dependence of the released Auger electron amount on sputtering time (profile and then the dependence is analyzed. Silicon amount in the disrupted layer is less than in the volume. While going deeper the disruptive layer is decreasing that corresponds to an increase of atom density in a single layer. The essence of the method lies in the fact the disruptive layer is removed by ion beam sputtering and detection of interface region is carried out with the help of registration of the Auger electron yield intensity from the sputtered surface up to the moment when it reaches the value which is equal to the Auger electron yield intensity for single-crystal silicon. While removing surface silicon layers the registration of the Auger electron yield intensity from silicon surface makes it possible to control efficiently a presence of the disrupted layer on the silicon wafer surface. In this case depth control locality is about 1.0 nm due to some peculiarities of Auger spectroscopy method. The Auger electron yield intensity is determined automatically while using Auger spectrometer and while removing the disrupted layer the intensity is gradually increasing. Depth of the disrupted layer is determined by measuring height of the step which has been formed as a result of removal of the disrupted layer from the silicon wafer surface. Auger spectroscopy methods ensures an efficient depth control surface disruptions at the manufacturing stages of silicon wafers and integrated circuits. The depth measurement range of disruptions constitutes 0.001–1.000 um.

  9. Self-assembling peptide hydrogels immobilized on silicon surfaces

    International Nuclear Information System (INIS)

    Franchi, Stefano; Battocchio, Chiara; Galluzzi, Martina; Navisse, Emanuele; Zamuner, Annj; Dettin, Monica; Iucci, Giovanna

    2016-01-01

    The hydrogels of self-assembling ionic complementary peptides have collected in the scientific community increasing consensus as mimetics of the extracellular matrix that can offer 3D supports for cell growth or be vehicles for the delivery of stem cells or drugs. Such scaffolds have also been proposed as bone substitutes for small defects as they promote beneficial effects on human osteoblasts. In this context, our research deals with the introduction of a layer of self-assembling peptides on a silicon surface by covalent anchoring and subsequent physisorption. In this work, we present a spectroscopic investigation of the proposed bioactive scaffolds, carried out by surface-sensitive spectroscopic techniques such as XPS (X-ray photoelectron spectroscopy) and RAIRS (Reflection Absorption Infrared Spectroscopy) and by state-of-the-art synchrotron radiation methodologies such as angle dependent NEXAFS (Near Edge X-ray Absorption Fine Structure). XPS studies confirmed the change in the surface composition in agreement with the proposed enrichments, and led to assess the self-assembling peptide chemical stability. NEXAFS spectra, collected in angular dependent mode at the N K-edge, allowed to investigate the self-assembling behavior of the macromolecules, as well as to determine their molecular orientation on the substrate. Furthermore, Infrared Spectroscopy measurements demonstrated that the peptide maintains its secondary structure (β-sheet anti-parallel) after deposition on the silicon surface. The complementary information acquired by means of XPS, NEXAFS and RAIRS lead to hypothesize a “layer-by-layer” arrangement of the immobilized peptides, giving rise to an ordered 3D nanostructure. - Highlights: • A self-assembling peptide (SAP) was covalently immobilized of on a flat silicon surface. • A physisorbed SAP layer was grown on top of the covalently immobilized peptide layer. • Molecular order and orientation of the peptide overlayer on the flat silicon

  10. Self-assembling peptide hydrogels immobilized on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Franchi, Stefano; Battocchio, Chiara; Galluzzi, Martina; Navisse, Emanuele [Department of Sciences, University “Roma Tre”, Via della Vasca Navale 79, Roma, 00146 (Italy); Zamuner, Annj; Dettin, Monica [Department of Industrial Engineering, University of Padua, Via Marzolo, 9, Padua, 35131 (Italy); Iucci, Giovanna, E-mail: giovanna.iucci@uniroma3.it [Department of Sciences, University “Roma Tre”, Via della Vasca Navale 79, Roma, 00146 (Italy)

    2016-12-01

    The hydrogels of self-assembling ionic complementary peptides have collected in the scientific community increasing consensus as mimetics of the extracellular matrix that can offer 3D supports for cell growth or be vehicles for the delivery of stem cells or drugs. Such scaffolds have also been proposed as bone substitutes for small defects as they promote beneficial effects on human osteoblasts. In this context, our research deals with the introduction of a layer of self-assembling peptides on a silicon surface by covalent anchoring and subsequent physisorption. In this work, we present a spectroscopic investigation of the proposed bioactive scaffolds, carried out by surface-sensitive spectroscopic techniques such as XPS (X-ray photoelectron spectroscopy) and RAIRS (Reflection Absorption Infrared Spectroscopy) and by state-of-the-art synchrotron radiation methodologies such as angle dependent NEXAFS (Near Edge X-ray Absorption Fine Structure). XPS studies confirmed the change in the surface composition in agreement with the proposed enrichments, and led to assess the self-assembling peptide chemical stability. NEXAFS spectra, collected in angular dependent mode at the N K-edge, allowed to investigate the self-assembling behavior of the macromolecules, as well as to determine their molecular orientation on the substrate. Furthermore, Infrared Spectroscopy measurements demonstrated that the peptide maintains its secondary structure (β-sheet anti-parallel) after deposition on the silicon surface. The complementary information acquired by means of XPS, NEXAFS and RAIRS lead to hypothesize a “layer-by-layer” arrangement of the immobilized peptides, giving rise to an ordered 3D nanostructure. - Highlights: • A self-assembling peptide (SAP) was covalently immobilized of on a flat silicon surface. • A physisorbed SAP layer was grown on top of the covalently immobilized peptide layer. • Molecular order and orientation of the peptide overlayer on the flat silicon

  11. Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride

    International Nuclear Information System (INIS)

    Choi, H.Y.; Wong, H.; Filip, V.; Sen, B.; Kok, C.W.; Chan, M.; Poon, M.C.

    2006-01-01

    It was recently found that the silicon oxynitride prepared by oxidation of silicon-rich silicon nitride (SRN) has several important features. The high nitrogen and extremely low hydrogen content of this material allows it to have a high dielectric constant and a low trap density. The present work investigates in further detail the electrical reliability of this kind of gate dielectric films by studying the charge trapping and interface state generation induced by constant current stressing. Capacitance-voltage (C-V) measurements indicate that for oxidation temperatures of 850 and 950 deg. C, the interface trap generation is minimal because of the high nitrogen content at the interface. At a higher oxidation temperature of 1050 deg. C, a large flatband shift is found for constant current stressing. This observation can be explained by the significant reduction of the nitrogen content and the phase separation effect at this temperature as found by X-ray photoelectron spectroscopy study. In addition to the high nitrogen content, the Si atoms at the interface exist in the form of random bonding to oxygen and nitrogen atoms for samples oxidized at 850 and 950 deg. C. This structure reduces the interface bonding constraint and results in the low interface trap density. For heavily oxidized samples the trace amount of interface nitrogen atoms exist in the form of a highly constraint SiN 4 phase and the interface oxynitride layer is a random mixture of SiO 4 and SiN 4 phases, which consequently reduces the reliability against high energy electron stressing

  12. The influence of oxidation properties on the electron emission characteristics of porous silicon

    International Nuclear Information System (INIS)

    He, Li; Zhang, Xiaoning; Wang, Wenjiang; Wei, Haicheng

    2016-01-01

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm"2 and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  13. The influence of oxidation properties on the electron emission characteristics of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    He, Li [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Zhang, Xiaoning, E-mail: znn@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Wenjiang [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wei, Haicheng [School of Electrical and Information Engineering, Beifang University of Nationalities, Yinchuan750021 (China)

    2016-09-30

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm{sup 2} and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  14. Electroless deposition of Ni-P on a silicon surface

    Directory of Open Access Journals (Sweden)

    hassan El Grini

    2017-06-01

    Full Text Available The present article concerns the metallization of silicon substrates by deposition of the nickel-phosphorus alloy produced by an autocatalytic chemical process. The deposition electrolyte is composed of a metal salt, a reducing agent (sodium hypophosphite, a complexing agent (sodium citrate and a buffer (ammonium acetate. The deposition could only be carried out after activation of the silicon by fixing catalytic species on its surface. The immersion of the silicon samples in palladium chloride made it possible to produce relatively thick and regular Ni-P coatings. The immersion time was optimized. The activation of Si was characterized by XPS and the Ni-P coating by XPS and M.E.B. The electrochemical study did not show any real mechanism changes compared to the Ni-P deposition on a conductive surface

  15. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  16. Method for forming indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1984-03-13

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  17. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor of Si 3p - O 2p hybridization in the upper valence band. This coincides with a significant increase of the material's resistivity, possibly indicating the breakdown of the conducting crystalline Si network. Silicon oxide layers with a thickness of several hundred nanometres were deposited in a PECVD (plasma-enhanced chemical vapor deposition) multi chamber system

  18. Determination of surface recombination velocity and bulk lifetime in detector grade silicon and germanium crystals

    International Nuclear Information System (INIS)

    Derhacobian, N.; Fine, P.; Walton, J.T.; Wong, Y.K.; Rossington, C.S.; Luke, P.N.

    1993-10-01

    Utility of a noncontact photoconductive decay (PCD) technique is demonstrated in measuring bulk lifetime, τ B , and surface recombination velocity, S, in detector grade silicon and germanium crystals. We show that the simple analytical equations which relate the observed effective lifetimes in PCD transients to τ B and S have a limited range of applicability. The noncontact PCD technique is used to determine the effect of several surface treatments on the observed effective lifetimes in Si and Ge. A degradation of the effective lifetime in Si is reported as result of the growth of a thin layer of native oxide at room temperature under atmospheric conditions

  19. Radiation- stimulated adsorption of n-hexane on the surface of silicon

    International Nuclear Information System (INIS)

    Hajiyeva, N.N.

    2014-01-01

    Full text : This paper presents the results of studies of radiation-stimulated adsorption of n-hexane on a silicon surface, obtained by infrared reflection-absorption spectroscopy method. It has been used a monocrystal silicon plate with high reflectance coefficient of the surface. Irradiation of the samples was carried out on gamma-quantum source of 60Co

  20. RF Reactive Magnetron Sputter Deposition of Silicon Sub-Oxides

    NARCIS (Netherlands)

    Hattum, E.D. van

    2007-01-01

    RF reactive magnetron plasma sputter deposition of silicon sub oxide E.D. van Hattum Department of Physics and Astronomy, Faculty of Sciences, Utrecht University The work described in the thesis has been inspired and stimulated by the use of SiOx layers in the direct inductive printing technology,

  1. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  2. A dielectric matrix calculation of the surface-plasmon energy for the silicon (100) surface

    International Nuclear Information System (INIS)

    Forsyth, A.J.; Smith, A.E.; Josefsson, T.W.

    1996-01-01

    Full text: As an extension of previous work, we present preliminary calculations for the dielectric properties of the silicon (100) surface. In particular, the |q|→0 and |q|=2π/a(1,0,0) surface loss function, and corresponding surface plasmon energies have been calculated within a simple model for the silicon surface. The results have been obtained from the Adler and Wiser dielectric matrix (DM). The bandstructure used for the calculation was based on the highly successful empirical pseudopotential method of Cohen and Chelikovsky. We have used a 59 plane wave basis for the bandstructure, and have chosen a DM size of 59 x 59. Results are compared and contrasted with volume plasmon calculations, free electron calculations and experiment

  3. ZnO transparent conductive oxide for thin film silicon solar cells

    Science.gov (United States)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  4. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  5. Incorporation, diffusion and segregation of impurities in polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Deville, J.P.; Soltani, M.L. (Universite Louis Pasteur, 67 - Strasbourg (France)); Quesada, J. (Laboratoire de Metallurgie-Chimie des Materiaux, E.N.S.A.I.S., 67 - Strasbourg (France))

    1982-01-01

    We studied by means of X-Ray photoelectron Spectroscopy the nature, distribution and, when possible, the chemical bond of impurities at the surface of polycrystalline silicon samples grown on a carbon ribbon. Besides main impurities (carbon and oxygen), always present at concentrations around their limit of solubility in silicon, metal impurities have been found: their nature varies from one sample to another. Their spatial distribution is not random: some are strictly confined at the surface (sodium), whereas others are in the superficial oxidized layer (calcium, magnesium) or localized at the oxide-bulk silicon interface (iron). Metal impurities are coming from the carbon ribbon and are incorporated to silicon during the growth process. It is not yet possible to give a model of diffusion processes of impurities since they are too numerous and interact one with the other. However oxygen seems to play a leading role in the spatial distribution of metal impurities.

  6. Direct comparison of the electrical properties in metal/oxide/nitride/oxide/silicon and metal/aluminum oxide/nitride/oxide/silicon capacitors with equivalent oxide thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    An, Ho-Myoung; Seo, Yu Jeong; Kim, Hee Dong; Kim, Kyoung Chan; Kim, Jong-Guk [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Cho, Won-Ju; Koh, Jung-Hyuk [Department of Electronic Materials Engineering, Kwangwoon University, Seoul 139-701 (Korea, Republic of); Sung, Yun Mo [Department of Materials and Science Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Kim, Tae Geun, E-mail: tgkim1@korea.ac.k [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of)

    2009-07-31

    We examine the electrical properties of metal/oxide/nitride/oxide/silicon (MONOS) capacitors with two different blocking oxides, SiO{sub 2} and Al{sub 2}O{sub 3}, under the influence of the same electric field. The thickness of the Al{sub 2}O{sub 3} layer is set to 150 A, which is electrically equivalent to a thickness of the SiO{sub 2} layer of 65 A, in the MONOS structure for this purpose. The capacitor with the Al{sub 2}O{sub 3} blocking layer shows a larger capacitance-voltage memory window of 8.6 V, lower program voltage of 7 V, faster program/erase speeds of 10 ms/1 {mu}s, lower leakage current of 100 pA and longer data retention than the one with the SiO{sub 2} blocking layer does. These improvements are attributed to the suppression of the carrier transport to the gate electrode afforded by the use of an Al{sub 2}O{sub 3} blocking layer physically thicker than the SiO{sub 2} one, as well as the effective charge-trapping by Al{sub 2}O{sub 3} at the deep energy levels in the nitride layer.

  7. Distribution of impurity elements in slag-silicon equilibria for oxidative refining of metallurgical silicon for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, M.D.; Barati, M. [Department of Materials Science and Engineering, The University of Toronto, 184 College Street, Toronto, Ont. (Canada)

    2010-12-15

    The possibility of refining metallurgical grade silicon to a high-purity product for solar cell applications by the slagging of impurity elements was investigated. Distribution coefficients were determined for B, Ca, Mg, Fe, K and P between magnesia or alumina saturated Al{sub 2}O{sub 3}-CaO-MgO-SiO{sub 2} and Al{sub 2}O{sub 3}-BaO-SiO{sub 2} slags and silicon at 1500 C. The partitioning of the impurity elements between molten silicon and slag was examined in terms of basicity and oxygen potential of the slag, with particular focus on the behaviour of boron and phosphorus. The experimental results showed that both of these aspects of slag chemistry have a significant influence on the distribution coefficient of B and P. Increasing the oxygen potential by additions of silica was found to increase the distribution coefficients for both B and P. Increasing the basicity of the slag was not always effective in achieving high removal of these elements from silicon as excess amounts of basic oxides lower the activity of silica and consequently the oxygen potential. The extent of this effect is such that increasing basicity can lead to a decrease in distribution coefficient. Increasing lime in the slag increased distribution coefficients for B and P, but this counterbalancing effect was such that distributions were the lowest in barium-containing slags, despite barium oxide being the most basic of the fluxes used in this study. The highest removal efficiencies achieved were of the order of 80% and 90% for B and P, respectively. It was demonstrated that for the removal of B and P from metallurgical-grade silicon to solar-grade levels, a slag mass about 5 times the mass of silicon would be required. (author)

  8. Role of masking oxide on silicon in processes of defect generation at formation of SIMOX structures

    CERN Document Server

    Askinazi, A Y; Miloglyadova, L V

    2002-01-01

    One investigated into Si-SiO sub 2 structures formed by implantation of oxygen ions into silicon (SIMOX-technology) by means of techniques based on measuring of high-frequency volt-farad characteristics and by means of electroluminescence. One determined existence of electrically active centres and of luminescence centres in the formed oxide layer near boundary with silicon. One clarified the role SiO sub 2 masking layer in silicon in defect generation under formation of the masked oxide layer. One established dependence of concentration of electrically active and luminescence centres on thickness of masking layer

  9. Bovine serum albumin adsorption on functionalized porous silicon surfaces

    Science.gov (United States)

    Tay, Li-Lin; Rowell, Nelson L.; Lockwood, David J.; Boukherroub, Rabah

    2004-10-01

    The large surface area within porous Si (pSi) and its strong room temperature photoluminescence (PL) make it an ideal host for biological sensors. In particular, the development of pSi-based optical sensors for DNA, enzyme and other biochemical molecules have become of great interest. Here, we demonstrate that the in-situ monitoring of the pSi PL behaviour can be used as a positive identification of bovine serum albumin (BSA) protein adsorption inside the porous matrix. Electrochemically prepared pSi films were first functionalized with undecylenic acid to produce an organic monolayer covalently attached to the porous silicon surfaces. The acid terminal group also provided favourable BSA binding sites on the pSi matrix sidewalls. In-situ PL spectra showed a gradual red shift (up to 12 meV) in the PL peak energy due to the protein incorporation into the porous matrix. The PL then exhibited a continuous blue shift after saturation of the protein molecules in the pores. This blue shift of the PL peak frequency and a steady increase in the PL intensity is evidence of surface oxidation. Comparing the specular reflectance obtained by Fourier transform infrared spectroscopy (FTIR) before and after BSA incubation confirmed the adsorption of protein in the pSi matrix.

  10. Thermal Stress of Surface of Mold Cavities and Parting Line of Silicone Molds

    Directory of Open Access Journals (Sweden)

    Bajčičák Martin

    2014-06-01

    Full Text Available The paper is focused on the study of thermal stress of surface of mold cavities and parting line of silicone molds after pouring. The silicone mold White SD - THT was thermally stressed by pouring of ZnAl4Cu3 zinc alloy with pouring cycle 20, 30 and 40 seconds. The most thermally stressed part of surface at each pouring cycle is gating system and mold cavities. It could be further concluded that linear increase of the pouring cycle time leads to the exponential increasing of the maximum temperature of mold surface after its cooling. The elongated pouring cycle increases the temperature accumulated on the surface of cavities and the ability of silicone mold to conduct the heat on its surface decreases, because the low thermal conductivity of silicone molds enables the conduction of larger amount of heat into ambient environment.

  11. High surface area silicon materials: fundamentals and new technology.

    Science.gov (United States)

    Buriak, Jillian M

    2006-01-15

    Crystalline silicon forms the basis of just about all computing technologies on the planet, in the form of microelectronics. An enormous amount of research infrastructure and knowledge has been developed over the past half-century to construct complex functional microelectronic structures in silicon. As a result, it is highly probable that silicon will remain central to computing and related technologies as a platform for integration of, for instance, molecular electronics, sensing elements and micro- and nanoelectromechanical systems. Porous nanocrystalline silicon is a fascinating variant of the same single crystal silicon wafers used to make computer chips. Its synthesis, a straightforward electrochemical, chemical or photochemical etch, is compatible with existing silicon-based fabrication techniques. Porous silicon literally adds an entirely new dimension to the realm of silicon-based technologies as it has a complex, three-dimensional architecture made up of silicon nanoparticles, nanowires, and channel structures. The intrinsic material is photoluminescent at room temperature in the visible region due to quantum confinement effects, and thus provides an optical element to electronic applications. Our group has been developing new organic surface reactions on porous and nanocrystalline silicon to tailor it for a myriad of applications, including molecular electronics and sensing. Integration of organic and biological molecules with porous silicon is critical to harness the properties of this material. The construction and use of complex, hierarchical molecular synthetic strategies on porous silicon will be described.

  12. Study of porous silicon morphologies for electron transport

    International Nuclear Information System (INIS)

    Pang, Y.; Demroff, H.P.; Elliott, T.S.; Lee, B.; Lu, J.; Madduri, V.B.; Mazumdar, T.K.; McIntyre, P.M.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Field emitter devices are being developed for the gigatron, a high-efficiency, high frequency and high power microwave source. One approach being investigated is porous silicon, where a dense matrix of nanoscopic pores are galvanically etched into a silicon surface. In the present paper pore morphologies were used to characterize these materials. Using of Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) images of both N-type and P-type porous layers, it is found that pores propagate along the crystallographic direction, perpendicular to the surface of (100) silicon. Distinct morphologies were observed systematically near the surface, in the main bulk and near the bottom of N-type (100) silicon lift-off samples. It is seen that the pores are not cylindrical but exhibit more or less approximately square cross sections. X-ray diffraction spectra and electron diffraction patterns verified that bulk porous silicon is still a single crystal. In addition, a Scanning Tunnelling Microscope (STM) and an Atomic Force Microscope (AFM) were successfully applied to image the 40 angstrom gold film structure which was coated upon a cooled porous silicon layer. By associating the morphology study with the measured emitting current density of the Oxidized Porous Silicon Field Emission Triode (OPSFET), techniques for the surface treatment of porous silicon will be optimized

  13. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  14. Stable Organic Monolayers on Oxide-Free Silicon/Germanium in a Supercritical Medium: A New Route to Molecular Electronics.

    Science.gov (United States)

    Puniredd, Sreenivasa Reddy; Jayaraman, Sundaramurthy; Yeong, Sai Hooi; Troadec, Cedric; Srinivasan, M P

    2013-05-02

    Oxide-free Si and Ge surfaces have been passivated and modified with organic molecules by forming covalent bonds between the surfaces and reactive end groups of linear alkanes and aromatic species using single-step deposition in supercritical carbon dioxide (SCCO2). The process is suitable for large-scale manufacturing due to short processing times, simplicity, and high resistance to oxidation. It also allows the formation of monolayers with varying reactive terminal groups, thus enabling formation of nanostructures engineered at the molecular level. Ballistic electron emission microscopy (BEEM) spectra performed on the organic monolayer on oxide-free silicon capped by a thin gold layer reveals for the first time an increase in transmission of the ballistic current through the interface of up to three times compared to a control device, in contrast to similar studies reported in the literature suggestive of oxide-free passivation in SCCO2. The SCCO2 process combined with the preliminary BEEM results opens up new avenues for interface engineering, leading to molecular electronic devices.

  15. Lithium-storage Properties of Gallic Acid-Reduced Graphene Oxide and Silicon-Graphene Composites

    International Nuclear Information System (INIS)

    Xu, Binghui; Zhang, Jintao; Gu, Yi; Zhang, Zhi; Al Abdulla, Wael; Kumar, Nanjundan Ashok; Zhao, X.S.

    2016-01-01

    Graphene oxide (GO) was de-oxygenated using gallic acid under mild conditions to prepare reduced graphene oxide (RGO). The resultant RGO showed a lithium-ion storage capacity of 1280 mA h g −1 at a current density of 200 mA g −1 after 350 cycles when used as an anode for lithium ion batteries. The RGO was further used to stabilize silicon (Si) nanoparticles to prepare silicon-graphene composite electrode materials. Experimental results showed that a composite electrode prepared with a mass ratio of Si:GO = 1:2 exhibited the best lithium ion storage performance.

  16. The effect of oxidation on physical properties of porous silicon layers for optical applications

    Energy Technology Data Exchange (ETDEWEB)

    Pirasteh, Parasteh [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Charrier, Joel [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France)]. E-mail: joel.charrier@univ-rennes1.fr; Soltani, Ali [Institut d' Electronique, de Microemectronique et de Nanotechnologie, CNRS-UMR 8520, Cite Scientifique Avenue Poincare, BP 69, 59652 Villeneuve d' Ascq Cedex (France); Haesaert, Severine [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Haji, Lazhar [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Godon, Christine [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France); Errien, Nicolas [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France)

    2006-12-15

    In order to understand the optical loss mechanisms in porous silicon based waveguides, structural and optical studies have been performed. Scanning and transmission electron microscopic observations of porous silicon layers are obtained before and after an oxidation process at high temperature in wet O{sub 2}. Pore size and shape of heavily p-type doped Si wafers are estimated and correlated to the optical properties of the material before and after oxidation. The refractive index was measured and compared to that determined by the Bruggeman model.

  17. A model for the formation of lattice defects at silicon oxide precipitates in silicon

    International Nuclear Information System (INIS)

    Vanhellemont, J.; Gryse, O. de; Clauws, P.

    2003-01-01

    The critical size of silicon oxide precipitates and the formation of lattice defects by the precipitates are discussed. An expression is derived allowing estimation of self-interstitial emission by spherical precipitates as well as strain build-up during precipitate growth. The predictions are compared with published experimental data. A model for stacking fault nucleation at oxide precipitates is developed based on strain and self-interstitial accumulation during the thermal history of the wafer. During a low-temperature treatment high levels of strain develop. During subsequent high-temperature treatment, excess strain energy in the precipitate is released by self-interstitial emission leading to favourable conditions for stacking fault nucleation

  18. Electrical behavior of free-standing porous silicon layers

    International Nuclear Information System (INIS)

    Bazrafkan, I.; Dariani, R.S.

    2009-01-01

    The electrical behavior of porous silicon (PS) layers has been investigated on one side of p-type silicon with various anodization currents and electrolytes. The two contact I-V characteristic is assigned by the metal/porous silicon rectifying interface, whereas, by using the van der Pauw technique, a nonlinear dependence of the current vs voltage was found. By using Dimethylformamide (DMF) in electrolyte, regular structures and columns were formed and porosity increased. Our results showed that by using DMF, surface resistivity of PS samples increased and became double for free-standing porous silicon (FPS). The reason could be due to increasing surface area and adsorbing some more gas molecules. Activation energy of PS samples was also increased from 0.31 to 0.34 eV and became 0.35 eV for FPS. The changes induced by storage are attributed to the oxidation process of the internal surface of free-standing porous silicon layers.

  19. Surface functionalization of HF-treated silicon nanowires

    Indian Academy of Sciences (India)

    Administrator

    place when silicon nanowires reacted with 2,2,2-trifluoroethyl acrylate, and reductive deposition reaction occurred in the ... detection of fM level of protein. 14 and DNA. 15 ... surfaces can be easily modified to act as both elec- tron-transfer ...

  20. Synthesis of low-oxide blue luminescent alkyl-functionalized silicon nanoparticles with no nitrogen containing surfactant

    International Nuclear Information System (INIS)

    Thomas, Jason A.; Ashby, Shane P.; Huld, Frederik; Pennycook, Timothy J.; Chao, Yimin

    2015-01-01

    Of ever growing interest in the fields of physical chemistry and materials science, silicon nanoparticles show a great deal of potential. Methods for their synthesis are, however, often hazardous, expensive or otherwise impractical. In the literature, there is a safe, fast and cheap inverse micelle-based method for the production of alkyl-functionalized blue luminescent silicon nanoparticles, which nonetheless found limitations, due to undesirable Si-alkoxy and remaining Si–H functionalization. In the following work, these problems are addressed, whereby an optimisation of the reaction mechanism encourages more desirable capping, and the introduction of alcohol is replaced by the use of anhydrous copper (II) chloride. The resulting particles, when compared with their predecessors through a myriad of spectroscopic techniques, are shown to have greatly reduced levels of ‘undesirable’ capping, with a much lower surface oxide level; whilst also maintaining long-term air stability, strong photoluminescence and high yields

  1. Synthesis of low-oxide blue luminescent alkyl-functionalized silicon nanoparticles with no nitrogen containing surfactant

    Energy Technology Data Exchange (ETDEWEB)

    Thomas, Jason A.; Ashby, Shane P.; Huld, Frederik [University of East Anglia, School of Chemistry (United Kingdom); Pennycook, Timothy J. [SuperSTEM Laboratory, STFC Daresbury Campus (United Kingdom); Chao, Yimin, E-mail: y.chao@uea.ac.uk [University of East Anglia, School of Chemistry (United Kingdom)

    2015-05-15

    Of ever growing interest in the fields of physical chemistry and materials science, silicon nanoparticles show a great deal of potential. Methods for their synthesis are, however, often hazardous, expensive or otherwise impractical. In the literature, there is a safe, fast and cheap inverse micelle-based method for the production of alkyl-functionalized blue luminescent silicon nanoparticles, which nonetheless found limitations, due to undesirable Si-alkoxy and remaining Si–H functionalization. In the following work, these problems are addressed, whereby an optimisation of the reaction mechanism encourages more desirable capping, and the introduction of alcohol is replaced by the use of anhydrous copper (II) chloride. The resulting particles, when compared with their predecessors through a myriad of spectroscopic techniques, are shown to have greatly reduced levels of ‘undesirable’ capping, with a much lower surface oxide level; whilst also maintaining long-term air stability, strong photoluminescence and high yields.

  2. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    Science.gov (United States)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  3. Nucleation of microcrystalline silicon: on the effect of the substrate surface nature and nano-imprint topography

    International Nuclear Information System (INIS)

    Palmans, J; Faraz, T; Verheijen, M A; Kessels, W M M; Creatore, M

    2016-01-01

    The nucleation of microcrystalline silicon thin-films has been investigated for various substrate natures and topographies. An earlier nucleation onset on aluminium-doped zinc oxide compared to glass substrates has been revealed, associated with a microstructure enhancement and reduced surface energy. Both aspects resulted in a larger crystallite density, following classical nucleation theory. Additionally, the nucleation onset was (plasma deposition) condition-dependent. Therefore, surface chemistry and its interplay with the plasma have been proposed as key factors affecting nucleation and growth. As such, preliminary proof of the substrate nature’s role in microcrystalline silicon growth has been provided. Subsequently, the impact of nano-imprint lithography prepared surfaces on the initial microcrystalline silicon growth has been explored. Strong topographies, with a 5-fold surface area enhancement, led to a reduction in crystalline volume fraction of ∼20%. However, no correlation between topography and microstructure has been found. Instead, the suppressed crystallization has been partially ascribed to a reduced growth flux, limited surface diffusion and increased incubation layer thickness, originating from the surface area enhancement when transiting from flat to nanostructured surfaces. Furthermore, fundamental plasma parameters have been reviewed in relation with surface topography. Strong topographies are not expected to affect the ion-to-growth flux ratio. However, the reduced ion flux (due to increasing surface area) further limited the already weak ion energy transfer to surface processes. Additionally, the atomic hydrogen flux, i.e. the driving force for microcrystalline growth, has been found to decrease by a factor of 10 when transiting from flat to nanostructured topography. This resulted in an almost 6-fold reduction of the hydrogen-to-growth flux ratio, a much stronger effect than the ion-to-growth flux ratio. Since previous studies regarding

  4. Silicon containing copolymers

    CERN Document Server

    Amiri, Sahar; Amiri, Sanam

    2014-01-01

    Silicones have unique properties including thermal oxidative stability, low temperature flow, high compressibility, low surface tension, hydrophobicity and electric properties. These special properties have encouraged the exploration of alternative synthetic routes of well defined controlled microstructures of silicone copolymers, the subject of this Springer Brief. The authors explore the synthesis and characterization of notable block copolymers. Recent advances in controlled radical polymerization techniques leading to the facile synthesis of well-defined silicon based thermo reversible block copolymers?are described along with atom transfer radical polymerization (ATRP), a technique utilized to develop well-defined functional thermo reversible block copolymers. The brief also focuses on Polyrotaxanes and their great potential as stimulus-responsive materials which produce poly (dimethyl siloxane) (PDMS) based thermo reversible block copolymers.

  5. Covalent Attachment of Bent-Core Mesogens to Silicon Surfaces

    NARCIS (Netherlands)

    Scheres, L.; Achten, R.; Giesbers, M.; Smet, de L.; Arafat, A.; Sudhölter, E.J.R.; Marcelis, A.T.M.; Zuilhof, H.

    2009-01-01

    Two vinyl-terminated bent core-shaped liquid crystalline molecules that exhibit thermotropic antiferroelectric SmCPA phases have been covalently attached onto a hydrogen-terminated silicon(111) surface. The surface attachment was achieved via a mild procedure from a mesitylene solution, using

  6. Formation of porous surface layers in reaction bonded silicon nitride during processing

    Science.gov (United States)

    Shaw, N. J.; Glasgow, T. K.

    1979-01-01

    Microstructural examination of reaction bonded silicon nitride (RBSN) has shown that there is often a region adjacent to the as-nitrided surfaces that is even more porous than the interior of this already quite porous material. Because this layer of large porosity is considered detrimental to both the strength and oxidation resistance of RBSN, a study was undertaken to determine if its formation could be prevented during processing. All test bars studied were made from a single batch of Si powder which was milled for 4 hours in heptane in a vibratory mill using high density alumina cylinders as the grinding media. After air drying the powder, bars were compacted in a single acting die and hydropressed.

  7. Fabrication of multi-functional silicon surface by direct laser writing

    Science.gov (United States)

    Verma, Ashwani Kumar; Soni, R. K.

    2018-05-01

    We present a simple, quick and one-step methodology based on nano-second laser direct writing for the fabrication of micro-nanostructures on silicon surface. The fabricated surfaces suppress the optical reflection by multiple reflection due to light trapping effect to a much lower value than polished silicon surface. These textured surfaces offer high enhancement ability after gold nanoparticle deposition and then explored for Surface Enhanced Raman Scattering (SERS) for specific molecular detection. The effect of laser scanning line interval on optical reflection and SERS signal enhancement ability was also investigated. Our results indicate that low optical reflection substrates exhibit uniform SERS enhancement with enhancement factor of the order of 106. Furthermore, this methodology provide an alternative approach for cost-effective large area fabrication with good control over feature size.

  8. Iron oxide surfaces

    Science.gov (United States)

    Parkinson, Gareth S.

    2016-03-01

    The current status of knowledge regarding the surfaces of the iron oxides, magnetite (Fe3O4), maghemite (γ-Fe2O3), haematite (α-Fe2O3), and wüstite (Fe1-xO) is reviewed. The paper starts with a summary of applications where iron oxide surfaces play a major role, including corrosion, catalysis, spintronics, magnetic nanoparticles (MNPs), biomedicine, photoelectrochemical water splitting and groundwater remediation. The bulk structure and properties are then briefly presented; each compound is based on a close-packed anion lattice, with a different distribution and oxidation state of the Fe cations in interstitial sites. The bulk defect chemistry is dominated by cation vacancies and interstitials (not oxygen vacancies) and this provides the context to understand iron oxide surfaces, which represent the front line in reduction and oxidation processes. Fe diffuses in and out from the bulk in response to the O2 chemical potential, forming sometimes complex intermediate phases at the surface. For example, α-Fe2O3 adopts Fe3O4-like surfaces in reducing conditions, and Fe3O4 adopts Fe1-xO-like structures in further reducing conditions still. It is argued that known bulk defect structures are an excellent starting point in building models for iron oxide surfaces. The atomic-scale structure of the low-index surfaces of iron oxides is the major focus of this review. Fe3O4 is the most studied iron oxide in surface science, primarily because its stability range corresponds nicely to the ultra-high vacuum environment. It is also an electrical conductor, which makes it straightforward to study with the most commonly used surface science methods such as photoemission spectroscopies (XPS, UPS) and scanning tunneling microscopy (STM). The impact of the surfaces on the measurement of bulk properties such as magnetism, the Verwey transition and the (predicted) half-metallicity is discussed. The best understood iron oxide surface at present is probably Fe3O4(100); the structure is

  9. Effect of yttrium on the oxide scale adherence of pre-oxidized silicon-containing heat-resistant alloy

    International Nuclear Information System (INIS)

    Yan Jingbo; Gao Yimin; Shen Yudi; Yang Fang; Yi Dawei; Ye Zhaozhong; Liang Long; Du Yingqian

    2011-01-01

    Highlights: → AE experiment shows yttrium has a beneficial effect on the pre-oxidized HP40 alloy. → Yttrium facilitates the formation of internal oxide after 10 h of oxidation. → Internal oxide changes the rupture behaviour of the oxide scale. → Twins form in the internal oxide and improve the binding strength of the scale. - Abstract: This paper investigates the effect of the rare earth element yttrium on the rupture behaviour of the oxide scale on the silicon-containing heat-resistant alloy during cooling. After 10 h of oxidation, yttrium is found to facilitate the formation of internal oxides (silica) at the scale-matrix interface. Due to the twinning observed by scanning transmission electron microscopy (STEM) in silica, the critical strain value for the scale failure can be dramatically improved, and the formation of cracks at the scale-matrix interface is inhibited.

  10. Dielectric properties of DNA oligonucleotides on the surface of silicon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: bagraev@mail.ioffe.ru [St. Petersburg Polytechnic University (Russian Federation); Chernev, A. L. [Russian Academy of Sciences, St. Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation); Klyachkin, L. E. [St. Petersburg Polytechnic University (Russian Federation); Malyarenko, A. M. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Emel’yanov, A. K.; Dubina, M. V. [Russian Academy of Sciences, St. Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation)

    2016-10-15

    Planar silicon nanostructures that are formed as a very narrow silicon quantum well confined by δ barriers heavily doped with boron are used to study the dielectric properties of DNA oligonucleotides deposited onto the surface of the nanostructures. The capacitance characteristics of the silicon nanostructures with oligonucleotides deposited onto their surface are determined by recording the local tunneling current–voltage characteristics by means of scanning tunneling microscopy. The results show the possibility of identifying the local dielectric properties of DNA oligonucleotide segments consisting of repeating G–C pairs. These properties apparently give grounds to correlate the segments with polymer molecules exhibiting the properties of multiferroics.

  11. Formation of a silicon terminated (100) diamond surface

    International Nuclear Information System (INIS)

    Schenk, Alex; Sear, Michael; Pakes, Chris; Tadich, Anton; O'Donnell, Kane M.; Ley, Lothar; Stacey, Alastair

    2015-01-01

    We report the preparation of an ordered silicon terminated diamond (100) surface with a two domain 3 × 1 reconstruction as determined by low energy electron diffraction. Based on the dimensions of the surface unit cell and on chemical information provided by core level photoemission spectra, a model for the structure is proposed. The termination should provide a homogeneous, nuclear, and electron spin-free surface for the development of future near-surface diamond quantum device architectures

  12. Small Punch Tests at Oxide Scales Surface of Structural Steel and Low Silicon Steel

    Czech Academy of Sciences Publication Activity Database

    Hrabovský, J.; Dobeš, Ferdinand; Horský, J.

    2014-01-01

    Roč. 82, 3-4 (2014), s. 297-310 ISSN 0030-770X Institutional support: RVO:68081723 Keywords : Small punch * Oxide scales * X-ray diffraction * Mechanical properties Subject RIV: JK - Corrosion ; Surface Treatment of Materials Impact factor: 1.140, year: 2014

  13. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  14. Freestanding silicon quantum dots: origin of red and blue luminescence.

    Science.gov (United States)

    Gupta, Anoop; Wiggers, Hartmut

    2011-02-04

    In this paper, we studied the behavior of silicon quantum dots (Si-QDs) after etching and surface oxidation by means of photoluminescence (PL) measurements, Fourier transform infrared spectroscopy (FTIR) and electron paramagnetic resonance spectroscopy (EPR). We observed that etching of red luminescing Si-QDs with HF acid drastically reduces the concentration of defects and significantly enhances their PL intensity together with a small shift in the emission spectrum. Additionally, we observed the emergence of blue luminescence from Si-QDs during the re-oxidation of freshly etched particles. Our results indicate that the red emission is related to the quantum confinement effect, while the blue emission from Si-QDs is related to defect states at the newly formed silicon oxide surface.

  15. On the origin of the changes in the opto-electrical properties of boron-doped zinc oxide films after plasma surface treatment for thin-film silicon solar cell applications

    Science.gov (United States)

    Le, Anh Huy Tuan; Kim, Youngkuk; Lee, Youn-Jung; Hussain, Shahzada Qamar; Nguyen, Cam Phu Thi; Lee, Jaehyung; Yi, Junsin

    2018-03-01

    The modification of the steep and sharp valleys on the surface of the boron-doped zinc oxide (BZO) front electrodes by plasma surface treatment is a critical process for avoiding a significant reduction in the electrical performance of thin-film silicon solar cells. In this work, we report the origin of the changes in the electrical and optical properties of the BZO films that occur after this process. On the basis of an analysis of the chemical states, we found an improvement of the carrier concentration along with the treatment time that was mainly due to an increase of the oxygen vacancy. This indicated a deficiency of the oxygen in the BZO films under argon-ion bombardment. The red-shift of the A1 longitudinal optical mode frequency in the Raman spectra that was attributed to the existence of vacancy point defects within the films also strengthened this argument. The significant reduction of the haze ratio as well as the appearance of interference peaks on the transmittance spectra as the treatment time was increased were mainly due to the smoothing of the film surface, which indicated a degradation of the light-scattering capability of the BZO films. We also observed a gain of the visible-region transmittance that was attributed to the decrease of the thickness of the BZO films after the plasma surface treatment, instead of the crystallinity improvement. On the basis of our findings, we have proposed a further design rule of the BZO front electrodes for thin-film silicon solar cell applications.

  16. Effect of gamma irradiation on the photoluminescence of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Goryachev, D. N. [Russian Academy of Sciences, Ioffe Institute (Russian Federation); Zakharova, I. B. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Sreseli, O. M. [Russian Academy of Sciences, Ioffe Institute (Russian Federation)

    2017-04-15

    The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a change in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.

  17. A review of oxide, silicon nitride, and silicon carbide brazing

    International Nuclear Information System (INIS)

    Santella, M.L.; Moorhead, A.J.

    1987-01-01

    There is growing interest in using ceramics for structural applications, many of which require the fabrication of components with complicated shapes. Normal ceramic processing methods restrict the shapes into which these materials can be produced, but ceramic joining technology can be used to overcome many of these limitations, and also offers the possibility for improving the reliability of ceramic components. One method of joining ceramics is by brazing. The metallic alloys used for bonding must wet and adhere to the ceramic surfaces without excessive reaction. Alumina, partially stabilized zirconia, and silicon nitride have high ionic character to their chemical bonds and are difficult to wet. Alloys for brazing these materials must be formulated to overcome this problem. Silicon carbide, which has some metallic characteristics, reacts excessively with many alloys, and forms joints of low mechanical strength. The brazing characteristics of these three types of ceramics, and residual stresses in ceramic-to-metal joints are briefly discussed

  18. Influence of deposition temperature of thermal ALD deposited Al2O3 films on silicon surface passivation

    Directory of Open Access Journals (Sweden)

    Neha Batra

    2015-06-01

    Full Text Available The effect of deposition temperature (Tdep and subsequent annealing time (tanl of atomic layer deposited aluminum oxide (Al2O3 films on silicon surface passivation (in terms of surface recombination velocity, SRV is investigated. The pristine samples (as-deposited show presence of positive fixed charges, QF. The interface defect density (Dit decreases with increase in Tdep which further decreases with tanl up to 100s. An effective surface passivation (SRV<8 cm/s is realized for Tdep ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized by high thermal budget process (tanl between 10 to 30 min.

  19. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  1. Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

    NARCIS (Netherlands)

    Doeswijk, L.M.; de Moor, Hugo H.C.; Rogalla, Horst; Blank, David H.A.

    2002-01-01

    Since most commercially available solar cells are still made from silicon, we are exploring the introduction of passivating qualities in oxides, with the potential to serve as an antireflection coating. Pulsed laser deposition (PLD) was used to deposit TiO2 and SrTiO3 coatings on silicon substrates.

  2. Nanoscale patterning of two metals on silicon surfaces using an ABC triblock copolymer template.

    Science.gov (United States)

    Aizawa, Masato; Buriak, Jillian M

    2006-05-03

    Patterning technologically important semiconductor interfaces with nanoscale metal films is important for applications such as metallic interconnects and sensing applications. Self-assembling block copolymer templates are utilized to pattern an aqueous metal reduction reaction, galvanic displacement, on silicon surfaces. Utilization of a triblock copolymer monolayer film, polystyrene-block-poly(2-vinylpyridine)-block-poly(ethylene oxide) (PS-b-P2VP-b-PEO), with two blocks capable of selective transport of different metal complexes to the surface (PEO and P2VP), allows for chemical discrimination and nanoscale patterning. Different regions of the self-assembled structure discriminate between metal complexes at the silicon surface, at which time they undergo the spontaneous reaction at the interface. Gold deposition from gold(III) compounds such as HAuCl4(aq) in the presence of hydrofluoric acid mirrors the parent block copolymer core structure, whereas silver deposition from Ag(I) salts such as AgNO3(aq) does the opposite, localizing exclusively under the corona. By carrying out gold deposition first and silver second, sub-100-nm gold features surrounded by silver films can be produced. The chemical selectivity was extended to other metals, including copper, palladium, and platinum. The interfaces were characterized by a variety of methods, including scanning electron microscopy, scanning Auger microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy.

  3. Dynamic Chemically Driven Dewetting, Spreading, and Self-Running of Sessile Droplets on Crystalline Silicon.

    Science.gov (United States)

    Arscott, Steve

    2016-12-06

    A chemically driven dewetting effect is demonstrated using sessile droplets of dilute hydrofluoric acid on chemically oxidized silicon wafers. The dewetting occurs as the thin oxide is slowly etched by the droplet and replaced by a hydrogen-terminated surface; the result of this is a gradual increase in the contact angle of the droplet with time. The time-varying work of adhesion is calculated from the time-varying contact angle; this corresponds to the changing chemical nature of the surface during dewetting and can be modeled by the well-known logistic (sigmoid) function often used for the modeling of restricted growth, in this case, the transition from an oxidized surface to a hydrogen-terminated silicon surface. The observation of the time-varying contact angle allows one to both measure the etch rate of the silicon oxide and estimate the hydrogenation rate as a function of HF concentration and wafer type. In addition to this, at a certain HF concentration, a self-running droplet effect is observed. In contrast, on hydrogen-terminated silicon wafers, a chemically induced spreading effect is observed using sessile droplets of nitric acid. The droplet spreading can also be modeled using a logistical function, where the restricted growth is the transition from hydrogen-terminated to a chemically induced oxidized silicon surface. The chemically driven dewetting and spreading observed here add to the methods available to study dynamic wetting (e.g., the moving three-phase contact line) of sessile droplets on surfaces. By slowing down chemical kinetics of the wetting, one is able to record the changing profile of the sessile droplet with time and gather information concerning the time-varying surface chemistry. The data also indicates a chemical interface hysteresis (CIH) that is compared to contact angle hysteresis (CAH). The approach can also be used to study the chemical etching and deposition behavior of thin films using liquids by monitoring the macroscopic

  4. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  5. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  6. Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application

    Science.gov (United States)

    Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar

    2018-05-01

    Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.

  7. Modification of silicon nitride surfaces with GOPES and APTES for antibody immobilization: computational and experimental studies

    International Nuclear Information System (INIS)

    To, Thien Dien; Nguyen, Anh Tuan; Phan, Khoa Nhat Thanh; Truong, An Thu Thi; Doan, Tin Chanh Duc; Dang, Chien Mau

    2015-01-01

    Chemical modification of silicon nitride (SiN) surfaces by silanization has been widely studied especially with 3-(aminopropyl)triethoxysilane (APTES) and 3-(glycidyloxypropyl) dimethylethoxysilane (GOPES). However few reports performed the experimental and computational studies together. In this study, surface modification of SiN surfaces with GOPES and APTES covalently bound with glutaraldehyde (GTA) was investigated for antibody immobilization. The monoclonal anti-cytokeratin-FITC (MACF) antibody was immobilized on the modified SiN surfaces. The modified surfaces were characterized by water contact angle measurements, atomic force microscopy and fluorescence microscopy. The FITC-fluorescent label indicated the existence of MACF antibody on the SiN surfaces and the efficiency of the silanization reaction. Absorption of APTES and GOPES on the oxidized SiN surfaces was computationally modeled and calculated by Materials Studio software. The computational and experimental results showed that modification of the SiN surfaces with APTES and GTA was more effective than the modification with GOPES. (paper)

  8. A surface code quantum computer in silicon

    Science.gov (United States)

    Hill, Charles D.; Peretz, Eldad; Hile, Samuel J.; House, Matthew G.; Fuechsle, Martin; Rogge, Sven; Simmons, Michelle Y.; Hollenberg, Lloyd C. L.

    2015-01-01

    The exceptionally long quantum coherence times of phosphorus donor nuclear spin qubits in silicon, coupled with the proven scalability of silicon-based nano-electronics, make them attractive candidates for large-scale quantum computing. However, the high threshold of topological quantum error correction can only be captured in a two-dimensional array of qubits operating synchronously and in parallel—posing formidable fabrication and control challenges. We present an architecture that addresses these problems through a novel shared-control paradigm that is particularly suited to the natural uniformity of the phosphorus donor nuclear spin qubit states and electronic confinement. The architecture comprises a two-dimensional lattice of donor qubits sandwiched between two vertically separated control layers forming a mutually perpendicular crisscross gate array. Shared-control lines facilitate loading/unloading of single electrons to specific donors, thereby activating multiple qubits in parallel across the array on which the required operations for surface code quantum error correction are carried out by global spin control. The complexities of independent qubit control, wave function engineering, and ad hoc quantum interconnects are explicitly avoided. With many of the basic elements of fabrication and control based on demonstrated techniques and with simulated quantum operation below the surface code error threshold, the architecture represents a new pathway for large-scale quantum information processing in silicon and potentially in other qubit systems where uniformity can be exploited. PMID:26601310

  9. A surface code quantum computer in silicon.

    Science.gov (United States)

    Hill, Charles D; Peretz, Eldad; Hile, Samuel J; House, Matthew G; Fuechsle, Martin; Rogge, Sven; Simmons, Michelle Y; Hollenberg, Lloyd C L

    2015-10-01

    The exceptionally long quantum coherence times of phosphorus donor nuclear spin qubits in silicon, coupled with the proven scalability of silicon-based nano-electronics, make them attractive candidates for large-scale quantum computing. However, the high threshold of topological quantum error correction can only be captured in a two-dimensional array of qubits operating synchronously and in parallel-posing formidable fabrication and control challenges. We present an architecture that addresses these problems through a novel shared-control paradigm that is particularly suited to the natural uniformity of the phosphorus donor nuclear spin qubit states and electronic confinement. The architecture comprises a two-dimensional lattice of donor qubits sandwiched between two vertically separated control layers forming a mutually perpendicular crisscross gate array. Shared-control lines facilitate loading/unloading of single electrons to specific donors, thereby activating multiple qubits in parallel across the array on which the required operations for surface code quantum error correction are carried out by global spin control. The complexities of independent qubit control, wave function engineering, and ad hoc quantum interconnects are explicitly avoided. With many of the basic elements of fabrication and control based on demonstrated techniques and with simulated quantum operation below the surface code error threshold, the architecture represents a new pathway for large-scale quantum information processing in silicon and potentially in other qubit systems where uniformity can be exploited.

  10. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie

    2018-02-21

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient hole-selective front contact stack for crystalline silicon solar cells. However, hole extraction from the Si wafer and transport through this stack degrades upon annealing at 190 °C, which is needed to cure the screen-printed Ag metallization applied to typical Si solar cells. Here, we show that effusion of hydrogen from the adjacent layers is a likely cause for this degradation, highlighting the need for hydrogen-lean passivation layers when using such metal-oxide-based carrier-selective contacts. Pre-MoOX-deposition annealing of the passivating a-Si:H layer is shown to be a straightforward approach to manufacturing MoOX-based devices with high fill factors using screen-printed metallization cured at 190 °C.

  11. Low temperature anodic bonding to silicon nitride

    DEFF Research Database (Denmark)

    Weichel, Steen; Reus, Roger De; Bouaidat, Salim

    2000-01-01

    Low-temperature anodic bonding to stoichiometric silicon nitride surfaces has been performed in the temperature range from 3508C to 4008C. It is shown that the bonding is improved considerably if the nitride surfaces are either oxidized or exposed to an oxygen plasma prior to the bonding. Both bu...

  12. Silicon (100)/SiO2 by XPS

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, David S.; Kanyal, Supriya S.; Madaan, Nitesh; Vail, Michael A.; Dadson, Andrew; Engelhard, Mark H.; Linford, Matthew R.

    2013-09-25

    Silicon (100) wafers are ubiquitous in microfabrication and, accordingly, their surface characteristics are important. Herein, we report the analysis of Si (100) via X-ray photoelectron spectroscopy (XPS) using monochromatic Al K radiation. Survey scans show that the material is primarily silicon and oxygen, and the Si 2p region shows two peaks that correspond to elemental silicon and silicon dioxide. Using these peaks the thickness of the native oxide (SiO2) was estimated using the equation of Strohmeier.1 The oxygen peak is symmetric. The material shows small amounts of carbon, fluorine, and nitrogen contamination. These silicon wafers are used as the base material for subsequent growth of templated carbon nanotubes.

  13. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    Science.gov (United States)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  14. Luminescent, water-soluble silicon quantum dots via micro-plasma surface treatment

    International Nuclear Information System (INIS)

    Wu, Jeslin J; Siva Santosh Kumar Kondeti, Vighneswara; Bruggeman, Peter J; Kortshagen, Uwe R

    2016-01-01

    Silicon quantum dots (SiQDs), with their broad absorption, narrow and size-tunable emission, and potential biocompatibility are highly attractive materials in biological imaging applications. The inherent hydrophobicity and instability of hydrogen-terminated SiQDs are obstacles to their widespread implementation. In this work, we successfully produced highly luminescent, hydrophilic SiQDs with long-term stability in water using non-thermal plasma techniques. Hydrogen-terminated SiQDs were produced in a low-pressure plasma and subsequently treated in water using an atmospheric-pressure plasma jet for surface modification. Preliminary assessments of the chemical mechanism(s) involved in the creation of water-soluble SiQDs were performed using Fenton’s reaction and various plasma chemistries, suggesting both OH and O species play a key role in the oxidation of the SiQDs. (letter)

  15. Electrochemical and hydrothermal deposition of ZnO on silicon: from continuous films to nanocrystals

    International Nuclear Information System (INIS)

    Balucani, M.; Nenzi, P.; Chubenko, E.; Klyshko, A.; Bondarenko, V.

    2011-01-01

    This article presents the study of the electrochemical deposition of zinc oxide from the non-aqueous solution based on dimethyl sulfoxide and zinc chloride into the porous silicon matrix. The features of the deposition process depending on the thickness of the porous silicon layer are presented. It is shown that after deposition process the porous silicon matrix is filled with zinc oxide nanocrystals with a diameter of 10–50 nm. The electrochemically deposited zinc oxide layers on top of porous silicon are shown to have a crystalline structure. It is also shown that zinc oxide crystals formed by hydrothermal method on the surface of electrochemically deposited zinc oxide film demonstrate ultra-violet luminescence. The effect of the porous silicon layer thickness on the morphology of the zinc oxide is shown. The structures obtained demonstrated two luminescence bands peaking at the 375 and 600 nm wavelengths. Possible applications of ZnO nanostructures, porous and continuous polycrystalline ZnO films such as gas sensors, light-emitting diodes, photovoltaic devices, and nanopiezo energy generators are considered. Aspects of integration with conventional silicon technology are also discussed.

  16. Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation.

    Science.gov (United States)

    Chen, Charlton J; Zheng, Jiangjun; Gu, Tingyi; McMillan, James F; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee; Wong, Chee Wei

    2011-06-20

    We examine the cavity resonance tuning of high-Q silicon photonic crystal heterostructures by localized laser-assisted thermal oxidation using a 532 nm continuous wave laser focused to a 2.5 μm radius spot-size. The total shift is consistent with the parabolic rate law. A tuning range of up to 8.7 nm is achieved with ∼ 30 mW laser powers. Over this tuning range, the cavity Qs decreases from 3.2×10(5) to 1.2×10(5). Numerical simulations model the temperature distributions in the silicon photonic crystal membrane and the cavity resonance shift from oxidation.

  17. Characterization of the silicon nanopillar-surface filled and grafted with nanomaterials

    International Nuclear Information System (INIS)

    He, Yuan; Che, Xiangchen; Que, Long

    2014-01-01

    This paper reports the characterization of the silicon nanopillar-surface filled and grafted with nanomaterials. Usually a silicon nanopillar-surface contains nanopillars and air among them. The air is not a good medium to absorb and trap the incoming photons. In order to improve this capability, the air should be replaced with other material. To this end, copper sulfide–gold (CuS–Au) core–shell nanostructures and silver nanoplates are used as two representative substitutes for air among the nanopillars. Experiments find that the reflectance of the nanomaterial-coated nanopillar-surface can be reduced at least 50% compared to that of the bare nanopillar-surface. Different nanomaterial-coated nanopillar-surface can tune the optical reflectance and absorption profile, thereby trapping photons in different wavelength ranges. (paper)

  18. Oil Contact Angles in a Water-Decane-Silicon Dioxide System: Effects of Surface Charge.

    Science.gov (United States)

    Xu, Shijing; Wang, Jingyao; Wu, Jiazhong; Liu, Qingjie; Sun, Chengzhen; Bai, Bofeng

    2018-04-19

    Oil wettability in the water-oil-rock systems is very sensitive to the evolution of surface charges on the rock surfaces induced by the adsorption of ions and other chemical agents in water flooding. Through a set of large-scale molecular dynamics simulations, we reveal the effects of surface charge on the oil contact angles in an ideal water-decane-silicon dioxide system. The results show that the contact angles of oil nano-droplets have a great dependence on the surface charges. As the surface charge density exceeds a critical value of 0.992 e/nm 2 , the contact angle reaches up to 78.8° and the water-wet state is very apparent. The variation of contact angles can be confirmed from the number density distributions of oil molecules. With increasing the surface charge density, the adsorption of oil molecules weakens and the contact areas between nano-droplets and silicon dioxide surface are reduced. In addition, the number density distributions, RDF distributions, and molecular orientations indicate that the oil molecules are adsorbed on the silicon dioxide surface layer-by-layer with an orientation parallel to the surface. However, the layered structure of oil molecules near the silicon dioxide surface becomes more and more obscure at higher surface charge densities.

  19. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Science.gov (United States)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  20. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hana; Park, Soojin, E-mail: spark@unist.ac.kr [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology, Banyeon-ri 100, Ulsan 689-798 (Korea, Republic of)

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  1. Real-time monitoring of initial thermal oxidation on Si(001) surfaces by synchrotron radiation photoemission spectroscopy

    CERN Document Server

    Yoshigoe, A; Teraoka, Y

    2003-01-01

    The thermal oxidation of Si(001) surfaces at 860 K, 895 K, 945 K and 1000 K under the O sub 2 pressure of 1 x 10 sup - sup 4 Pa has been investigated by time-resolved photoemission measurements with synchrotron radiation. Based on time evolution analyses by reaction kinetics models, it was found that the oxidation at 860 K, 895 K and 945 K has progressed with the Langmuir adsorption type, whereas the oxidation at 1000 K has showed the character of the two-dimensional island growth involving SiO desorption. The oxidation rates increases with increasing surface temperature in the passive oxidation condition. The time evolution of each Si oxidation state (Si sup n sup + : n = 1, 2, 3, 4) derived from the Si-2p core-level shifts has also been analyzed. The results revealed that the thermal energy contribution to the migration process of the adsorbed oxygen and the emission of the bulk silicon atoms. Thus, the fraction of the Si sup 4 sup + bonding state, i.e. SiO sub 2 structure, was increased. (author)

  2. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon

    International Nuclear Information System (INIS)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-01-01

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors

  3. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon.

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-07-21

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors.

  4. The effect of thermal oxidation on the luminescence properties of nanostructured silicon.

    Science.gov (United States)

    Liu, Lijia; Sham, Tsun-Kong

    2012-08-06

    Herein is reported a detailed study of the luminescence properties of nanostructured Si using X-ray excited optical luminescence (XEOL) in combination with X-ray absorption near-edge structures (XANES). P-type Si nanowires synthesized via electroless chemical etching from Si wafers of different doping levels and porous Si synthesized using electrochemical method are examined under X-ray excitation across the Si K-, L(3,2) -, and O K-edges. It is found that while as-prepared Si nanostructures are weak light emitters, intense visible luminescence is observed from thermally oxidized Si nanowires and porous Si. The luminescence mechanism of Si upon oxidation is investigated by oxidizing nanostructured Si at different temperatures. Interestingly, the two luminescence bands observed show different response with the variation of absorption coefficient upon Si and O core-electron excitation in elemental silicon and silicon oxide. A correlation between luminescence properties and electronic structures is thus established. The implications of the finding are discussed in terms of the behavior of the oxygen deficient center (OCD) and non-bridging oxygen hole center (NBOHC). Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Doping profile measurement on textured silicon surface

    Science.gov (United States)

    Essa, Zahi; Taleb, Nadjib; Sermage, Bernard; Broussillou, Cédric; Bazer-Bachi, Barbara; Quillec, Maurice

    2018-04-01

    In crystalline silicon solar cells, the front surface is textured in order to lower the reflection of the incident light and increase the efficiency of the cell. This texturing whose dimensions are a few micrometers wide and high, often makes it difficult to determine the doping profile measurement. We have measured by secondary ion mass spectrometry (SIMS) and electrochemical capacitance voltage profiling the doping profile of implanted phosphorus in alkaline textured and in polished monocrystalline silicon wafers. The paper shows that SIMS gives accurate results provided the primary ion impact angle is small enough. Moreover, the comparison between these two techniques gives an estimation of the concentration of electrically inactive phosphorus atoms.

  6. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    Science.gov (United States)

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  7. Systematic spatial and stoichiometric screening towards understanding the surface of ultrasmall oxygenated silicon nanocrystal

    Science.gov (United States)

    Niaz, Shanawer; Zdetsis, Aristides D.; Koukaras, Emmanuel N.; Gülseren, Oǧuz; Sadiq, Imran

    2016-11-01

    In most of the realistic ab initio and model calculations which have appeared on the emission of light from silicon nanocrystals, the role of surface oxygen has been usually ignored, underestimated or completely ruled out. We investigate theoretically, by density functional theory (DFT/B3LYP) possible modes of oxygen bonding in hydrogen terminated silicon quantum dots using as a representative case of the Si29 nanocrystal. We have considered Bridge-bonded oxygen (BBO), Doubly-bonded oxygen (DBO), hydroxyl (OH) and Mix of these oxidizing agents. Due to stoichiometry, all comparisons performed are unbiased with respect to composition whereas spatial distribution of oxygen species pointed out drastic change in electronic and cohesive characteristics of nanocrytals. From an overall perspective of this study, it is shown that bridge bonded oxygenated Si nanocrystals accompanied by Mix have higher binding energies and large electronic gap compared to nanocrystals with doubly bonded oxygen atoms. In addition, it is observed that the presence of OH along with BBO, DBO and mixed configurations further lowers electronic gaps and binding energies but trends in same fashion. It is also demonstrated that within same composition, oxidizing constituent, along with their spatial distribution substantially alters binding energy, highest occupied molecular orbital (HOMO) and lowest unoccupied molecular orbital (LUMO) gap (up to 1.48 eV) and localization of frontier orbitals.

  8. Analysis of water microdroplet condensation on silicon surfaces

    Science.gov (United States)

    Honda, Takuya; Fujimoto, Kenya; Yoshimoto, Yuta; Mogi, Katsuo; Kinefuchi, Ikuya; Sugii, Yasuhiko; Takagi, Shu; Univ. of Tokyo Team; Tokyo Inst. of Tech. Team

    2016-11-01

    We observed the condensation process of water microdroplets on flat silicon (100) surfaces by means of the sequential visualization of the droplets using an environmental scanning electron microscope. As previously reported for nanostructured surfaces, the condensation process of water microdroplets on the flat silicon surfaces also exhibits two modes: the constant base (CB) area mode and the constant contact angle (CCA) mode. In the CB mode, the contact angle increases with time while the base diameter is constant. Subsequently, in the CCA mode, the base diameter increases with time while the contact angle remains constant. The dropwise condensation model regulated by subcooling temperature does not reproduce the experimental results. Because the subcooling temperature is not constant in the case of a slow condensation rate, this model is not applicable to the condensation of the long time scale ( several tens of minutes). The contact angle of water microdroplets ( several μm) tended to be smaller than the macro contact angle. Two hypotheses are proposed as the cause of small contact angles: electrowetting and the coalescence of sub- μm water droplets.

  9. In-situ determination of electronic surface and volume defect density of amorphous silicon (a-Si:H) and silicon alloys

    International Nuclear Information System (INIS)

    Siebke, F.

    1992-07-01

    The density of localized gap states in the bulk and in the near-surface region of amorphous hydrogenated silicon (a-Si:H) was measured for non oxidized undoped, B-doped and P-doped samples as well as for films with low carbon (C) and germanium (Ge) content. Also the influence of light soaking on the bulk and surface density of states was investigated. The samples were prepared by rf glow discharge in an UHV-system at substrate temperatures between 100degC and 400degC and transferred to the analysis chamber by a vacuum lock. We combined the constant photocurrent method (CPM) and the total-yield photoelectron spectroscopy (TY) to obtain in-situ information about the defect densities. While the first method yields information about the density of states in the bulk, the other method obtains the density of occupied states in the near-surface region. The mean information depth of the TY-measurements is limited by the escape lenght of photoelectrons and can be estimated to 5 nm. In addition to the defect density the position of the Fermi energy was determined for the bulk by dark conductivity measurements and at the surface using a calibrated Kelvin probe. (orig.)

  10. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  11. Effect of UV irradiations on the structural and optical features of porous silicon: application in silicon solar cells

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Boujmil, M.F.; Ben Rabha, M.; Bessaies, B.

    2004-01-01

    The aim of this paper is to investigate the structural and optical stability of porous silicon layers (PSLs) planned to be used in silicon solar cells technology. The PSLs were prepared by a HNO 3 /HF vapor etching (VE) based method. Fourier transform infrared (FT-IR) spectroscopy shows that fresh VE-based PSLs contain N-H and Si-F bonds related to a ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 minor phase, and conventional Si-H x and Si-O x bonds. Free air exposures of PSLs without and with UV irradiation lead to oxidation or photo-oxidation of the porous layer, respectively. FT-IR characterisation of the PSLs shows that UV irradiations modify the transformation kinetics replacing instable Si-H x by Si-O x or Si-O-H bonds. When fresh PSLs undergo free air oxidation within 7 days, the surface reflectivity decreases from 10 to about 8%, while it drops to about 4% when a 10 min free air UV irradiation is applied. Long periods of free air oxidation do not ensure the reflectivity to be stable, whereas it becomes stable after only 10 min of UV irradiation. This behaviour was explained taking into account the kinetic differences between oxidation with and without UV irradiation. Fresh VE-based PSLs were found to improve efficiently the photovoltaic (PV) characteristics of crystalline silicon solar cells. The passivating action of VE-based PSLs was discussed. An improvement of the PV performances was observed solely for stable oxidized porous silicon (PS) structures obtained from UV irradiations

  12. Study of oxide facing at silicone detectors of ionization detectors

    International Nuclear Information System (INIS)

    Kopestansky, J.; Tykva, R.

    1999-01-01

    Formation of oxide facing on silicone in discrete phases of technological preparation of detectors and interaction of gold (aluminium) steamed with SiO x layer were studied. The homogeneity of Au and Si) x layers and interface Au-SiO x and SiO x -Si were examined. The methods SIMS, and partially XPS, AES and RBS were used

  13. The effects of surface modification on the electrical properties of p–n+ junction silicon nanowires grown by an aqueous electroless etching method

    International Nuclear Information System (INIS)

    Lee, Seulah; Koo, Ja Hoon; Seo, Jungmok; Kim, Sung-Dae; Lee, Kwang Hyun; Im, Seongil; Kim, Young-Woon; Lee, Taeyoon

    2012-01-01

    Although the aqueous electroless etching (AEE) method has received significant attention for the fabrication of silicon nanowires (SiNWs) due to its simplicity and effectiveness, SiNWs grown via the AEE method have a drawback in that their surface roughness is considerably high. Thus, we fabricated surface-modified p–n + junction SiNWs grown by AEE, wherein the surface roughness was reduced by a sequential processes of oxide growth using the rapid thermal oxidation (RTO) cycling process and oxide removal with a hydrofluoric acid solution. High-resolution transmission electron microscopy analysis confirmed that the surface roughness of the modified SiNWs was significantly decreased compared with that of the as-fabricated SiNWs. After RTO treatment, the wettability of the SiNWs had dramatically changed from superhydrophilic to superhydrophobic, which can be attributed to the formation of siloxane groups on the native oxide/SiNW surfaces and the effect of the nanoscale structure. Due to the enhancement in surface carrier mobility, the current density of the surface-modified p–n + junction SiNWs was approximately 6.3-fold greater than that of the as-fabricated sample at a forward bias of 4 V. Meanwhile, the photocurrent density of the surface-modified p–n + junction SiNWs was considerably decreased as a result of the decreases in the light absorption area, light absorption volume, and light scattering.

  14. Elementary structural building blocks encountered in silicon surface reconstructions

    International Nuclear Information System (INIS)

    Battaglia, Corsin; Monney, Claude; Didiot, Clement; Schwier, Eike Fabian; Garnier, Michael Gunnar; Aebi, Philipp; Gaal-Nagy, Katalin; Onida, Giovanni

    2009-01-01

    Driven by the reduction of dangling bonds and the minimization of surface stress, reconstruction of silicon surfaces leads to a striking diversity of outcomes. Despite this variety even very elaborate structures are generally comprised of a small number of structural building blocks. We here identify important elementary building blocks and discuss their integration into the structural models as well as their impact on the electronic structure of the surface. (topical review)

  15. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  16. Attachment chemistry of aromatic compounds on a Silicon(100) surface

    Science.gov (United States)

    Henriksson, Anders; Nishiori, Daiki; Maeda, Hiroaki; Miyachi, Mariko; Yamanoi, Yoshinori; Nishihara, Hiroshi

    2018-03-01

    A mild method was developed for the chemical attachment of aromatic compounds directly onto a hydrogen-terminated Si(100) (H-Si(100)) surface. In the presence of palladium catalyst and base, 4-iodophenylferrocene and a π-conjugated iron complex were attached to H-Si(100) electrodes and hydrogen-terminated silicon nanowires (H-SiNWs), both of which have predominant dihydride species on their surfaces. The reactions were conducted in 1,4-dioxane at 100 °C and the immobilization of both 4-ferrocenylphenyl group and π-conjugated molecular wires were confirmed and quantified by XPS and electrochemical measurements. We reported densely packed monolayer whose surface coverage (Γ), estimated from the electrochemical measurements are in analogue to similar monolayers prepared via thermal or light induced hydrosilylation reactions with alkenes or alkynes. The increase in electrochemical response observed on nanostructured silicon surfaces corresponds well to the increase in surface area, those strongly indicating that this method may be applied for the functionalization of electrodes with a variety of surface topographies.

  17. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  18. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  19. Gelatin Template Synthesis of Aluminum Oxide and/or Silicon Oxide Containing Micro/Mesopores Using the Proteic Sol-Gel Method

    Directory of Open Access Journals (Sweden)

    Amanda Sayure Kasuya de Oliveira

    2017-01-01

    Full Text Available Aluminum oxide and/or silicon oxide-based supports were synthesized by proteic sol-gel method. The characterization was performed through the analysis of TG, XRD, FTIR, SEM, and N2 physisorption. The XRD diffractograms showed an amorphous material profile. TG results indicate the total liberation of the organic and inorganic material in the calcination temperature used, occurring in different mass loss range. This piece of information was reaffirmed by the FTIR spectra, which presented characteristic bands of gelatin structure before calcinations which disappear in the spectrum of the solid after calcinations, indicating the loss of organic matter from gelatin after heat treatment. The spectra exhibited M-O stretching vibration at low wavenumbers after calcinations related to metal oxides. The acquired images by SEM suggest the obtaining of a highly porous material with very different characteristics depending on the composition of the support. The N2 isotherms indicate the presence of a micro/mesoporous oxide with interesting textural properties, particularly for the supports containing aluminum and silicon oxide. The ethanol dehydration results showed greater selectivity to diethyl ether compared to ethylene. From the reaction data, the following order of acid strength was obtained: 2Si-Al > Si-2Al > Si-Al > Al, which is related to the Si-Al ratio.

  20. UV lithography-based protein patterning on silicon: Towards the integration of bioactive surfaces and CMOS electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lenci, S., E-mail: silvia.lenci@iet.unipi.it [Dipartimento di Ingegneria dell' Informazione, via G.Caruso 16, Pisa I-56122 (Italy); Tedeschi, L. [Istituto di Fisiologia Clinica - CNR, via G. Moruzzi 1, Pisa I-56124 (Italy); Pieri, F. [Dipartimento di Ingegneria dell' Informazione, via G.Caruso 16, Pisa I-56122 (Italy); Domenici, C. [Istituto di Fisiologia Clinica - CNR, via G. Moruzzi 1, Pisa I-56124 (Italy)

    2011-08-01

    A simple and fast methodology for protein patterning on silicon substrates is presented, providing an insight into possible issues related to the interaction between biological and microelectronic technologies. The method makes use of standard photoresist lithography and is oriented towards the implementation of biosensors containing Complementary Metal-Oxide-Semiconductor (CMOS) conditioning circuitry. Silicon surfaces with photoresist patterns were prepared and hydroxylated by means of resist- and CMOS backend-compatible solutions. Subsequent aminosilane deposition and resist lift-off in organic solvents resulted into well-controlled amino-terminated geometries. The discussion is focused on resist- and CMOS-compatibility problems related to the used chemicals. Some samples underwent gold nanoparticle (Au NP) labeling and Scanning Electron Microscopy (SEM) observation, in order to investigate the quality of the silane layer. Antibodies were immobilized on other samples, which were subsequently exposed to a fluorescently labeled antigen. Fluorescence microscopy observation showed that this method provides spatially selective immobilization of protein layers onto APTES-patterned silicon samples, while preserving protein reactivity inside the desired areas and low non-specific adsorption elsewhere. Strong covalent biomolecule binding was achieved, giving stable protein layers, which allows stringent binding conditions and a good binding specificity, really useful for biosensing.

  1. Development of a classical force field for the oxidized Si surface: application to hydrophilic wafer bonding.

    Science.gov (United States)

    Cole, Daniel J; Payne, Mike C; Csányi, Gábor; Spearing, S Mark; Colombi Ciacchi, Lucio

    2007-11-28

    We have developed a classical two- and three-body interaction potential to simulate the hydroxylated, natively oxidized Si surface in contact with water solutions, based on the combination and extension of the Stillinger-Weber potential and of a potential originally developed to simulate SiO(2) polymorphs. The potential parameters are chosen to reproduce the structure, charge distribution, tensile surface stress, and interactions with single water molecules of a natively oxidized Si surface model previously obtained by means of accurate density functional theory simulations. We have applied the potential to the case of hydrophilic silicon wafer bonding at room temperature, revealing maximum room temperature work of adhesion values for natively oxidized and amorphous silica surfaces of 97 and 90 mJm(2), respectively, at a water adsorption coverage of approximately 1 ML. The difference arises from the stronger interaction of the natively oxidized surface with liquid water, resulting in a higher heat of immersion (203 vs 166 mJm(2)), and may be explained in terms of the more pronounced water structuring close to the surface in alternating layers of larger and smaller densities with respect to the liquid bulk. The computed force-displacement bonding curves may be a useful input for cohesive zone models where both the topographic details of the surfaces and the dependence of the attractive force on the initial surface separation and wetting can be taken into account.

  2. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  3. Passivated emitters in silicon solar cells

    International Nuclear Information System (INIS)

    King, R.R.; Gruenbaum, P.E.; Sinton, R.A.; Swanson, R.M.

    1990-01-01

    In high-efficiency silicon solar cells with low metal contact coverage fractions and high bulk lifetimes, cell performance is often dominated by recombination in the oxide-passivated diffusions on the cell surface. Measurements of the emitter saturation current density, J o , of oxide-passivated, boron and phosphorus diffusions are presented, and from these measurements, the dependence of surface recombination velocity on dopant concentration was extracted. The lowest observed values of J o which are stable under UV light are given for both boron- and phosphorus-doped, oxide-passivated diffusions, for both textured and untextured surfaces. Contour plots which incorporate the above data have been applied to two types of backside-contact solar cells with large area (37.5 cm 2 ) and one-sun efficiencies up to 22.7%

  4. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  5. All-(111) surface silicon nanowire field effect transistor devices: Effects of surface preparations

    NARCIS (Netherlands)

    Masood, M.N.; Carlen, Edwin; van den Berg, Albert

    2014-01-01

    Etching/hydrogen termination of All-(111) surface silicon nanowire field effect (SiNW-FET) devices developed by conventional photolithography and plane dependent wet etchings is studied with X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM) and

  6. Surface Chemistry Dependence of Mechanochemical Reaction of Adsorbed Molecules-An Experimental Study on Tribopolymerization of α-Pinene on Metal, Metal Oxide, and Carbon Surfaces.

    Science.gov (United States)

    He, Xin; Kim, Seong H

    2018-02-20

    Mechanochemical reactions between adsorbate molecules sheared at tribological interfaces can induce association of adsorbed molecules, forming oligomeric and polymeric products often called tribopolymers). This study revealed the role or effect of surface chemistry of the solid substrate in mechanochemical polymerization reactions. As a model reactant, α-pinene was chosen because it was known to readily form tribopolymers at the sliding interface of stainless steel under vapor-phase lubrication conditions. Eight different substrate materials were tested-palladium, nickel, copper, stainless steel, gold, silicon oxide, aluminum oxide, and diamond-like carbon (DLC). All metal substrates and DLC were initially covered with surface oxide species formed naturally in air or during the oxidative sample cleaning. It was found that the tribopolymerization yield of α-pinene is much higher on the substrates that can chemisorb α-pinene, compared to the ones on which only physisorption occurs. From the load dependence of the tribopolymerization yield, it was found that the surfaces capable of chemisorption give a smaller critical activation volume for the mechanochemical reaction, compared to the ones capable of physisorption only. On the basis of these observations and infrared spectroscopy analyses of the adsorbed molecules and the produced polymers, it was concluded that the mechanochemical reaction mechanisms might be different between chemically reactive and inert surfaces and that the chemical reactivity of the substrate surface greatly influences the tribochemical polymerization reactions of adsorbed molecules.

  7. What Governs Friction of Silicon Oxide in Humid Environment: Contact Area between Solids, Water Meniscus around the Contact, or Water Layer Structure?

    Science.gov (United States)

    Chen, Lei; Xiao, Chen; Yu, Bingjun; Kim, Seong H; Qian, Linmao

    2017-09-26

    In order to understand the interfacial parameters governing the friction force (F t ) between silicon oxide surfaces in humid environment, the sliding speed (v) and relative humidity (RH) dependences of F t were measured for a silica sphere (1 μm radius) sliding on a silicon oxide (SiO x ) surface, using atomic force microscopy (AFM), and analyzed with a mathematical model describing interfacial contacts under a dynamic condition. Generally, F t decreases logarithmically with increasing v to a cutoff value below which its dependence on interfacial chemistry and sliding condition is relatively weak. Above the cutoff value, the logarithmic v dependence could be divided into two regimes: (i) when RH is lower than 50%, F t is a function of both v and RH; (ii) in contrast, at RH ≥ 50%, F t is a function of v only, but not RH. These complicated v and RH dependences were hypothesized to originate from the structure of the water layer adsorbed on the surface and the water meniscus around the annulus of the contact area. This hypothesis was tested by analyzing F t as a function of the water meniscus area (A m ) and volume (V m ) estimated from a thermally activated water-bridge formation model. Surprisingly, it was found that F t varies linearly with V m and correlates poorly with A m at RH contact under ambient conditions.

  8. Silicon surface passivation by PEDOT: PSS functionalized by SnO2 and TiO2 nanoparticles.

    Science.gov (United States)

    García-Tecedor, M; Karazhanov, S Zh; Vásquez, G C; Haug, H; Maestre, D; Cremades, A; Taeño, M; Ramírez-Castellanos, J; González-Calbet, J M; Piqueras, J; You, C C; Marstein, E S

    2018-01-19

    In this paper, we present a study of silicon surface passivation based on the use of spin-coated hybrid composite layers. We investigate both undoped poly(3,4-ethylenedioxythiophene)/poly-(styrenesulfonate) (PEDOT:PSS), as well as PEDOT:PSS functionalized with semiconducting oxide nanomaterials (TiO 2 and SnO 2 ). The hybrid compound was deposited at room temperature by spin coating-a potentially lower cost, lower processing time and higher throughput alternative compared with the commonly used vacuum-based techniques. Photoluminescence imaging was used to characterize the electronic properties of the Si/PEDOT:PSS interface. Good surface passivation was achieved by PEDOT:PSS functionalized by semiconducting oxides. We show that control of the concentration of semiconducting oxide nanoparticles in the polymer is crucial in determining the passivation performance. A charge carrier lifetime of about 275 μs has been achieved when using SnO 2 nanoparticles at a concentration of 0.5 wt.% as a filler in the composite film. X-ray diffraction (XRD), scanning electron microscopy, high resolution transmission electron microscopy (HRTEM), energy dispersive x-ray in an SEM, and μ-Raman spectroscopy have been used for the morphological, chemical and structural characterization. Finally, a simple model of a photovoltaic device based on PEDOT:PSS functionalized with semiconducting oxide nanoparticles has been fabricated and electrically characterized.

  9. Oxidation of Ni(Pt)Si by molecular vs. atomic oxygen

    International Nuclear Information System (INIS)

    Manandhar, Sudha; Copp, Brian; Kelber, J.A.

    2008-01-01

    X-ray photoelectron spectroscopy (XPS) has been used to characterize the oxidation of a clean Ni(Pt)Si surface under two distinct conditions: exposure to a mixed flux of atomic and molecular oxygen (O + O 2 ; P O+O 2 = 5 x 10 -6 Torr) and pure molecular oxygen (O 2 ; P O 2 = 10 -5 Torr) at ambient temperatures. Formation of the clean, stoichiometric (nickel monosilicide) phase under vacuum conditions results in the formation of a surface layer enriched in PtSi. Oxidation of this surface in the presence of atomic oxygen initially results in formation of a silicon oxide overlayer. At higher exposures, kinetically limited oxidation of Pt results in Pt silicate formation. No passivation of oxygen uptake of the sample is observed for total O + O 2 exposure 4 L, at which point the average oxide/silicate overlayer thickness is 23 (3) A (uncertainty in the last digit in parentheses). In contrast, exposure of the clean Ni(Pt)Si surface to molecular oxygen only (maximum exposure: 5 x 10 5 L) results in slow growth of a silicon oxide overlayer, without silicate formation, and eventual passivation at a total average oxide thickness of 8(1) A, compared to a oxide average thickness of 17(2) A (no silicate formation) for the as-received sample (i.e., exposed to ambient.) The aggressive silicon oxidation by atomic oxygen, results in Ni-rich silicide formation in the substrate and the kinetically limited oxidation of the Pt

  10. Influence of silicon on hot-dip aluminizing process and subsequent oxidation for preparing hydrogen/tritium permeation barrier

    Energy Technology Data Exchange (ETDEWEB)

    Han, Shilei; Li, Hualing; Wang, Shumao; Jiang, Lijun; Liu, Xiaopeng [Energy Materials and Technology Research Institute, General Research Institute for Nonferrous Metals, Beijing 100088 (China)

    2010-04-15

    The development of the International Thermonuclear Experimental Reactor (ITER) requires the production of a material capable of acting as a hydrogen/tritium permeation barrier on low activation steel. It is well known that thin alumina layer can reduce the hydrogen permeation rate by several orders of magnitude. A technology is introduced here to form a ductile Fe/Al intermetallic layer on the steel with an alumina over-layer. This technology, consisting of two main steps, hot-dip aluminizing (HDA) and subsequent oxidation behavior, seems to be a promising coating method to fulfill the required goals. According to the experiments that have been done in pure Al, the coatings were inhomogeneous and too thick. Additionally, a large number of cracks and porous band could be observed. In order to solve these problems, the element silicon was added to the aluminum melt with a nominal composition. The influence of silicon on the aluminizing and following oxidation process was investigated. With the addition of silicon into the aluminum melt, the coating became thinner and more homogeneous. The effort of the silicon on the oxidation behavior was observed as well concerning the suppression of porous band and cracks. (author)

  11. Effect of low thermal budget annealing on surface passivation of silicon by ALD based aluminum oxide films.

    Science.gov (United States)

    Vandana; Batra, Neha; Gope, Jhuma; Singh, Rajbir; Panigrahi, Jagannath; Tyagi, Sanjay; Pathi, P; Srivastava, S K; Rauthan, C M S; Singh, P K

    2014-10-21

    Thermal ALD deposited Al2O3 films on silicon show a marked difference in surface passivation quality as a function of annealing time (using a rapid thermal process). An effective and quality passivation is realized in short anneal duration (∼100 s) in nitrogen ambient which is reflected in the low surface recombination velocity (SRV passivation. Both as-deposited and low thermal budget annealed films show the presence of positive fixed charges and this is never been reported in the literature before. The role of field and chemical passivation is investigated in terms of fixed charge and interface defect densities. Further, the importance of the annealing step sequence in the MIS structure fabrication protocol is also investigated from the view point of its effect on the nature of fixed charges.

  12. Effect of TMAH Etching Duration on the Formation of Silicon Nano wire Transistor Patterned by AFM Nano lithography

    International Nuclear Information System (INIS)

    Hutagalung, S.D.; Lew, K.C.

    2012-01-01

    Atomic force microscopy (AFM) lithography was applied to produce nano scale pattern for silicon nano wire transistor fabrication. This technique takes advantage of imaging facility of AFM and the ability of probe movement controlling over the sample surface to create nano patterns. A conductive AFM tip was used to grow the silicon oxide nano patterns on silicon on insulator (SOI) wafer. The applied tip-sample voltage and writing speed were well controlled in order to form pre-designed silicon oxide nano wire transistor structures. The effect of tetra methyl ammonium hydroxide (TMAH) etching duration on the oxide covered silicon nano wire transistor structure has been investigated. A completed silicon nano wire transistor was obtained by removing the oxide layer via hydrofluoric acid etching process. The fabricated silicon nano wire transistor consists of a silicon nano wire that acts as a channel with source and drain pads. A lateral gate pad with a nano wire head was fabricated very close to the channel in the formation of transistor structures. (author)

  13. Low temperature surface passivation of crystalline silicon and its application to interdigitated back contact silicon heterojunction (ibc-shj) solar cell

    Science.gov (United States)

    Shu, Zhan

    With the absence of shading loss together with improved quality of surface passivation introduced by low temperature processed amorphous silicon crystalline silicon (a-Si:H/c-Si) heterojunction, the interdigitated back contact silicon heterojunction (IBC-SHJ) solar cell exhibits a potential for higher conversion efficiency and lower cost than a traditional front contact diffused junction solar cell. In such solar cells, the front surface passivation is of great importance to achieve both high open-circuit voltage (Voc) and short-circuit current (Jsc). Therefore, the motivation of this work is to develop a low temperature processed structure for the front surface passivation of IBC-SHJ solar cells, which must have an excellent and stable passivation quality as well as a good anti-reflection property. Four different thin film materials/structures were studied and evaluated for this purpose, namely: amorphous silicon nitride (a-SiNx:H), thick amorphous silicon film (a-Si:H), amorphous silicon/silicon nitride/silicon carbide (a-Si:H/a-SiN x:H/a-SiC:H) stack structure with an ultra-thin a-Si:H layer, and zinc sulfide (ZnS). It was demonstrated that the a-Si:H/a-SiNx:H/a-SiC:H stack surpasses other candidates due to both of its excellent surface passivation quality (SRVSi surface is found to be resulted from (i) field effect passivation due to the positive fixed charge (Q fix~1x1011 cm-2 with 5 nm a-Si:H layer) in a-SiNx:H as measured from capacitance-voltage technique, and (ii) reduced defect state density (mid-gap Dit~4x1010 cm-2eV-1) at a-Si:H/c-Si interface provided by a 5 nm thick a-Si:H layer, as characterized by conductance-frequency measurements. Paralleled with the experimental studies, a computer program was developed in this work based on the extended Shockley-Read-Hall (SRH) model of surface recombination. With the help of this program, the experimental injection level dependent SRV curves of the stack passivated c-Si samples were successfully reproduced and

  14. Amphotericin B channels in phospholipid membrane-coated nanoporous silicon surfaces: implications for photovoltaic driving of ions across membranes.

    Science.gov (United States)

    Yilma, Solomon; Liu, Nangou; Samoylov, Alexander; Lo, Ting; Brinker, C Jeffrey; Vodyanoy, Vitaly

    2007-03-15

    The antimycotic agent amphotericin B (AmB) functions by forming complexes with sterols to form ion channels that cause membrane leakage. When AmB and cholesterol mixed at 2:1 ratio were incorporated into phospholipid bilayer membranes formed on the tip of patch pipettes, ion channel current fluctuations with characteristic open and closed states were observed. These channels were also functional in phospholipid membranes formed on nanoporous silicon surfaces. Electrophysiological studies of AmB-cholesterol mixtures that were incorporated into phospholipid membranes formed on the surface of nanoporous (6.5 nm pore diameter) silicon plates revealed large conductance ion channels ( approximately 300 pS) with distinct open and closed states. Currents through the AmB-cholesterol channels on nanoporous silicon surfaces can be driven by voltage applied via conventional electrical circuits or by photovoltaic electrical potential entirely generated when the nanoporous silicon surface is illuminated with a narrow laser beam. Electrical recordings made during laser illumination of AmB-cholesterol containing membrane-coated nanoporous silicon surfaces revealed very large conductance ion channels with distinct open and closed states. Our findings indicate that nanoporous silicon surfaces can serve as mediums for ion-channel-based biosensors. The photovoltaic properties of nanoporous silicon surfaces show great promise for making such biosensors addressable via optical technologies.

  15. Three-dimensional immobilization of beta-galactosidase on a silicon surface.

    Science.gov (United States)

    Betancor, Lorena; Luckarift, Heather R; Seo, Jae H; Brand, Oliver; Spain, Jim C

    2008-02-01

    Many alternative strategies to immobilize and stabilize enzymes have been investigated in recent years for applications in biosensors. The entrapment of enzymes within silica-based nanospheres formed through silicification reactions provides high loading capacities for enzyme immobilization, resulting in high volumetric activity and enhanced mechanical stability. Here we report a strategy for chemically associating silica nanospheres containing entrapped enzyme to a silicon support. beta-galactosidase from E. coli was used as a model enzyme due to its versatility as a biosensor for lactose. The immobilization strategy resulted in a three-dimensional network of silica attached directly at the silicon surface, providing a significant increase in surface area and a corresponding 3.5-fold increase in enzyme loading compared to enzyme attached directly at the surface. The maximum activity recovered for a silicon square sample of 0.5 x 0.5 cm was 0.045 IU using the direct attachment of the enzyme through glutaraldehyde and 0.16 IU when using silica nanospheres. The immobilized beta-galactosidase prepared by silica deposition was stable and retained more than 80% of its initial activity after 10 days at 24 degrees C. The ability to generate three-dimensional structures with enhanced loading capacity for biosensing molecules offers the potential to substantially amplify biosensor sensitivity. (c) 2007 Wiley Periodicals, Inc.

  16. Heterojunction Solar Cells Based on Silicon and Composite Films of Graphene Oxide and Carbon Nanotubes.

    Science.gov (United States)

    Yu, LePing; Tune, Daniel; Shearer, Cameron; Shapter, Joseph

    2015-09-07

    Graphene oxide (GO) sheets have been used as the surfactant to disperse single-walled carbon nanotubes (CNT) in water to prepare GO/CNT electrodes that are applied to silicon to form a heterojunction that can be used in solar cells. GO/CNT films with different ratios of the two components and with various thicknesses have been used as semitransparent electrodes, and the influence of both factors on the performance of the solar cell has been studied. The degradation rate of the GO/CNT-silicon devices under ambient conditions has also been explored. The influence of the film thickness on the device performance is related to the interplay of two competing factors, namely, sheet resistance and transmittance. CNTs help to improve the conductivity of the GO/CNT film, and GO is able to protect the silicon from oxidation in the atmosphere. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Porous silicon surfaces for metabonomics: Detection and identification of nucleotides without matrix interference

    Energy Technology Data Exchange (ETDEWEB)

    Gomez, D.; Azcarate, Sabino [Dpto. de Micro y Nanotecnologias, Fundacion Tekniker, Av. Otaola 20, 20600 Eibar (Spain); Fernandez, Jose A.; Astigarraga, Egoitz [Dpto. de Quimica Fisica, Universidad del Pais Vasco, Campus de Lejona, Lejona (Spain); Marcaide, Arrate [Dpto. de Procesos de Fabricacion, Fundacion Tekniker, Av. Otaola 20, 20600 Eibar (Spain)

    2007-07-01

    In present work, porous silicon surfaces (PSS) have been developed for time of flight mass spectrometric experiments (TOF-MS) in the monitoring of nucleotides, commonly found as metabolites in the cell. The mass range of the studied molecules ({proportional_to} 400 amu) is common to several important messengers and other metabolites. Different porosified surfaces have been developed by means of electrochemical etching and different degree of porosity and pore size achieved as function of silicon dopant concentration, silicon resistivity, current density and the presence or absence of illumination along the process. As main conclusion, it can be said that an interesting commercial nucleotide (Cyclic adenosine monophosphate, c-AMP) has been detected on low concentrations ({proportional_to}hundreds of femtomols) for some of the fabricated porous surfaces. Taking into account that these concentrations are similar to the ones found in real samples, this result opens the possibility to the fabrication of DIOS (Desorption Ionization On Silicon) chips for the detection of nucleotides in biological fluids. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Non-silicon substrate bonding mediated by poly(dimethylsiloxane) interfacial coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hainan [Department of BioNano Technology, Gachon University, Gyeonggi-do 461-701 (Korea, Republic of); Lee, Nae Yoon, E-mail: nylee@gachon.ac.kr [Department of BioNano Technology, Gachon University, Gyeonggi-do 461-701 (Korea, Republic of); Gachon Medical Research Institute, Gil Medical Center, Inchon 405-760 (Korea, Republic of)

    2015-02-01

    Graphical abstract: Low-molecular-weight PDMS coating on the surfaces of non-silicon substrates such as thermoplastics ensures permanent sealing with a silicone elastomer, PDMS, simply by surface oxidization followed by ambient condition bonding, mediated by a robust siloxane bond formation at the interface. - Highlights: • Non-silicon thermoplastic was bonded with poly(dimethylsiloxane) silicone elastomer. • Low-molecular-weight PDMS interfacial layer was chemically coated on thermoplastic. • Bonding was realized by corona treatment and physical contact under ambient condition. • Bonding is universally applicable regardless of thermoplastic type and property. • Homogeneous PDMS-like microchannel was obtained inside the thermoplastic-PDMS microdevice. - Abstract: In this paper, we introduce a simple and robust strategy for bonding poly(dimethylsiloxane) (PDMS) with various thermoplastic substrates to fabricate a thermoplastic-based closed microfluidic device and examine the feasibility of using the proposed method for realizing plastic–plastic bonding. The proposed bonding strategy was realized by first coating amine functionality on an oxidized thermoplastic surface. Next, the amine-functionalized surface was reacted with a monolayer of low-molecular-weight PDMS, terminated with epoxy functionality, by forming a robust amine-epoxy bond. Both the PDMS-coated thermoplastic and PDMS were then oxidized and permanently assembled at 25 °C under a pressure of 0.1 MPa for 15 min, resulting in PDMS-like surfaces on all four inner walls of the microchannel. Surface characterizations were conducted, including water contact angle measurement, X-ray photoelectron spectroscopy (XPS), and fluorescence measurement, to confirm the successful coating of the thin PDMS layer on the plastic surface, and the bond strength was analyzed by conducting a peel test, burst test, and leakage test. Using the proposed method, we could successfully bond various thermoplastics such

  19. The kinetics and properties of thermal oxidation of silicon in TCA-O/sub 2/

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1993-01-01

    The oxidation of silicon using dry O/sub 2/ is now well established as a key process for the fabrication of electronic devices in the semiconductor industry. However, this process is complicated by its sensitivity to impurities which reduce device yields. HCl can be added to O/sub 2/ to remove these impurities but due to its highly corrosive nature a safer and cleaner alternative such as trichloroethane (TCA) is desirable. In this paper, the thermal oxidation of silicon using a mixture of TCA-O/sub 2/ has been investigated in a large scale industrial system. The growth kinetics and the properties of these films have been studies and compared to oxides produced from dry 2. The addition of TCA generates HCl in situ, enhances the oxidation rate by approximately 54% nd improves the electrical properties. It was found that a 1 mol.% mixture gives the optimum process. An analysis of the data suggests that a liner parabolic growth model is applicable and provides a valuable insight into the physical phenomena governing this important process. (author)

  20. Fabrication and characterization of a chemically oxidized-nanostructured porous silicon based biosensor implementing orienting protein A.

    Science.gov (United States)

    Naveas, Nelson; Hernandez-Montelongo, Jacobo; Pulido, Ruth; Torres-Costa, Vicente; Villanueva-Guerrero, Raúl; Predestinación García Ruiz, Josefa; Manso-Silván, Miguel

    2014-03-01

    Nanostructured porous silicon (PSi) elicits as a very attractive material for future biosensing systems due to its high surface area, biocompatibility and well-established fabrication methods. In order to engineer its performance as a biosensor transducer platform, the density of immunoglobulins properly immobilized and oriented onto the surface needs to be optimized. In this work we fabricated and characterized a novel biosensing system focusing on the improvement of the biofunctionalization cascade. The system consists on a chemically oxidized PSi platform derivatized with 3-aminopropyltriethoxysilane (APTS) that is coupled to Staphylococcus protein A (SpA). The chemical oxidation has previously demonstrated to enhance the biofunctionalization process and here "by implementing SpA" a molecularly oriented immunosensor is achieved. The biosensor system is characterized in terms of its chemical composition, wettability and optical reflectance. Finally, this system is successfully exploited to develop a biosensor for detecting asymmetric dimethylarginine (ADMA), an endogenous molecule involved in cardiovascular diseases. Therefore, this work is relevant from the point of view of design and optimization of the biomolecular immobilization cascade on PSi surfaces with the added value of contribution to the development of new assays for detecting ADMA with a view on prevention of cardiovascular diseases. Copyright © 2013 Elsevier B.V. All rights reserved.

  1. Surface Characteristics and Catalytic Activity of Copper Deposited Porous Silicon Powder

    Directory of Open Access Journals (Sweden)

    Muhammad Yusri Abdul Halim

    2014-12-01

    Full Text Available Porous structured silicon or porous silicon (PS powder was prepared by chemical etching of silicon powder in an etchant solution of HF: HNO3: H2O (1:3:5 v/v. An immersion time of 4 min was sufficient for depositing Cu metal from an aqueous solution of CuSO4 in the presence of HF. Scanning electron microscopy (SEM analysis revealed that the Cu particles aggregated upon an increase in metal content from 3.3 wt% to 9.8 wt%. H2-temperature programmed reduction (H2-TPR profiles reveal that re-oxidation of the Cu particles occurs after deposition. Furthermore, the profiles denote the existence of various sizes of Cu metal on the PS. The Cu-PS powders show excellent catalytic reduction on the p-nitrophenol regardless of the Cu loadings.

  2. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  3. Directional radiative properties of anisotropic rough silicon and gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Lee, H.J.; Chen, Y.B.; Zhang, Z.M. [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States)

    2006-11-15

    Recent studies have shown that the topography of some chemically etched microrough silicon surfaces is non-Gaussian and may be strongly anisotropic. However, the bidirectional reflectance distribution function (BRDF) of anisotropic surfaces has not been fully understood. The present study uses the Monte Carlo method to investigate the out-of-plane BRDF, multiple scattering, and the change of the polarization state upon reflection. Two ray-tracing algorithms are developed that incorporate the surface topography or slope distribution of the samples obtained by the use of an atomic force microscope. The predicted BRDFs for silicon surfaces with or without a gold coating are in reasonable agreement with the results measured using a laser scatterometer at a wavelength of 635nm. The employment of surface topographic data is indispensable to the BRDF modeling of anisotropic surfaces. While first-order scattering makes the dominant contribution to reflections from the studied surfaces, it is critical to consider the polarization state change in order to correctly predict the out-of-plane BRDF. The versatile Monte Carlo modeling tools developed through the present study help gain a better understanding of the directional radiative properties of microrough surfaces and, furthermore, will have an impact on thermal metrology in the semiconductor industry. (author)

  4. Comparative study of the biodegradability of porous silicon films in simulated body fluid.

    Science.gov (United States)

    Peckham, J; Andrews, G T

    2015-01-01

    The biodegradability of oxidized microporous, mesoporous and macroporous silicon films in a simulated body fluid with ion concentrations similar to those found in human blood plasma were studied using gravimetry. Film dissolution rates were determined by periodically weighing the samples after removal from the fluid. The dissolution rates for microporous silicon were found to be higher than those for mesoporous silicon of comparable porosity. The dissolution rate of macroporous silicon was much lower than that for either microporous or mesoporous silicon. This is attributed to the fact that its specific surface area is much lower than that of microporous and mesoporous silicon. Using an equation adapted from [Surf. Sci. Lett. 306 (1994), L550-L554], the dissolution rate of porous silicon in simulated body fluid can be estimated if the film thickness and specific surface area are known.

  5. Strain of laser annealed silicon surfaces

    Science.gov (United States)

    Nemanich, R. J.; Haneman, D.

    1982-05-01

    High resolution Raman scattering measurements have been carried out on pulse and continuous-wave laser annealed silicon samples with various surface preparations. These included polished and ion-bombarded wafers, and saw-cut crystals. The pulse annealing treatments were carried out in ultrahigh vacuum and in air. The residual strain was inferred from the frequency shift of the first-order Raman active mode of Si, and was detectable in the range 10-2-10-3 in all except the polished samples.

  6. Gold Nanostructures for Surface-Enhanced Raman Spectroscopy, Prepared by Electrodeposition in Porous Silicon

    Directory of Open Access Journals (Sweden)

    Yukio H. Ogata

    2011-04-01

    Full Text Available Electrodeposition of gold into porous silicon was investigated. In the present study, porous silicon with ~100 nm in pore diameter, so-called medium-sized pores, was used as template electrode for gold electrodeposition. The growth behavior of gold deposits was studied by scanning electron microscope observation of the gold deposited porous silicon. Gold nanorod arrays with different rod lengths were prepared, and their surface-enhanced Raman scattering properties were investigated. We found that the absorption peak due to the surface plasmon resonance can be tuned by changing the length of the nanorods. The optimum length of the gold nanorods was ~600 nm for surface-enhanced Raman spectroscopy using a He-Ne laser. The reason why the optimum length of the gold nanorods was 600 nm was discussed by considering the relationship between the absorption peak of surface plasmon resonance and the wavelength of the incident laser for Raman scattering.

  7. New transport phenomena probed by dielectric spectroscopy of oxidized and non-oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Urbach, B.; Axelrod, E.; Sa' ar, A. [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, the Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2007-05-15

    Dielectric spectroscopy accompanied by infrared (IR) and photoluminescence (PL) spectroscopy have been utilized to reveal the correlation between transport, optical and structural properties of oxidized porous silicon (PS). Three relaxation processes at low-, mid- and high-temperatures were observed, including dc-conductivity at high-temperatures. Both the low-T relaxation and the dc conductivity were found to be thermally activated processes that involve tunneling and hopping in between the nanocrystals in oxidized PS. We have found that the dc-conductivity is limited by geometrical constrictions along the transport channels, which are not effected by the oxidation process and are characterized by activation energies of about {proportional_to}0.85 eV. The low-T relaxation process involves thermal activation followed by tunneling in between neighbor nanocrystals, with somewhat lower activation energies. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  9. Dwell Time and Surface Parameter Effects on Removal of Silicone Oil From D6ac Steel Using TCA

    Science.gov (United States)

    Boothe, R. E.

    2003-01-01

    This study was conducted to evaluate the impact of dwell time, surface roughness, and the surface activation state on 1,1,1-trichloroethane's (TCA's) effectiveness for removing silicone oil from D6ac steel. Silicone-contaminated test articles were washed with TCA solvent, and then the surfaces were analyzed for residue, using Fourier transform infrared spectroscopy. The predominant factor affecting the ability to remove the silicone oil was surface roughness.

  10. Fast surface modification by microwave assisted click reactions on silicon substrates

    NARCIS (Netherlands)

    Haensch, C.; Erdmenger, T.; Fijten, M.W.M.; Höppener, S.; Schubert, U.S.

    2009-01-01

    Microwave irradiation has been used for the chemical modification of functional monolayers on silicon surfaces. The thermal and chemical stability of these layers was tested under microwave irradiation to investigate the possibility to use this alternative heating process for the surface

  11. Influence of nanoscale topology on bactericidal efficiency of black silicon surfaces

    Science.gov (United States)

    Linklater, Denver P.; Khuong Duy Nguyen, Huu; Bhadra, Chris M.; Juodkazis, Saulius; Ivanova, Elena P.

    2017-06-01

    The nanostructuring of materials to create bactericidal and antibiofouling surfaces presents an exciting alternative to common methods of preventing bacterial adhesion. The fabrication of synthetic bactericidal surfaces has been inspired by the anti-wetting and anti-biofouling properties of insect wings, and other topologies found in nature. Black silicon is one such synthetic surfaces which has established bactericidal properties. In this study we show that time-dependent plasma etching of silicon wafers using 15, 30, and 45 min etching intervals, is able to produce different surface geometries with linearly increasing heights of approximately 280, 430, and 610 nm, respectively. After incubation on these surfaces with Gram-positive Staphylococcus aureus and Gram-negative Pseudomonas aeruginosa bacterial cells it was established that smaller, more densely packed pillars exhibited the greatest bactericidal activity with 85% and 89% inactivation of bacterial cells, respectively. The decrease in the pillar heights, pillar cap diameter and inter-pillar spacing corresponded to a subsequent decrease in the number of attached cells for both bacterial species.

  12. Vibrational modes of porous silicon

    International Nuclear Information System (INIS)

    Sabra, M.; Naddaf, M.

    2012-01-01

    On the basis of theoretical and experimental investigations, the origin of room temperature photoluminescence (PL) from porous silicon is found to related to chemical complexes constituted the surface, in particular, SiHx, SiOx and SiOH groups. Ab initio atomic and molecular electronic structure calculations on select siloxane compounds were used for imitation of infrared (IR) spectra of porous silicon. These are compared to the IR spectra of porous silicon recorded by using Fourier Transform Infrared Spectroscopy (FTIR). In contrast to linear siloxane, the suggested circular siloxane terminated with linear siloxane structure is found to well-imitate the experimental spectra. These results are augmented with EDX (energy dispersive x-ray spectroscopy) measurements, which showed that the increase of SiOx content in porous silicon due to rapid oxidation process results in considerable decrease in PL peak intensity and a blue shift in the peak position. (author)

  13. Deposition and surface characterization of nanoparticles of zinc oxide using dense plasma focus device in nitrogen atmosphere

    International Nuclear Information System (INIS)

    Malhotra, Yashi; Srivastava, M P; Roy, Savita

    2010-01-01

    Nanoparticles of zinc oxide from zinc oxide pellets in the nitrogen plasma atmosphere are deposited on n and p type silicon substrates using Dense Plasma Focus device. The hot and dense nitrogen plasma formed during the focus phase ionizes the ZnO pellet, which then move upward in a fountain like shape and gets deposited on substrates which are placed above the top of the anode. Structural and surface properties of the deposited ZnO are investigated using X-ray diffraction and Atomic force microscope (AFM). X-ray spectra shows the diffraction plane (002) of ZnO nanoparticles deposited on Si with few shots in nitrogen atmosphere. AFM investigations revealed that there are nanoparticles of size between 15-80 nm on n-Si and p-Si substrates. The deposition on n-type Si is better than the p-type Si can be seen from AFM images, this may be due to different orientation of silicon.

  14. Stable cycling of double-walled silicon nanotube battery anodes through solid–electrolyte interphase control

    KAUST Repository

    Wu, Hui

    2012-03-25

    Although the performance of lithium ion-batteries continues to improve, their energy density and cycle life remain insufficient for applications in consumer electronics, transport and large-scale renewable energy storage 1-5. Silicon has a large charge storage capacity and this makes it an attractive anode material, but pulverization during cycling and an unstable solid-electrolyte interphase has limited the cycle life of silicon anodes to hundreds of cycles 6-11. Here, we show that anodes consisting of an active silicon nanotube surrounded by an ion-permeable silicon oxide shell can cycle over 6,000 times in half cells while retaining more than 85% of their initial capacity. The outer surface of the silicon nanotube is prevented from expansion by the oxide shell, and the expanding inner surface is not exposed to the electrolyte, resulting in a stable solid-electrolyte interphase. Batteries containing these double-walled silicon nanotube anodes exhibit charge capacities approximately eight times larger than conventional carbon anodes and charging rates of up to 20C (a rate of 1C corresponds to complete charge or discharge in one hour). © 2012 Macmillan Publishers Limited. All rights reserved.

  15. A high volume cost efficient production macrostructuring process. [for silicon solar cell surface treatment

    Science.gov (United States)

    Chitre, S. R.

    1978-01-01

    The paper presents an experimentally developed surface macro-structuring process suitable for high volume production of silicon solar cells. The process lends itself easily to automation for high throughput to meet low-cost solar array goals. The tetrahedron structure observed is 0.5 - 12 micron high. The surface has minimal pitting with virtually no or very few undeveloped areas across the surface. This process has been developed for (100) oriented as cut silicon. Chemi-etched, hydrophobic and lapped surfaces were successfully texturized. A cost analysis as per Samics is presented.

  16. X-ray and synchrotron studies of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)

    2013-08-15

    The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.

  17. Ageing effects on the wettability behavior of laser textured silicon

    International Nuclear Information System (INIS)

    Nunes, B.; Serro, A.P.; Oliveira, V.; Montemor, M.F.; Alves, E.; Saramago, B.; Colaco, R.

    2011-01-01

    In the present work we investigate the ageing of acid cleaned femtosecond laser textured silicon surfaces. Changes in the surface structure and chemistry were analysed by Rutherford backscattering spectrometry (RBS) and X-ray photoelectron spectroscopy (XPS), in order to explain the variation with time of the water contact angles of the laser textured surfaces. It is shown that highly hydrophobic silicon surfaces are obtained immediately after laser texturing and cleaning with acid solutions (water contact angle > 120 o ). However these surfaces are not stable and ageing leads to a decrease of the water contact angle which reaches a value of 80 o . XPS analysis of the surfaces shows that the growth of the native oxide layer is most probably responsible for this behavior.

  18. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  19. Formation of quasi-periodic nano- and microstructures on silicon surface under IR and UV femtosecond laser pulses

    International Nuclear Information System (INIS)

    Ionin, Andrei A; Golosov, E V; Kolobov, Yu R; Kudryashov, Sergei I; Ligachev, A E; Makarov, Sergei V; Novoselov, Yurii N; Seleznev, L V; Sinitsyn, D V

    2011-01-01

    Quasi-periodic nano- and microstructures have been formed on silicon surface using IR ( λ ≈ 744 nm) and UV ( λ ≈ 248 nm) femtosecond laser pulses. The influence of the incident energy density and the number of pulses on the structured surface topology has been investigated. The silicon nanostructurisation thresholds have been determined for the above-mentioned wavelengths. Modulation of the surface relief at the doubled spatial frequency is revealed and explained qualitatively. The periods of the nanostructures formed on the silicon surface under IR and UV femtosecond laser pulses are comparatively analysed and discussed.

  20. Ambient plasma treatment of silicon wafers for surface passivation recovery

    Science.gov (United States)

    Ge, Jia; Prinz, Markus; Markert, Thomas; Aberle, Armin G.; Mueller, Thomas

    2017-08-01

    In this work, the effect of an ambient plasma treatment powered by compressed dry air on the passivation quality of silicon wafers coated with intrinsic amorphous silicon sub-oxide is investigated. While long-time storage deteriorates the effective lifetime of all samples, a short ambient plasma treatment improves their passivation qualities. By studying the influence of the plasma treatment parameters on the passivation layers, an optimized process condition was identified which even boosted the passivation quality beyond its original value obtained immediately after deposition. On the other hand, the absence of stringent requirement on gas precursors, vacuum condition and longtime processing makes the ambient plasma treatment an excellent candidate to replace conventional thermal annealing in industrial heterojunction solar cell production.

  1. Surface/subsurface observation and removal mechanisms of ground reaction bonded silicon carbide

    Science.gov (United States)

    Yao, Wang; Zhang, Yu-Min; Han, Jie-cai; Zhang, Yun-long; Zhang, Jian-han; Zhou, Yu-feng; Han, Yuan-yuan

    2006-01-01

    Reaction Bonded Silicon Carbide (RBSiC) has long been recognized as a promising material for optical applications because of its unique combination of favorable properties and low-cost fabrication. Grinding of silicon carbide is difficult because of its high hardness and brittleness. Grinding often induces surface and subsurface damage, residual stress and other types of damage, which have great influence on the ceramic components for optical application. In this paper, surface integrity, subsurface damage and material removal mechanisms of RBSiC ground using diamond grinding wheel on creep-feed surface grinding machine are investigated. The surface and subsurface are studied with scanning electron microscopy (SEM) and optical microscopy. The effects of grinding conditions on surface and subsurface damage are discussed. This research links the surface roughness, surface and subsurface cracks to grinding parameters and provides valuable insights into the material removal mechanism and the dependence of grind induced damage on grinding conditions.

  2. A size selective porous silicon grating-coupled Bloch surface and sub-surface wave biosensor.

    Science.gov (United States)

    Rodriguez, Gilberto A; Ryckman, Judson D; Jiao, Yang; Weiss, Sharon M

    2014-03-15

    A porous silicon (PSi) grating-coupled Bloch surface and sub-surface wave (BSW/BSSW) biosensor is demonstrated to size selectively detect the presence of both large and small molecules. The BSW is used to sense large immobilized analytes at the surface of the structure while the BSSW that is confined inside but near the top of the structure is used to sensitively detect small molecules. Functionality of the BSW and BSSW modes is theoretically described by dispersion relations, field confinements, and simulated refractive index shifts within the structure. The theoretical results are experimentally verified by detecting two different small chemical molecules and one large 40 base DNA oligonucleotide. The PSi-BSW/BSSW structure is benchmarked against current porous silicon technology and is shown to have a 6-fold higher sensitivity in detecting large molecules and a 33% improvement in detecting small molecules. This is the first report of a grating-coupled BSW biosensor and the first report of a BSSW propagating mode. © 2013 Published by Elsevier B.V.

  3. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  4. Enhanced optical performance of electrochemically etched porous silicon carbide

    International Nuclear Information System (INIS)

    Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J

    2013-01-01

    Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)

  5. Porous silicon photoluminescence modification by colloidal gold nanoparticles: Plasmonic, surface and porosity roles

    International Nuclear Information System (INIS)

    Mora, M.B. de la; Bornacelli, J.; Nava, R.; Zanella, R.; Reyes-Esqueda, J.A.

    2014-01-01

    Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material

  6. Porous silicon photoluminescence modification by colloidal gold nanoparticles: Plasmonic, surface and porosity roles

    Energy Technology Data Exchange (ETDEWEB)

    Mora, M.B. de la; Bornacelli, J. [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Nava, R. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico); Zanella, R. [Centro de Ciencias Aplicadas y Desarrollo Tecnológico, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Reyes-Esqueda, J.A., E-mail: betarina@gmail.com [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico)

    2014-02-15

    Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material.

  7. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  8. The influence of excess vacancy generation on the diffusion of ion implanted phosphorus into silicon

    International Nuclear Information System (INIS)

    Bakowski, A.

    1985-01-01

    The diffusion of ion implanted phosphorus in silicon has been studied. It was found that the diffusion coefficient is not only dependent on the phosphorus surface concentration (the concentration effect) but also on the conditions at the silicon surface (the surface effect). The phosphorus diffusion coefficient is considerably lower when the silicon surface during annealing is covered with a CVD oxide layer. It is suggested that excess vacancies generated at the surface are reponsible for both the concentration and surface effects. Enhanced phosphorus diffusion is attributed to the disturbance of thermodynamic equilibrium in the crystal through phosphorus-vacancy part formation by vacancies introduced into silicon at the surface. On the basis of the data presented, it can be concluded that two mechanisms for excess vacancy generation are involved. Assuming that phosphorus diffuses via E-centers, calculations of the concentration profiles and the diffusion coefficient were performed for different concentrations and surface conditions. (orig.)

  9. Intravitreal properties of porous silicon photonic crystals

    Science.gov (United States)

    Cheng, L; Anglin, E; Cunin, F; Kim, D; Sailor, M J; Falkenstein, I; Tammewar, A; Freeman, W R

    2009-01-01

    Aim To determine the suitability of porous silicon photonic crystals for intraocular drug-delivery. Methods A rugate structure was electrochemically etched into a highly doped p-type silicon substrate to create a porous silicon film that was subsequently removed and ultrasonically fractured into particles. To stabilise the particles in aqueous media, the silicon particles were modified by surface alkylation (using thermal hydrosilylation) or by thermal oxidation. Unmodified particles, hydrosilylated particles and oxidised particles were injected into rabbit vitreous. The stability and toxicity of each type of particle were studied by indirect ophthalmoscopy, biomicroscopy, tonometry, electroretinography (ERG) and histology. Results No toxicity was observed with any type of the particles during a period of >4 months. Surface alkylation led to dramatically increased intravitreal stability and slow degradation. The estimated vitreous half-life increased from 1 week (fresh particles) to 5 weeks (oxidised particles) and to 16 weeks (hydrosilylated particles). Conclusion The porous silicon photonic crystals showed good biocompatibility and may be used as an intraocular drug-delivery system. The intravitreal injectable porous silicon photonic crystals may be engineered to host a variety of therapeutics and achieve controlled drug release over long periods of time to treat chronic vitreoretinal diseases. PMID:18441177

  10. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  11. Investigations of surface characterization of silicone rubber due to ...

    Indian Academy of Sciences (India)

    Unknown

    †Department of Polymer Technology, Crescent Engineering College, Chennai 600 048, India. Abstract. In the present work, tracking ... Silicone rubber; surface degradation; tracking; WAXD; TG–DTA. 1. Introduction. Power transmission at ... mena in polymer insulators under d.c. voltages. Hence the tracking phenomena ...

  12. Microscopic alterations in silicone tubes surface after application of ophthalmological lubricants

    Directory of Open Access Journals (Sweden)

    Jacqueline Sousa

    2015-02-01

    Full Text Available Objective: To identify microscopic morphological alterations in the surface of silicone tubes used for intubation of the lachrymal system after exposure to ophthalmological lubricants. Methods: Experimental, descriptive and longitudinal study consisted of the application of ophthalmological lubricants in silicone tubes. The tubes were divided in: Group 1 (Cylocort®, 2 (Epitezan®, 3 (Labcaína®, 4 (Liposic®, 5 (Maxinom® and 6 (Vista Gel®. One tube was not exposed to any lubricant, used as control. The tubes were observed and photographed after 2 hours, 30 days, 45 days before and after cleaning the surface and lumen. The following aspects were observed: surface (regularity, transparency, quantity, size and shape of the substances and lumen (obstruction. Results: Control: irregular surface with pores after 2 hours: Group 1 – irregular surface with presence of film; Groups 2, 3 and 5 – abundant and irregular quantity of ointment at the surface; Group 4 – discrete modification at the surface; Group 6 – growth of pigmented (brownish structures with filaments in the lumen, with discrete film in the surface. 30 Days: Groups 1, 4 and 5 – increase of the irregular superficial film; Group 2 – crust with notorious horizontal lines; Group 3 – diminution of the superficial film; Group 4 – crust less evident. Group 6 – increase of the structure seen with 2 hours of exposition, arboriform aspect. Forty-five days pre cleaning: Group 4 – diminution of the surface crust; Group 6 – expansion of the arboriform structure; unaltered findings in other groups. 45 days after cleaning: Groups 1 and 5 – light diminution of the surface crust; Groups 2, 3 and 4 – kept the modifications; Group 6 – the structure inside the lumen was not identified, clear surface, without evidence of film. Conclusions: Microscopic morphological alterations in the surface and lumen of silicone tubes can occur when those remain in contact with determined

  13. Surface metal standards produced by ion implantation through a removable layer

    International Nuclear Information System (INIS)

    Schueler, B.W.; Granger, C.N.; McCaig, L.; McKinley, J.M.; Metz, J.; Mowat, I.; Reich, D.F.; Smith, S.; Stevie, F.A.; Yang, M.H.

    2003-01-01

    Surface metal concentration standards were produced by ion implantation and investigated for their suitability to calibrate surface metal measurements by secondary ion mass spectrometry (SIMS). Single isotope implants were made through a 100 nm oxide layer on silicon. The implant energies were chosen to place the peak of the implanted species at a depth of 100 nm. Subsequent removal of the oxide layer was used to expose the implant peak and to produce controlled surface metal concentrations. Surface metal concentration measurements by time-of-flight SIMS (TOF-SIMS) with an analysis depth of 1 nm agreed with the expected surface concentrations of the implant standards with a relative mean standard deviation of 20%. Since the TOF-SIMS relative sensitivity factors (RSFs) were originally derived from surface metal measurements of surface contaminated silicon wafers, the agreement implies that the implant standards can be used to measure RSF values. The homogeneity of the surface metal concentration was typically <10%. The dopant dose remaining in silicon after oxide removal was measured using the surface-SIMS protocol. The measured implant dose agreed with the expected dose with a mean relative standard deviation of 25%

  14. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  15. Selective Growth and SERS Property of Gold Nanoparticles on Amorphized Silicon Surface

    International Nuclear Information System (INIS)

    Matsuoka, T; Nishi, M; Sakakura, M; Shimotsuma, Y; Miura, K; Hirao, K

    2011-01-01

    We have fabricated gold patterns on a silicon substrate by a simple three-step method using a focused ion beam (FIB). The obtained gold patterns consisted of a large number of gold nanoparticles which grew selectively on the preprocessed silicon surface from an Au ion-containing solution dropped on the substrate. The solution was prepared by reacting HAuCl 4 aqueous solution with (3-mercaptopropyl)trimethoxysilane (MPTMS). It was found that the size and shape of the precipitating gold nanoparticles is controllable by changing the mixing ratio between HAuCl 4 aqueous solution and MPTMS. Additionally, we confirmed that the fabricated gold structures were surface enhanced Raman scattering (SERS)-active; the enhanced Raman peaks of rhodamin 6G (R6G) were detected on the fabricated gold structures, whereas no peak was detected on the alternative silicon surface. We also demonstrated the gold patterning using a femtosecond laser instead of an FIB. We believe that our method is a favorable candidate for fabricating SERS-active substrates, since the substrates can be prepared very simply and flexibly.

  16. Transitions from nanoscale to microscale dynamic friction mechanisms on polyethylene and silicon surfaces

    International Nuclear Information System (INIS)

    Niederberger, S.; Gracias, D. H.; Komvopoulos, K.; Somorjai, G. A.

    2000-01-01

    The dynamic friction mechanisms of polyethylene and silicon were investigated for apparent contact pressures and contact areas in the ranges of 8 MPa-18 GPa and 17 nm2-9500 μm2, respectively. Friction force measurements were obtained with a friction force microscope, scanning force microscope, and pin-on-disk tribometer. Silicon and diamond tips with a nominal radius of curvature between 100 nm and 1.2 mm were slid against low- and high-density polyethylene and Si(100) substrates under contact loads in the range of 5 nN-0.27 N. The low friction coefficients obtained with all material systems at low contact pressures indicated that deformation at the sliding interface was primarily elastic. Alternatively, the significantly higher friction coefficients at higher contact pressures suggested that plastic deformation was the principal mode of deformation. The high friction coefficients of polyethylene observed with large apparent contact areas are interpreted in terms of the microstructure evolution involving the rearrangement of crystalline regions (lamellae) nearly parallel to the sliding direction, which reduces the surface resistance to plastic shearing. Such differences in the friction behavior of polyethylene resulting from stress-induced microstructural changes were found to occur over a relatively large range of the apparent contact area. The friction behavior of silicon was strongly affected by the presence of a native oxide film. Results are presented to demonstrate the effect of the scale of deformation at the contact interface on the dynamic friction behavior and the significance of contact parameters on the friction measurements obtained with different instruments. (c) 2000 American Institute of Physics

  17. Effect of annealing and oxide layer thickness on doping profiles shape of ''through-oxide'' implanted P+ ions in textured silicon

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; Galloni, R.

    1987-10-01

    Phosphorous ions at energies of 60+100 KeV, and doses (4+5)x10 15 atom/cm 2 have been implanted randomly through SiO 2 layers into textured silicon crystals. The penetration profiles of the P + ions have been determined by means of differential sheet resistivity and Hall-effect, together with the anodic oxidation stripping technique. The effect of the oxide layer thickness, annealing temperature on the junction properties has been studied. The damage produced by implantation, has also been investigated using transmission electron microscope (TEM). From the mobility measurements of the free carriers as a function of depth through the junction, two minima have been observed in through oxide implanted samples. The one nearer to the Si-SiO 2 interface (at about 200A from the interface) was related to the damage produced by the recoil oxygen atoms from the oxide layer into silicon. The deeper minimum is lying at ∼ 0.2μm from the interface and was attributed to the damage produced by the implanted P + ions, which caused clusters and defect loops after annealing. This damage was observed through TEM photographs. The optimum conditions for producing shallow junction without losing much of the implanted P + ions through the oxide layer were estimated. (author). 22 refs, 7 figs, 1 tab

  18. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  19. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  20. Narrow-linewidth lasers on a silicon chip

    NARCIS (Netherlands)

    Bernhardi, Edward; Pollnau, Markus; Di Bartolo, Baldassare; Collins, John; Silvestri, Luciano

    2015-01-01

    Diode-pumped distributed-feedback (DFB) channel waveguide lasers were demonstrated in Er3+-doped and Yb3+-doped Al2O3 on standard thermally ox-idized silicon substrates. Uniform surface-relief Bragg gratings were patterned by laser-interference lithography and etched into the SiO2 top cladding. The

  1. Hole Injection at the Silicon/Aqueous Electrolyte Interface: A Possible Mechanism for Chemiluminescence from Porous Silicon

    NARCIS (Netherlands)

    Kooij, Ernst S.; Butter, K.; Kelly, J.J.

    1998-01-01

    The reduction mechanism of oxidizing agents at silicon and porous silicon electrodes has been investigated in relation to light emission from the porous semiconductor. Oxidizing agents with a positive redox potential are shown to inject holes into HF-pretreated silicon. However, as the degree of

  2. Organophosphonate functionalized silicon nanowires for DNA hybridization studies

    Energy Technology Data Exchange (ETDEWEB)

    Pedone, Daniel; Cattani Scholz, Anna; Birner, Stefan; Abstreiter, Gerhard [WSI, TU Muenchen (Germany); Dubey, Manish; Schwartz, Jeffrey [Princeton University, NJ (United States); Tornow, Marc [IHT, TU Braunschweig (Germany)

    2007-07-01

    Semiconductor nanowire field effect devices have great appeal for label-free sensing applications due to their sensitivity to surface potential changes that may originate from charged adsorbates. In addition to requiring high sensitivity, suitable passivation and functionalization of the semiconductor surface is obligatory. We have fabricated both freely suspended and oxide-supported silicon nanowires from Silicon-on-Insulator substrates using standard nanopatterning methods (EBL, RIE) and sacrificial oxide layer etching. Subsequent to nanofabrication, the devices were first coated with an hydroxyalkylphosphonate monolayer and then bound via bifunctional linker groups to single stranded DNA or PNA oligonucleotides, respectively. We investigated DNA hybridization on such functionalized nanowires using a difference resistance setup, where subtracting the reference signal from a second wire could be used to exclude most non-specific effects. A net change in surface potential on the order of a few mV could be detected upon addition of the complementary DNA strand. This surface potential change corresponds to the hybridization of about 10{sup 10}cm{sup -2} probe strands according to our model calculations that takes into account the entire hybrid system in electrolyte solution.

  3. Spectroscopic investigation of oxidized solder surfaces

    International Nuclear Information System (INIS)

    Song, Jenn-Ming; Chang-Chien, Yu-Chien; Huang, Bo-Chang; Chen, Wei-Ting; Shie, Chi-Rung; Hsu, Chuang-Yao

    2011-01-01

    Highlights: → UV-visible spectroscopy is successfully used to evaluate the degree of discoloring of solders. → The surface oxides of solders can also be identified by UV-visible absorption spectra. → The discoloration of solder surface can be correlated with optical characterization of oxides. → A strategy against discoloring by alloying was also suggested. - Abstract: For further understanding of the discoloration of solder surfaces due to oxidation during the assembly and operation of electronic devices, UV-vis and X-ray photoelectron spectroscopic analyses were applied to evaluate the degree of discoloring and identify the surface oxides. The decrease in reflectance of the oxidized solder surface is related to SnO whose absorption band is located within the visible region. A trace of P can effectively depress the discoloration of solders under both solid and semi-solid states through the suppression of SnO.

  4. Oxidation of Ethylene Carbonate on Li Metal Oxide Surfaces

    DEFF Research Database (Denmark)

    Østergaard, Thomas M.; Giordano, Livia; Castelli, Ivano Eligio

    2018-01-01

    Understanding the reactivity of the cathode surface is of key importance to the development of batteries. Here, density functional theory is applied to investigate the oxidative decomposition of the electrolyte component, ethylene carbonate (EC), on layered LixMO(2) oxide surfaces. We compare...

  5. Lewis basicity, adhesion thermodynamic work and coordinating ability on aminated silicon surfaces

    International Nuclear Information System (INIS)

    Sánchez, M. Alejandra; Paniagua, Sergio A.; Borge, Ignacio; Viales, Christian; Montero, Mavis L.

    2014-01-01

    Highlights: • Silicon(1 0 0) surfaces with diamines followed by anchoring of copper complexes over the diamine layer, an approach that could be used for advanced functionalization of semiconducting surfaces. • Lewis basicity (using Fowkes–van Oss–Chaudhury–Good surface tension model) and adhesion thermodynamic work (using chemical force microscopy) were determined. • Higher basicity and thermodynamic work correlate with selective copper acetate monolayer grow. The cyclic voltammetry studies confirm the confined copper redox activity. - Abstract: Silicon(1 0 0) surfaces have been modified with three different amines (aniline, benzylamine and dodecylamine) and diamines (4-aminopyridine, 4-aminomethylpyridine, 1,12-dodecyldiamine). The surface energy was measured by contact angle technique. For Si-diamine surfaces, Lewis basicity (using Fowkes–van Oss–Chaudhury–Good surface tension model) and adhesion thermodynamic work (using chemical force microscopy) were determined. We related these data, the amine/diamine nature and their geometry on the surface (via DFT calculations) with the consequent ability to coordinate copper(II) acetate. Finally, copper(II) acetate monolayers behavior was studied by cyclic voltammetry

  6. Deposition of gold nanoparticles from colloid on TiO2 surface

    Science.gov (United States)

    Rehacek, Vlastimil; Hotovy, Ivan

    2017-11-01

    In this paper, experimental results are presented on the deposition of colloidal gold nanoparticles on the surfaces of TiO2 prepared on silicon/silicon dioxide. Important procedures, such as titanium dioxide surface hydrophilization as well as functionalization by an organosilane coupling agent (3-aminopropyl) trimethoxysilane and (3-mercaptopropyl) trimethoxysilane were investigated in order to obtain a metal oxide surface with the most convenient properties for immobilization of gold nanoparticles having a dense and uniform distribution. TiO2 nanotips prepared by reactive ion etching of oxide surface covered with self-mask gold nanoparticles are demonstrated.

  7. Molecular Monolayers for Electrical Passivation and Functionalization of Silicon-Based Solar Energy Devices.

    Science.gov (United States)

    Veerbeek, Janneke; Firet, Nienke J; Vijselaar, Wouter; Elbersen, Rick; Gardeniers, Han; Huskens, Jurriaan

    2017-01-11

    Silicon-based solar fuel devices require passivation for optimal performance yet at the same time need functionalization with (photo)catalysts for efficient solar fuel production. Here, we use molecular monolayers to enable electrical passivation and simultaneous functionalization of silicon-based solar cells. Organic monolayers were coupled to silicon surfaces by hydrosilylation in order to avoid an insulating silicon oxide layer at the surface. Monolayers of 1-tetradecyne were shown to passivate silicon micropillar-based solar cells with radial junctions, by which the efficiency increased from 8.7% to 9.9% for n + /p junctions and from 7.8% to 8.8% for p + /n junctions. This electrical passivation of the surface, most likely by removal of dangling bonds, is reflected in a higher shunt resistance in the J-V measurements. Monolayers of 1,8-nonadiyne were still reactive for click chemistry with a model catalyst, thus enabling simultaneous passivation and future catalyst coupling.

  8. Hydrogenated amorphous silicon nitride photonic crystals for improved-performance surface electromagnetic wave biosensors.

    Science.gov (United States)

    Sinibaldi, Alberto; Descrovi, Emiliano; Giorgis, Fabrizio; Dominici, Lorenzo; Ballarini, Mirko; Mandracci, Pietro; Danz, Norbert; Michelotti, Francesco

    2012-10-01

    We exploit the properties of surface electromagnetic waves propagating at the surface of finite one dimensional photonic crystals to improve the performance of optical biosensors with respect to the standard surface plasmon resonance approach. We demonstrate that the hydrogenated amorphous silicon nitride technology is a versatile platform for fabricating one dimensional photonic crystals with any desirable design and operating in a wide wavelength range, from the visible to the near infrared. We prepared sensors based on photonic crystals sustaining either guided modes or surface electromagnetic waves, also known as Bloch surface waves. We carried out for the first time a direct experimental comparison of their sensitivity and figure of merit with surface plasmon polaritons on metal layers, by making use of a commercial surface plasmon resonance instrument that was slightly adapted for the experiments. Our measurements demonstrate that the Bloch surface waves on silicon nitride photonic crystals outperform surface plasmon polaritons by a factor 1.3 in terms of figure of merit.

  9. The influence of noble-gas ion bombardment on the electrical and optical properties of clean silicon surfaces

    International Nuclear Information System (INIS)

    Martens, J.W.D.

    1980-01-01

    A study of the effect of argon and helium ion bombardment on the electrical and optical properties of the clean silicon (211) surface is described. The objective of the study was to determine the effect of noble gas ions on the density of surface states at the clean silicon surface. (Auth.)

  10. Modified porous silicon for electrochemical sensor of para-nitrophenol

    International Nuclear Information System (INIS)

    Belhousse, S.; Belhaneche-Bensemra, N.; Lasmi, K.; Mezaache, I.; Sedrati, T.; Sam, S.; Tighilt, F.-Z.; Gabouze, N.

    2014-01-01

    Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10 −8 M to the 3 × 10 −4 M

  11. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  12. Surface passivation and carrier selectivity of the thermal-atomic-layer-deposited TiO2 on crystalline silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Schüler, Nadine; Shkondin, Evgeniy

    2017-01-01

    Here, we demonstrate the use of an ultrathin TiO2 film as a passivating carrier-selective contact for silicon photovoltaics. The effective lifetime, surface recombination velocity, and diode quality dependence on TiO2 deposition temperature with and without a thin tunneling oxide interlayer (SiO2...... heterojunction with optimized photovoltage, interface quality, and electron extraction to maximize the photovoltage of TiO2–Si heterojunction photovoltaic cells are formulated. Diode behaviour was analysed with the help of experimental, analytical, and simulation methods. It is predicted that TiO2 with a high...

  13. In situ metalation of free base phthalocyanine covalently bonded to silicon surfaces

    Directory of Open Access Journals (Sweden)

    Fabio Lupo

    2014-11-01

    Full Text Available Free 4-undecenoxyphthalocyanine molecules were covalently bonded to Si(100 and porous silicon through thermic hydrosilylation of the terminal double bonds of the undecenyl chains. The success of the anchoring strategy on both surfaces was demonstrated by the combination of X-ray photoelectron spectroscopy with control experiments performed adopting the commercially available 2,3,9,10,16,17,23,24-octakis(octyloxy-29H,31H-phthalocyanine, which is not suited for silicon anchoring. Moreover, the study of the shape of the XPS N 1s band gave relevant information on the interactions occurring between the anchored molecules and the substrates. The spectra suggest that the phthalocyanine ring interacts significantly with the flat Si surface, whilst ring–surface interactions are less relevant on porous Si. The surface-bonded molecules were then metalated in situ with Co by using wet chemistry. The efficiency of the metalation process was evaluated by XPS measurements and, in particular, on porous silicon, the complexation of cobalt was confirmed by the disappearance in the FTIR spectra of the band at 3290 cm−1 due to –NH stretches. Finally, XPS results revealed that the different surface–phthalocyanine interactions observed for flat and porous substrates affect the efficiency of the in situ metalation process.

  14. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  15. Formation and properties of the buried isolating silicon-dioxide layer in double-layer “porous silicon-on-insulator” structures

    Energy Technology Data Exchange (ETDEWEB)

    Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)

    2017-01-15

    The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.

  16. LASER ABLATION OF MONOCRYSTALLINE SILICON UNDER PULSED-FREQUENCY FIBER LASER

    Directory of Open Access Journals (Sweden)

    V. P. Veiko

    2015-05-01

    Full Text Available Subject of research. The paper deals with research of the surface ablation for single-crystal silicon wafers and properties of materials obtained in response to silicon ablation while scanning beam radiation of pulse fiber ytterbium laser with a wavelenght λ = 1062 nm in view of variation of radiation power and scanning modes. Method. Wafers of commercial p-type conductivity silicon doped with boron (111, n-type conductivity silicon doped with phosphorus (100 have been under research with a layer of intrinsical silicon oxide having the thickness equal to several 10 s of nanometers and SiO2 layer thickness from 120 to 300 nm grown by thermal oxidation method. The learning system comprises pulse fiber ytterbium laser with a wavelenght λ = 1062 nm. The laser rated-power output is equal to 20 W, pulse length is 100 ns. Pulses frequency is in the range from 20 kHz to 100 kHz. Rated energy in the pulse is equal to 1.0 mJ. Scanning has been carried out by means of two axial scanning device driven by VM2500+ and controlled by personal computer with «SinMarkТМ» software package. Scanning velocity is in the range from 10 mm/s to 4000 mm/s, the covering varies from 100 lines per mm to 3000 lines per mm. Control of samples has been carried out by means of Axio Imager A1m optical microscope Carl Zeiss production with a high definition digital video camera. All experiments have been carried out in the mode of focused laser beam with a radiation spot diameter at the substrate equal to 50 μm. The change of temperature and its distribution along the surface have been evaluated by FLIR IR imager of SC7000 series. Main results. It is shown that ablation occurs without silicon melting and with plasma torch origination. The particles of ejected silicon take part in formation of silicon ions plasma and atmosphere gases supporting the plasmo-chemical growth of SiO2. The range of beam scanning modes is determined where the growth of SiO2 layer is observed

  17. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nemanich, Robert J. [Department of Physics, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorption (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C–O and

  18. Impact of microcrystalline silicon carbide growth using hot-wire chemical vapor deposition on crystalline silicon surface passivation

    International Nuclear Information System (INIS)

    Pomaska, M.; Beyer, W.; Neumann, E.; Finger, F.; Ding, K.

    2015-01-01

    Highly crystalline microcrystalline silicon carbide (μc-SiC:H) with excellent optoelectronic material properties is a promising candidate as highly transparent doped layer in silicon heterojunction (SHJ) solar cells. These high quality materials are usually produced using hot wire chemical vapor deposition under aggressive growth conditions giving rise to the removal of the underlying passivation layer and thus the deterioration of the crystalline silicon (c-Si) surface passivation. In this work, we introduced the n-type μc-SiC:H/n-type μc-SiO x :H/intrinsic a-SiO x :H stack as a front layer configuration for p-type SHJ solar cells with the μc-SiO x :H layer acting as an etch-resistant layer against the reactive deposition conditions during the μc-SiC:H growth. We observed that the unfavorable expansion of micro-voids at the c-Si interface due to the in-diffusion of hydrogen atoms through the layer stack might be responsible for the deterioration of surface passivation. Excellent lifetime values were achieved under deposition conditions which are needed to grow high quality μc-SiC:H layers for SHJ solar cells. - Highlights: • High surface passivation quality was preserved after μc-SiC:H deposition. • μc-SiC:H/μc-SiO x :H/a-SiO x :H stack a promising front layer configuration • Void expansion at a-SiO x :H/c-Si interface for deteriorated surface passivation • μc-SiC:H provides a high transparency and electrical conductivity.

  19. One - step nanosecond laser microstructuring, sulfur hyperdoping, and annealing of silicon surfaces in liquid carbondisulfide

    Science.gov (United States)

    Van Luong, Nguyen; Danilov, P. A.; Ionin, A. A.; Khmel'nitskii, P. A.; Kudryashov, S. I.; Mel'nik, N. N.; Saraeva, I. N.; Смirnov, H. A.; Rudenko, A. A.; Zayarny, D. A.

    2017-09-01

    We perform a single-shot IR nanosecond laser processing of commercial silicon wafers in ambient air and under a 2 mm thick carbon disulfide liquid layer. We characterize the surface spots modified in the liquid ambient and the spots ablated under the same conditions in air in terms of its surface topography, chemical composition, band-structure modification, and crystalline structure by means of SEM and EDX microscopy, as well as of FT-IR and Raman spectroscopy. These studies indicate that single-step microstructuring and deep (up to 2-3% on the surface) hyperdoping of the crystalline silicon in its submicron surface layer, preserving via pulsed laser annealing its crystallinity and providing high (103 - 104 cm-1) spectrally at near- and mid-IR absorption coefficients, can be obtained in this novel approach, which is very promising for thin - film silicon photovoltaic devices

  20. Bioconjugate functionalization of thermally carbonized porous silicon using a radical coupling reaction†

    Science.gov (United States)

    Sciacca, Beniamino; Alvarez, Sara D.; Geobaldo, Francesco; Sailor, Michael J.

    2011-01-01

    The high stability of Salonen’s thermally carbonized porous silicon (TCPSi) has attracted attention for environmental and biochemical sensing applications, where corrosion-induced zero point drift of porous silicon-based sensor elements has historically been a significant problem. Prepared by the high temperature reaction of porous silicon with acetylene gas, the stability of this silicon carbide-like material also poses a challenge—many sensor applications require a functionalized surface, and the low reactivity of TCPSi has limited the ability to chemically modify its surface. This work presents a simple reaction to modify the surface of TCPSi with an alkyl carboxylate. The method involves radical coupling of a dicarboxylic acid (sebacic acid) to the TCPSi surface using a benzoyl peroxide initiator. The grafted carboxylic acid species provides a route for bioconjugate chemical modification, demonstrated in this work by coupling propylamine to the surface carboxylic acid group through the intermediacy of pentafluorophenol and 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide hydrochloride (EDC). The stability of the carbonized porous Si surface, both before and after chemical modification, is tested in phosphate buffered saline solution and found to be superior to either hydrosilylated (with undecylenic acid) or thermally oxidized porous Si surfaces. PMID:20967329

  1. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  2. Adsorbate-modified growth of ultrathin rare-earth oxide films on silicon and complementary studies of cerium oxide on ruthenium; Adsorbat-modifiziertes Wachstum ultraduenner Seltenerdoxid-Filme auf Silizium und komplementaere Studien von Ceroxid auf Ruthenium

    Energy Technology Data Exchange (ETDEWEB)

    Kaemena, Bjoern

    2013-11-27

    Rare-earth oxides (REOx) are extensively investigated due to their extraordinary physical and chemical properties, which essentially arise from the unfilled 4f electron shell, in order to reveal the nature of these exceptional properties and ultimately to utilize them for multiple technological applications. To maintain the exponential increase in integration density in CMOS technology, which is also known as Moore s law, there is a strong desire for ultrathin, well-ordered, epitaxial REOx layers with a precisely engineered interface, which is essential for reliable, ultrahigh-performance devices. So far this has been considerably impeded by RE-promoted silicon oxidation, leading to amorphous silicon oxide and RE silicon formation. By using complementary synchrotron radiation methods such as X-ray standing waves (XSW), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD), structural and spectroscopic information are inferred simultaneously from ultrathin ceria and lanthana films grown on chlorine, silver and gallium passivated silicon(111). In general, it is revealed that the chemical and structural composition of the interface and the crystallinity of ultrathin REOx layers on silicon can be precisely controlled by adsorbate-mediated growth. This might represent a crucial step towards a perfectly engineered interface, eventually allowing for the integration of REOx as high-k gate oxides in microelectronics. In catalysis inverse model catalysts are studied with the aim of getting an in-depth understanding of the basic principles of catalysis. These model systems are employed to study, e. g., the nature of active sites and the reaction pathways in complex catalytic converters. However, a lot remains unknown about the chemical activity and selectivity as a function of the growth mechanism, structure and morphology of these model systems. The powerful spectroscopic photoemission and low-energy electron microscope, which is able to reveal the surface

  3. The oxidized porous silicon field emission array

    International Nuclear Information System (INIS)

    Smith, D.D.; Demroff, H.P.; Elliott, T.S.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Trost, H.J.

    1993-01-01

    The goal of developing a highly efficient microwave power source has led the authors to investigate new methods of electron field emission. One method presently under consideration involves the use of oxidized porous silicon thin films. The authors have used this technology to fabricate the first working field emission arrays from this substance. This approach reduces the diameter of an individual emitter to the nanometer scale. Tests of the first samples are encouraging, with extracted electron currents to nearly 1 mA resulting from less than 20 V of pulsed DC gate voltage. Modulated emission at 5 MHz was also observed. Developments of a full-scale emission array capable of delivering an electron beam at 18 GHz of minimum density 100 A/cm 2 is in progress

  4. Transmission Electron Microscopy Studies of Electron-Selective Titanium Oxide Contacts in Silicon Solar Cells

    KAUST Repository

    Ali, Haider; Yang, Xinbo; Weber, Klaus; Schoenfeld, Winston V.; Davis, Kristopher O.

    2017-01-01

    In this study, the cross-section of electron-selective titanium oxide (TiO2) contacts for n-type crystalline silicon solar cells were investigated by transmission electron microscopy. It was revealed that the excellent cell efficiency of 21

  5. Nano-hydroxyapatite colloid suspension coated on chemically modified porous silicon by cathodic bias: a suitable surface for cell culture

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, Alejandra [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Electroquimica y Energia Quimica de la Universidad de Costa Rica (CELEQ), Universidad de Costa Rica, 2060 (Costa Rica); Gonzalez, Jerson [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Garcia-Pineres, Alfonso [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Investigacion en Biologia Celular y Molecular (CIBCM), Universidad de Costa Rica, 2060 (Costa Rica); Montero, Mavis L. [Escuela de Quimica, Universidad de Costa Rica, 2060 (Costa Rica); Centro de Electroquimica y Energia Quimica de la Universidad de Costa Rica (CELEQ), Universidad de Costa Rica, 2060 (Costa Rica); Centro de Ciencia e Ingenieria en Materiales (CICIMA), Universidad de Costa Rica, 2060 (Costa Rica)

    2011-06-15

    The properties of porous silicon make it an interesting material for biological applications. However, porous silicon is not an appropriate surface for cell growth. Surface modification is an alternative that could afford a bioactive material. In this work, we report a method to yield materials by modification of the porous silicon surface with hydroxyapatite of nanometric dimensions, produced using an electrochemical process and coated on macroporous silicon substrates by cathodic bias. The chemical nature of the calcium phosphate deposited on the substrates after the experimental process and the amount of cell growth on these surfaces were characterized. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Waveguide silicon nitride grating coupler

    Science.gov (United States)

    Litvik, Jan; Dolnak, Ivan; Dado, Milan

    2016-12-01

    Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.

  7. Effects of Cl+ and F+ implantation of oxidation-induced stacking faults in silicon

    NARCIS (Netherlands)

    Xu, J.Y.; Bronsveld, P.M.; Boom, G.; Hosson, J.Th.M. De

    1984-01-01

    Three implantation effects were investigated in floating-zone-grown silicon: (a) the effect of Cl+ implantation resulting in the shrinkage of oxidation-induced stacking faults; (b) the effect of F+ implantation giving rise to defaulting of the 1/3 [111] Frank dislocations into 1/2[110] perfect

  8. Synthesis and characterization of carboxylic acid functionalized silicon nanoparticles

    Science.gov (United States)

    Shaner, Ted V.

    Silicon nanoparticles are of great interest in a great number of fields. Silicon nanoparticles show great promise particularly in the field of bioimaging. Carboxylic acid functionalized silicon nanoparticles have the ability to covalently bond to biomolecules through the conjugation of the carboxylic acid to an amine functionalized biomolecule. This thesis explores the synthesis of silicon nanoparticles functionalized by both carboxylic acids and alkenes and their carboxylic acid functionality. Also discussed is the characterization of the silicon nanoparticles by the use of x-ray spectroscopy. Finally, the nature of the Si-H bond that is observed on the surface of the silicon nanoparticles will be investigated using photoassisted exciton mediated hydrosilation reactions. The silicon nanoparticles are synthesized from both carboxylic acids and alkenes. However, the lack of solubility of diacids is a significant barrier to carboxylic acid functionalization by a mixture of monoacids and diacids. A synthesis route to overcome this obstacle is to synthesize silicon nanoparticles with terminal vinyl group. This terminal vinyl group is distal to the surface of the silicon nanoparticle. The conversion of the vinyl group to a carboxylic acid is accomplished by oxidative cleavage using ozonolysis. The carboxylic acid functionalized silicon nanoparticles were then successfully conjugated to amine functionalized DNA strand through an n-hydroxy succinimide ester activation step, which promotes the formation of the amide bond. Conjugation was characterized by TEM and polyacrylamide gel electrophoresis (PAGE). The PAGE results show that the silicon nanoparticle conjugates move slower through the polyacrylamide gel, resulting in a significant separation from the nonconjugated DNA. The silicon nanoparticles were then characterized by the use of x-ray absorption near edge spectroscopy (Xanes) and x-ray photoelectron spectroscopy (XPS) to investigate the bonding and chemical

  9. Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)

    2012-04-16

    Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.

  10. Functionality of novel black silicon based nanostructured surfaces studied by TOF SIMS

    DEFF Research Database (Denmark)

    Talian, Ivan; Aranyosiova, M.; Orinak, A.

    2010-01-01

    A functionality of the novel black silicon based nanostructured surfaces (BS 2) with different metal surface modifications was tested by time-of-flight secondary ion mass spectrometry (TOF SIMS). Mainly two surface functions were studied: analytical signal enhancement and analyte pre-ionization e......A functionality of the novel black silicon based nanostructured surfaces (BS 2) with different metal surface modifications was tested by time-of-flight secondary ion mass spectrometry (TOF SIMS). Mainly two surface functions were studied: analytical signal enhancement and analyte pre......-ionization effect in SIMS due to nanostructure type and the assistance of the noble metal surface coating (Ag or Au) for secondary ion formation. As a testing analyte a Rhodamine 6G was applied. Bi+ has been used as SIMS primary ions. It was found out that SIMS signal enhancement of the analyte significantly...... depends on Ag layer thickness and measured ion mode (negative, positive). The best SIMS signal enhancement was obtained at BS2 surface coated with 400 nm of Ag layer. SIMS fragmentation schemes were developed for a model analyte deposited onto a silver and gold surface. Significant differences in pre...

  11. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  12. Charged particle discrimination with silicon surface barrier detectors

    International Nuclear Information System (INIS)

    Coote, G.E.; Pithie, J.; Vickridge, I.C.

    1996-01-01

    The application for materials analysis of nuclear reactions that give rise to charged particles is a powerful surface analytical and concentration depth profiling technique. Spectra of charged particles, with energies in the range 0.1 to 15 MeV, emitted from materials irradiated with beams of light nuclei such as deuterons are measured with silicon surface barrier detectors. The spectra from multi-elemental materials typically encountered in materials research are usually composed of an overlapping superposition of proton, alpha, and other charged particle spectra. Interpretation of such complex spectra would be simplified if a means were available to electronically discriminate between the detector response to the different kinds of charged particle. We have investigated two methods of discriminating between different types of charged particles. The fast charge pulses from a surface barrier detector have different shapes, depending on the spatial distribution of energy deposition of the incident particle. Fast digitisation of the pulses, followed by digital signal processing provides one avenue for discrimination. A second approach is to use a thin transmission detector in front of a thick detector as a detector telescope. For a given incident energy, different types of charged particles will lose different amounts of energy in the thin detector, providing an alternative means of discrimination. We show that both approaches can provide significant simplification in the interpretation of charged particle spectra in practical situations, and suggest that silicon surface barrier detectors having graded electronic properties could provide improved discrimination compared to the current generation of detectors having homogeneous electronic properties. (author).12 refs., 2 tabs., 28 figs

  13. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  14. Processing development for ceramic structural components: the influence of a presintering of silicon on the final properties of reaction bonded silicon nitride. Final technical report

    Energy Technology Data Exchange (ETDEWEB)

    1982-03-01

    The influence of a presintering of silicon on the final properties of reaction bonded silicon nitride has been studied using scanning electron and optical microscopy, x-ray diffraction analysis, 4 pt. bend test, and mecury intrusion porosimetry. It has been shown that presintering at 1050/sup 0/C will not affect the final nitrided properties. At 1200/sup 0/C, the oxide layer is removed, promoting the formation of B-phase silicon nitride. Presintering at 1200/sup 0/C also results in compact weight loss due to the volatilization of silicon, and the formation of large pores which severely reduce nitrided strength. The development of the structure of sintered silicon compacts appears to involve a temperature gradient, with greater sintering observed near the surface.

  15. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  16. Statistical characterization of surface defects created by Ar ion bombardment of crystalline silicon

    International Nuclear Information System (INIS)

    Ghazisaeidi, M.; Freund, J. B.; Johnson, H. T.

    2008-01-01

    Ion bombardment of crystalline silicon targets induces pattern formation by the creation of mobile surface species that participate in forming nanometer-scale structures. The formation of these mobile species on a Si(001) surface, caused by sub-keV argon ion bombardment, is investigated through molecular dynamics simulation of Stillinger-Weber [Phys. Rev. B 31, 5262 (1985)] silicon. Specific criteria for identifying and classifying these mobile atoms based on their energy and coordination number are developed. The mobile species are categorized based on these criteria and their average concentrations are calculated

  17. The ALU+ concept: n-type silicon solar cells with surface passivated screen-printed aluminum-alloyed rear emitter

    NARCIS (Netherlands)

    Bock, R.; Schmidt, J.; Mau, S.; Hoex, B.; Kessels, W.M.M.; Brendel, R.

    2009-01-01

    Aluminum-doped p-type (Al-p+) silicon emitters fabricated by means of screen-printing and firing are effectively passivated by plasma-enhanced chemicalvapor deposited (PECVD) amorphous silicon (a-Si) and atomic-layer-deposited (ALD) aluminum oxide (Al2O3) as well as Al2O3/SiNx stacks, where the

  18. Strengthening of oxidation resistant materials for gas turbine applications. [treatment of silicon ceramics for increased flexural strength and impact resistance

    Science.gov (United States)

    Kirchner, H. P.

    1974-01-01

    Silicon nitride and silicon carbide ceramics were treated to form compressive surface layers. On the silicon carbide, quenching and thermal exposure treatments were used, and on the silicon nitride, quenching, carburizing, and a combination of quenching and carburizing were used. In some cases substantial improvements in impact resistance and/or flexural strength were observed. The presence of compressive surface stresses was demonstrated by slotted rod tests.

  19. Effect of backbond oxidation on silicon nanocrystallites

    International Nuclear Information System (INIS)

    Ramos, L.E.; Furthmueller, J.; Bechstedt, F.

    2004-01-01

    We employ density functional calculations to study properties of Si nanocrystals after backbond oxidation in comparison to the ones passivated with hydrogen or hydroxyl. Structural parameters, pair excitation energies, quasiparticle gaps, and electrostatic potentials vary significantly in dependence on degree of oxidation and surface passivation. The variations are discussed within a quantum confinement picture. Blueshifts and redshifts observed in photoluminescence are related to the size of the Si nanocrystallite cores and the oxygen incorporation via passivation with group OH or oxidation

  20. SiN sub x passivation of silicon surfaces

    Science.gov (United States)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  1. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    Energy Technology Data Exchange (ETDEWEB)

    Rull, Jordi [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Nonglaton, Guillaume, E-mail: guillaume.nonglaton@cea.fr [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Costa, Guillaume; Fontelaye, Caroline [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Marchi-Delapierre, Caroline; Ménage, Stéphane [Université Grenoble Alpes, Grenoble F38000 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Marchand, Gilles [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France)

    2015-11-01

    Graphical abstract: - Highlights: • First example of grafting of 3,4-epoxybutyltrimethoxysilane (EBTMOS) onto silicon oxide by supercritical fluid deposition. • Extraordinary efficiency of the supercritical fluid deposition for the grafting of the EBTMOS compared with the conventional solution or vapor phase methodologies. • Demonstration of the efficiency of this functionalization process for the immobilization of amino-modified oligonucleotides. - Abstract: The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO{sub 2}) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric

  2. Surface engineering of porous silicon microparticles for intravitreal sustained delivery of rapamycin.

    Science.gov (United States)

    Nieto, Alejandra; Hou, Huiyuan; Moon, Sang Woong; Sailor, Michael J; Freeman, William R; Cheng, Lingyun

    2015-01-22

    To understand the relationship between rapamycin loading/release and surface chemistries of porous silicon (pSi) to optimize pSi-based intravitreal delivery system. Three types of surface chemical modifications were studied: (1) pSi-COOH, containing 10-carbon aliphatic chains with terminal carboxyl groups grafted via hydrosilylation of undecylenic acid; (2) pSi-C12, containing 12-carbon aliphatic chains grafted via hydrosilylation of 1-dodecene; and (3) pSiO2-C8, prepared by mild oxidation of the pSi particles followed by grafting of 8-hydrocarbon chains to the resulting porous silica surface via a silanization. The efficiency of rapamycin loading follows the order (micrograms of drug/milligrams of carrier): pSiO2-C8 (105 ± 18) > pSi-COOH (68 ± 8) > pSi-C12 (36 ± 6). Powder X-ray diffraction data showed that loaded rapamycin was amorphous and dynamic drug-release study showed that the availability of the free drug was increased by 6-fold (compared with crystalline rapamycin) by using pSiO2-C8 formulation (P = 0.0039). Of the three formulations in this study, pSiO2-C8-RAP showed optimal performance in terms of simultaneous release of the active drug and carrier degradation, and drug-loading capacity. Released rapamycin was confirmed with the fingerprints of the mass spectrometry and biologically functional as the control of commercial crystalline rapamycin. Single intravitreal injections of 2.9 ± 0.37 mg pSiO2-C8-RAP into rabbit eyes resulted in more than 8 weeks of residence in the vitreous while maintaining clear optical media and normal histology of the retina in comparison to the controls. Porous silicon-based rapamycin delivery system using the pSiO2-C8 formulation demonstrated good ocular compatibility and may provide sustained drug release for retina. Copyright 2015 The Association for Research in Vision and Ophthalmology, Inc.

  3. Effects of size and defects on the elasticity of silicon nanocantilevers

    International Nuclear Information System (INIS)

    Sadeghian, Hamed; Goosen, Johannes F L; Van Keulen, Fred; Yang, Chung-Kai; Bossche, Andre; French, Paddy J; Staufer, Urs

    2010-01-01

    The size-dependent elastic behavior of silicon nanocantilevers and nanowires, specifically the effective Young's modulus, has been determined by experimental measurements and theoretical investigations. The size dependence becomes more significant as the devices scale down from micro- to nano-dimensions, which has mainly been attributed to surface effects. However, discrepancies between experimental measurements and computational investigations show that there could be other influences besides surface effects. In this paper, we try to determine to what extent the surface effects, such as surface stress, surface elasticity, surface contamination and native oxide layers, influence the effective Young's modulus of silicon nanocantilevers. For this purpose, silicon cantilevers were fabricated in the top device layer of silicon on insulator (SOI) wafers, which were thinned down to 14 nm. The effective Young's modulus was extracted with the electrostatic pull-in instability method, recently developed by the authors (H Sadeghian et al 2009 Appl. Phys. Lett. 94 221903). In this work, the drop in the effective Young's modulus was measured to be significant at around 150 nm thick cantilevers. The comparison between theoretical models and experimental measurements demonstrates that, although the surface effects influence the effective Young's modulus of silicon to some extent, they alone are insufficient to explain why the effective Young's modulus decreases prematurely. It was observed that the fabrication-induced defects abruptly increased when the device layer was thinned to below 100 nm. These defects became visible as pinholes during HF-etching. It is speculated that they could be the origin of the reduced effective Young's modulus experimentally observed in ultra-thin silicon cantilevers.

  4. Hydrogen incorporation and radiation induced dynamics in metal-oxide-silicon structures. A study using nuclear reaction analysis

    International Nuclear Information System (INIS)

    Briere, M.A.

    1993-07-01

    Resonant nuclear reaction analysis, using the 1 H( 15 N, αγ) 12 C reaction at 6.4 MeV, has been successfully applied to the investigation of hydrogen incorporation and radiation induced migration in metal-oxide-silicon structures. A preliminary study of the influence of processing parameters on the H content of thermal oxides, with and without gate material present, has been performed. It is found that the dominant source of hydrogen in Al gate devices and dry oxides is often contamination, likely in the form of adsorbed water vapor, formed upon exposure to room air after removal from the oxidation furnace. Concentrations of hydrogen in the bulk oxide as high as 3 10 20 cm -3 (Al gate), and as low as 1 10 18 cm -3 (poly Si-gate) have been observed. Hydrogen accumulation at the Si-SiO 2 interface has been reproducibly demonstrated for as-oxidized samples, as well as for oxides exposed to H 2 containing atmospheres during subsequent thermal processing. The migration of hydrogen, from the bulk oxide to the silicon-oxide interface during NRA, has been observed and intensively investigated. A direct correlation between the hydrogen content of the bulk oxide and the radiation generated oxide charges and interface states is presented. These data provide strong support for the important role of hydrogen in determining the radiation sensitivity of electronic devices. (orig.)

  5. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  6. Signals from fluorescent materials on the surface of silicon micro-strip sensors

    CERN Document Server

    Sperlich, Dennis; The ATLAS collaboration

    2017-01-01

    For the High-Luminosity Upgrade of the Large Hadron Collider at CERN, the ATLAS Inner Detector will be replaced with a new, all-silicon tracker. In order to minimise the amount of material in the detector, circuit boards with readout electronics will be glued on to the active area of the sensor. Several adhesives investigated to be used for the construction of detector modules were found to become fluorescent when exposed to UV light. These adhesives could become a light source in the high-radiation environment of the ATLAS detector. The effect of fluorescent material covering the sensor surface in a high- radiation environment has been studied for a silicon micro-strip sensor using a micro-focused X-ray beam. By pointing the beam both inside the sensor and parallel to the sensor surface, the sensor responses from direct hits and fluorescence can be compared with high precision. This contribution presents a setup to study the susceptibility of silicon strip sensors to light contamination from fluorescent mate...

  7. The Covalent Binding of Photosensitive Dyes to Monocrystalline Silicon Surface and Their Spectral Response

    Institute of Scientific and Technical Information of China (English)

    郭志新; 郝纪祥; 张祖训; 曹子祥

    1993-01-01

    A chemical method is proposed to bond photo-sensitive dyes directly to the surface of polished monocrystalline silicon. A methincyanine dye and a trimethincyanine dye have been bonded covalently onto silicon surface through Si—N bond, which are characterized by XPS technique and laser Raman spectra. Photovoltaic effect has been observed with the In/dye/n-Si sandwich devices composed of the dye-bonded n-Si wafers. Significant spectral response shows the characteristic absorptance maxima of the bonded dyes.

  8. Hydrogenated amorphous silicon coatings may modulate gingival cell response

    Science.gov (United States)

    Mussano, F.; Genova, T.; Laurenti, M.; Munaron, L.; Pirri, C. F.; Rivolo, P.; Carossa, S.; Mandracci, P.

    2018-04-01

    Silicon-based materials present a high potential for dental implant applications, since silicon has been proven necessary for the correct bone formation in animals and humans. Notably, the addition of silicon is effective to enhance the bioactivity of hydroxyapatite and other biomaterials. The present work aims to expand the knowledge of the role exerted by hydrogen in the biological interaction of silicon-based materials, comparing two hydrogenated amorphous silicon coatings, with different hydrogen content, as means to enhance soft tissue cell adhesion. To accomplish this task, the films were produced by plasma enhanced chemical vapor deposition (PECVD) on titanium substrates and their surface composition and hydrogen content were analyzed by means of X-ray photoelectron spectroscopy (XPS) and Fourier-transform infrared spectrophotometry (FTIR) respectively. The surface energy and roughness were measured through optical contact angle analysis (OCA) and high-resolution mechanical profilometry respectively. Coated surfaces showed a slightly lower roughness, compared to bare titanium samples, regardless of the hydrogen content. The early cell responses of human keratinocytes and fibroblasts were tested on the above mentioned surface modifications, in terms of cell adhesion, viability and morphometrical assessment. Films with lower hydrogen content were endowed with a surface energy comparable to the titanium surfaces. Films with higher hydrogen incorporation displayed a lower surface oxidation and a considerably lower surface energy, compared to the less hydrogenated samples. As regards mean cell area and focal adhesion density, both a-Si coatings influenced fibroblasts, but had no significant effects on keratinocytes. On the contrary, hydrogen-rich films increased manifolds the adhesion and viability of keratinocytes, but not of fibroblasts, suggesting a selective biological effect on these cells.

  9. Precision Surface Grinding of Silicon Carbide

    Directory of Open Access Journals (Sweden)

    Mohamed Konneh

    2016-12-01

    Full Text Available Silicon carbide (SiC is well known for its excellent material properties, high durability, high wear resistance, light weight and extreme hardness. Among the engineering applications of this material, it is an excellent candidate for optic mirrors used in an Airbone Laser (ABL device. However, the low fracture toughness and extreme brittleness characteristics of SiC are predominant factors for its poor machinability. This paper presents surface grinding of SiC using diamond cup wheels to assess the performance of diamond grits with respect to the roughness produced on the machined surfaces and also the morphology of the ground work-piece. Resin bonded diamond cup wheels of grit sizes 46 µm, 76 µm and 107 µm; depth of cut of 10 µm, 20 µm and 30 µm; and feed rate of 2 mm/min, 12 mm/min and 22 mm/min were used during this machining investigation. It has been observed that the 76 grit performs better in terms of low surface roughness value and morphology.

  10. Effect of pyrolysis atmospheres on the morphology of polymer-derived silicon oxynitrocarbide ceramic films coated aluminum nitride surface and the thermal conductivity of silicone rubber composites

    Science.gov (United States)

    Chiu, Hsien T.; Sukachonmakul, Tanapon; Wang, Chen H.; Wattanakul, Karnthidaporn; Kuo, Ming T.; Wang, Yu H.

    2014-02-01

    Amorphous silicon oxycarbide (SiOC) and silicon oxynitrocarbide (SiONC) ceramic films coated aluminum nitride (AlN) were prepared by using preceramic-polysilazane (PSZ) with dip-coating method, followed by pyrolysis at 700 °C in different (air, Ar, N2 and NH3) atmospheres to converted PSZ into SiOCair and SiONC(Ar,N2andNH3) ceramic. The existence of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface was characterized by FTIR, XRD and XPS. The interfacial adhesion between silicone rubber and AlN was significantly improved after the introduction of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. It can be observed from AFM that the pyrolysis of PSZ at different atmosphere strongly affected to films morphology on AlN surface as SiOCair and SiONCNH3 ceramic films were more flat and smooth than SiONCN2 and SiONCAr ceramic films. Besides, the enhancement of the thermal conductivity of silicone rubber composites was found to be related to the decrease in the surface roughness of SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. This present work provided an alternative surface modification of thermally conductive fillers to improve the thermal conductivity of silicon rubber composites by coating with amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films.

  11. Modification of H2O adsorbed Si(100)-(2 x 1) surface by photon and electron beam

    International Nuclear Information System (INIS)

    Moon, S.W.; Chung, S.M.; Hwang, C.C.; Ihm, K.W.; Kang, T.-H.; Chen, C.H.; Park, C.-Y.

    2004-01-01

    Full text: Oxidation of silicon has been the subject of intense scientific and technological interest due to the several uses of thin oxide films as insulating layers in microelectronic devices. The great strides have been made in understanding about the formation and thermal evolution of the Si/SiO 2 interface. In this presentation, we provide synchrotron radiation photoemission spectroscopy (SRPES) and photoemission electron microscope (PEEM) results, showing how a H 2 O adsorbed Si(100) surface evolves into an ultra-thin silicon oxide m when exposed to monochromatized synchrotron radiation and electron beam at room temperature. All SRPES, PEEM experiments have been performed at the beam line, 4B1, of Pohang Light Source (PLS) in Korea. Water dissociates into OH(a) and H(a) species upon adsorption on the Si(100)-(2 - 1) at room temperature. The bonding (b 2 ) and antibonding (a 1 ) OH orbital and the oxygen lone pair orbital (b 1 ) from the dissociated OH and H species has been identified in ultraviolet photoemission spectra (UPS). These structures gradually changed and a new silicon oxide peak appeared with the photon/E-beam irradiation. This indicates that the H 2 O adsorbed on Si surface transforms into a thin silicon oxide film by photon/E-beam irradiation. We have shown in our PEEM images that one can make micro-patterns on silicon surface by using the photon induced surface modification. The fabricated patterns can be clearly identified through the inverse contrast images between photon exposed region and unexposed one. The near edge x-ray absorption fine structure (NEXAFS) results revealed that the OH adsorbed Si surface transforms into a thin silicon oxide film by photon irradiation

  12. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  13. Influence of silicon species on the transformation of green rust I(Cl-) in aqueous solution by oxidation

    International Nuclear Information System (INIS)

    Sahoo, Gadadhar; Fujieda, Shun; Shinoda, Kozo; Yamaguchi, Shinichi; Korosaki, Masao; Suzuki, Shigeru

    2011-01-01

    Highlights: → Addition of silicate species and silica to GRI(Cl - ) increased oxidation time. → The lepidocrocite particle size in silicate added case has been reduced significantly. → The influence of silicate was attributed to its adsorption on lepidocrocite. → Silicate also influenced GRI(Cl - ) transformation due to adsorption on it. - Abstract: X-ray diffraction (XRD) and solution analysis were used for characterizing the influence of different silicon species on oxidation of green rust (GRI(Cl - )) suspension. While addition of silicon to metallic iron enhanced the formation of β-FeOOH, GRI(Cl - ) in aqueous solution oxidized into lepidocrocite and oxidation was delayed in presence of silica and silicate species as noticed from potential, pH, and dissolved oxygen (DO) measurements. Transmission electron micrographs showed that the particle size of lepidocrocite was reduced due to silicate addition. The influence of silicate was attributed to its adsorption on GRI(Cl - ) and lepidocrocite particles as confirmed from ICP-AES analysis of supernatant solution.

  14. Investigation into the surface of implanted monocrystalline silicon with the aid of wetting angle

    International Nuclear Information System (INIS)

    Lebedeva, N.N.; Bakovets, V.V.; Sedymova, E.A.; Pridachin, N.B.

    1986-01-01

    The dependence of silicon wetting margical angle on its irradiation dose by ions of electrically active and neutral materials is studied. It has been found that the system of immiscible liquids - ether and water can be successfully used for studying the silicon ion implantation effect on its water wetting. Changing of implanted silicon wetting is bound up with the increase of the defects presence level of surface layers. The specimens annealing reestablishes silicon wetting up to parameters characteristic of non irradiated specimens. The most effective annealing region is within the 550-700 deg C range. The implanted silicon wetting by melts at increased temperatures can be employed for studying kinetics and defect annealing mechanism

  15. Oxide surfaces and metal/oxide interfaces studied by grazing incidence X-ray scattering

    Science.gov (United States)

    Renaud, Gilles

    Experimental determinations of the atomic structure of insulating oxide surfaces and metal/oxide interfaces are scarce, because surface science techniques are often limited by the insulating character of the substrate. Grazing incidence X-ray scattering (GIXS), which is not subject to charge effects, can provide very precise information on the atomic structure of oxide surfaces: roughness, relaxation and reconstruction. It is also well adapted to analyze the atomic structure, the registry, the misfit relaxation, elastic or plastic, the growth mode and the morphology of metal/oxide interfaces during their growth, performed in situ. GIXS also allows the analysis of thin films and buried interfaces, in a non-destructive way, yielding the epitaxial relationships, and, by variation of the grazing incidence angle, the lattice parameter relaxation along the growth direction. On semi-coherent interfaces, the existence of an ordered network of interfacial misfit dislocations can be demonstrated, its Burger's vector determined, its ordering during in situ annealing cycles followed, and sometimes even its atomic structure can be addressed. Careful analysis during growth allows the modeling of the dislocation nucleation process. This review emphasizes the new information that GIXS can bring to oxide surfaces and metal/oxide interfaces by comparison with other surface science techniques. The principles of X-ray diffraction by surfaces and interfaces are recalled, together with the advantages and properties of grazing angles. The specific experimental requirements are discussed. Recent results are presented on the determination of the atomic structure of relaxed or reconstructed oxide surfaces. A description of results obtained during the in situ growth of metal on oxide surfaces is also given, as well as investigations of thick metal films on oxide surfaces, with lattice parameter misfit relaxed by an array of dislocations. Recent work performed on oxide thin films having

  16. Synchrotron-radiation XPS analysis of ultra-thin silane films: Specifying the organic silicon

    Energy Technology Data Exchange (ETDEWEB)

    Dietrich, Paul M., E-mail: paul.dietrich@yahoo.de [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Glamsch, Stephan [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Ehlert, Christopher [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Institut für Chemie, Universität Potsdam, Karl-Liebknecht-Straße 24-25, 14476 Potsdam (Germany); Lippitz, Andreas [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Kulak, Nora [Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Unger, Wolfgang E.S. [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany)

    2016-02-15

    Graphical abstract: - Highlights: • A synchrotron-based XPS method to analyze ultra-thin silane films is presented. • Specification and quantification of organic next to inorganic silicon is demonstrated. • Non-destructive chemical depth profiles of the silane monolayers were obtained. - Abstract: The analysis of chemical and elemental in-depth variations in ultra-thin organic layers with thicknesses below 5 nm is very challenging. Energy- and angle-resolved XPS (ER/AR-XPS) opens up the possibility for non-destructive chemical ultra-shallow depth profiling of the outermost surface layer of ultra-thin organic films due to its exceptional surface sensitivity. For common organic materials a reliable chemical in-depth analysis with a lower limit of the XPS information depth z{sub 95} of about 1 nm can be performed. As a proof-of-principle example with relevance for industrial applications the ER/AR-XPS analysis of different organic monolayers made of amino- or benzamidosilane molecules on silicon oxide surfaces is presented. It is demonstrated how to use the Si 2p core-level region to non-destructively depth-profile the organic (silane monolayer) – inorganic (SiO{sub 2}/Si) interface and how to quantify Si species, ranging from elemental silicon over native silicon oxide to the silane itself. The main advantage of the applied ER/AR-XPS method is the improved specification of organic from inorganic silicon components in Si 2p core-level spectra with exceptional low uncertainties compared to conventional laboratory XPS.

  17. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  18. Tantalum Nitride Electron-Selective Contact for Crystalline Silicon Solar Cells

    KAUST Repository

    Yang, Xinbo

    2018-04-19

    Minimizing carrier recombination at contact regions by using carrier‐selective contact materials, instead of heavily doping the silicon, has attracted considerable attention for high‐efficiency, low‐cost crystalline silicon (c‐Si) solar cells. A novel electron‐selective, passivating contact for c‐Si solar cells is presented. Tantalum nitride (TaN x ) thin films deposited by atomic layer deposition are demonstrated to provide excellent electron‐transporting and hole‐blocking properties to the silicon surface, due to their small conduction band offset and large valence band offset. Thin TaNx interlayers provide moderate passivation of the silicon surfaces while simultaneously allowing a low contact resistivity to n‐type silicon. A power conversion efficiency (PCE) of over 20% is demonstrated with c‐Si solar cells featuring a simple full‐area electron‐selective TaNx contact, which significantly improves the fill factor and the open circuit voltage (Voc) and hence provides the higher PCE. The work opens up the possibility of using metal nitrides, instead of metal oxides, as carrier‐selective contacts or electron transport layers for photovoltaic devices.

  19. Degradation of Silicon Carbide Reflective Surfaces in the LEO Environment

    Science.gov (United States)

    Mileti, Sandro; Coluzzi, Plinio; Marchetti, Mario

    2009-01-01

    Space mirrors in Low Earth Orbit (LEO) encounter a degradation problem caused by the impact of atomic oxygen (ATOX) in the space environment. This paper presents an experiment of the atomic oxygen impact degradation and UV synergic effects on ground simulation. The experiment was carried out in a dedicated ATOX simulation vacuum chamber. As target materials, a polished CVD Beta-silicon carbide (SiC) coating was investigated. The selection of silicon carbide is due to its high potential candidate as a mirror layer substrate material for its good reflectance at UV wavelengths and excellent thermal diffusivity. It has highly desirable mechanical and thermal properties and can achieve an excellent surface finish. The deposition of the coatings were on carbon-based material substrate; i.e., silicon impregnated carbon fiber composite (C/SiC). Mechanical and thermal properties of the coatings such as hardness and Coefficient of Thermal Expansion (CTE) were achieved. Several atomic oxygen impact angles were studied tilting the target samples respect to the flux direction. The various impact angles permitted to analyze the different erosion rates and typologies which the mirrors would encounter in LEO environment. The degradation was analyzed in various aspects. Macroscopic mass loss per unit area, surface roughness and morphology change were basically analyzed. The exposed surfaces of the materials were observed through a Scanning Electron Microscope (SEM). Secondly, optical diagnostic of the surfaces were performed in order to investigate their variation in optical properties as the evaluation of reflectance degradation. The presence of micro-cracks caused by shrinkage, grinding, polishing or thermal cycling and the porosity in the coatings, could have led to the undercutting phenomenon. Observation of uprising of undercutting was also conducted. Remarks are given regarding capabilities in short-term mission exposures to the LEO environment of this coating.

  20. Participation of oxygen and carbon in formation of oxidation-induced stacking faults in monocrystalline silicon

    Directory of Open Access Journals (Sweden)

    Иван Федорович Червоный

    2015-11-01

    Full Text Available It is experimentally established, that density of oxidation-induced stacking faults (OISF in the boron doped monocrystalline silicon plates, that above, than it is more relation of oxygen atoms concentration to carbon atoms concentration in them.On research results of geometry of OISF rings in the different sections of single-crystal geometry of areas is reconstructed with their different closeness. At adjustment of the growing modes of single-crystals of silicon the increase of output of suitable product is observed