WorldWideScience

Sample records for silicon oxide siox

  1. Synthesis of SiO(x) powder using DC arc plasma.

    Science.gov (United States)

    Jung, Chan-Ok; Park, Dong-Wha

    2013-02-01

    SiO(x) was prepared by DC arc plasma and applied to the anode material of lithium ion batteries. A pellet of a mixture of Si and SiO2 was used as the raw material. The ratios of the silicon and silicon dioxide (SiO2) mixtures were varied by controlling the Si-SiO2 molar ratio (Si-SiO2 = 1-4). Hydrogen gas was used as the reduction atmosphere in the chamber. The prepared SiO(x) was collected on the chamber wall. The obtained SiO(x) was characterized by X-ray diffraction (XRD), field emission-scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). XRD and TEM showed that the phase composition of the prepared particles was composed of amorphous SiO(x) and crystalline Si. The prepared SiO(x) showed wire and spherical morphology. XPS indicated the bonding state and 'x' value of the prepared SiO(x), which was close to one. The result of prepared SiO(x) is discussed from thermodynamic equilibrium calculations. The electrochemical behavior of the silicon monoxide anode was investigated.

  2. Silicon oxide: a non-innocent surface for molecular electronics and nanoelectronics studies.

    Science.gov (United States)

    Yao, Jun; Zhong, Lin; Natelson, Douglas; Tour, James M

    2011-02-02

    Silicon oxide (SiO(x)) has been widely used in many electronic systems as a supportive and insulating medium. Here, we demonstrate various electrical phenomena such as resistive switching and related nonlinear conduction, current hysteresis, and negative differential resistance intrinsic to a thin layer of SiO(x). These behaviors can largely mimic numerous electrical phenomena observed in molecules and other nanomaterials, suggesting that substantial caution should be paid when studying conduction in electronic systems with SiO(x) as a component. The actual electrical phenomena can be the result of conduction from SiO(x) at a post soft-breakdown state and not the presumed molecular or nanomaterial component. These electrical properties and the underlying mechanisms are discussed in detail.

  3. RF Reactive Magnetron Sputter Deposition of Silicon Sub-Oxides

    NARCIS (Netherlands)

    Hattum, E.D. van

    2007-01-01

    RF reactive magnetron plasma sputter deposition of silicon sub oxide E.D. van Hattum Department of Physics and Astronomy, Faculty of Sciences, Utrecht University The work described in the thesis has been inspired and stimulated by the use of SiOx layers in the direct inductive printing technology,

  4. Light-activated resistance switching in SiOx RRAM devices

    Science.gov (United States)

    Mehonic, A.; Gerard, T.; Kenyon, A. J.

    2017-12-01

    We report a study of light-activated resistance switching in silicon oxide (SiOx) resistive random access memory (RRAM) devices. Our devices had an indium tin oxide/SiOx/p-Si Metal/Oxide/Semiconductor structure, with resistance switching taking place in a 35 nm thick SiOx layer. The optical activity of the devices was investigated by characterising them in a range of voltage and light conditions. Devices respond to illumination at wavelengths in the range of 410-650 nm but are unresponsive at 1152 nm, suggesting that photons are absorbed by the bottom p-type silicon electrode and that generation of free carriers underpins optical activity. Applied light causes charging of devices in the high resistance state (HRS), photocurrent in the low resistance state (LRS), and lowering of the set voltage (required to go from the HRS to LRS) and can be used in conjunction with a voltage bias to trigger switching from the HRS to the LRS. We demonstrate negative correlation between set voltage and applied laser power using a 632.8 nm laser source. We propose that, under illumination, increased electron injection and hence a higher rate of creation of Frenkel pairs in the oxide—precursors for the formation of conductive oxygen vacancy filaments—reduce switching voltages. Our results open up the possibility of light-triggered RRAM devices.

  5. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    Science.gov (United States)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  6. Antireflection/Passivation Step For Silicon Cell

    Science.gov (United States)

    Crotty, Gerald T.; Kachare, Akaram H.; Daud, Taher

    1988-01-01

    New process excludes usual silicon oxide passivation. Changes in principal electrical parameters during two kinds of processing suggest antireflection treatment almost as effective as oxide treatment in passivating cells. Does so without disadvantages of SiOx passivation.

  7. Vibrational modes of porous silicon

    International Nuclear Information System (INIS)

    Sabra, M.; Naddaf, M.

    2012-01-01

    On the basis of theoretical and experimental investigations, the origin of room temperature photoluminescence (PL) from porous silicon is found to related to chemical complexes constituted the surface, in particular, SiHx, SiOx and SiOH groups. Ab initio atomic and molecular electronic structure calculations on select siloxane compounds were used for imitation of infrared (IR) spectra of porous silicon. These are compared to the IR spectra of porous silicon recorded by using Fourier Transform Infrared Spectroscopy (FTIR). In contrast to linear siloxane, the suggested circular siloxane terminated with linear siloxane structure is found to well-imitate the experimental spectra. These results are augmented with EDX (energy dispersive x-ray spectroscopy) measurements, which showed that the increase of SiOx content in porous silicon due to rapid oxidation process results in considerable decrease in PL peak intensity and a blue shift in the peak position. (author)

  8. Enhanced electrochemical performance of sandwich-structured polyaniline-wrapped silicon oxide/carbon nanotubes for lithium-ion batteries

    Science.gov (United States)

    Liu, Hui; Zou, Yongjin; Huang, Liyan; Yin, Hao; Xi, Chengqiao; Chen, Xin; Shentu, Hongwei; Li, Chao; Zhang, Jingjing; Lv, ChunJu; Fan, Meiqiang

    2018-06-01

    Sandwich-structured carbon nanotubes, silicon oxide, and polyaniline (hereafter denoted as CNTs/SiOx/PANI) were prepared by combining a sol-gel method, magnesiothermic reduction at 250 °C, and chemical oxidative polymerization. The CNTs, SiOx and PANI in the composite was 16 wt%, 51 wt% and 33 wt%, respectively. The CNTs/SiOx/PANI electrodes exhibited excellent cycle and high-rate performance as anodes in Li-ion batteries, including charge/discharge capacities of 1156/1178 mAh g-1 after 60 cycles at 0.2 A g-1 current density and 728/725 mAh g-1 at 8 A g-1 current density. The improvement was due to the synergy between CNTs and PANI. The SiOx scattered on the CNTs core and coated by PANI improved its conductivity and accommodated the volume change during repeated lithiation/delithiation cycles. This simple synthesis provided a scalable route for the large-scale production of CNTs/SiOx/PANI nanostructures, with various applications such as in Li-ion batteries.

  9. Time-resolved photoluminescence of SiOx encapsulated Si

    Science.gov (United States)

    Kalem, Seref; Hannas, Amal; Österman, Tomas; Sundström, Villy

    Silicon and its oxide SiOx offer a number of exciting electrical and optical properties originating from defects and size reduction enabling engineering new electronic devices including resistive switching memories. Here we present the results of photoluminescence dynamics relevant to defects and quantum confinement effects. Time-resolved luminescence at room temperature exhibits an ultrafast decay component of less than 10 ps at around 480 nm and a slower component of around 60 ps as measured by streak camera. Red shift at the initial stages of the blue luminescence decay confirms the presence of a charge transfer to long lived states. Time-correlated single photon counting measurements revealed a life-time of about 5 ns for these states. The same quantum structures emit in near infrared close to optical communication wavelengths. Nature of the emission is described and modeling is provided for the luminescence dynamics. The electrical characteristics of metal-oxide-semiconductor devices were correlated with the optical and vibrational measurement results in order to have better insight into the switching mechanisms in such resistive devices as possible next generation RAM memory elements. ``This work was supported by ENIAC Joint Undertaking and Laser-Lab Europe''.

  10. Spatially confined synthesis of SiOx nano-rod with size-controlled Si quantum dots in nano-porous anodic aluminum oxide membrane.

    Science.gov (United States)

    Pai, Yi-Hao; Lin, Gong-Ru

    2011-01-17

    By depositing Si-rich SiOx nano-rod in nano-porous anodic aluminum oxide (AAO) membrane using PECVD, the spatially confined synthesis of Si quantum-dots (Si-QDs) with ultra-bright photoluminescence spectra are demonstrated after low-temperature annealing. Spatially confined SiOx nano-rod in nano-porous AAO membrane greatly increases the density of nucleated positions for Si-QD precursors, which essentially impedes the route of thermally diffused Si atoms and confines the degree of atomic self-aggregation. The diffusion controlled growth mechanism is employed to determine the activation energy of 6.284 kJ mole(-1) and diffusion length of 2.84 nm for SiO1.5 nano-rod in nano-porous AAO membrane. HRTEM results verify that the reduced geometric dimension of the SiOx host matrix effectively constrain the buried Si-QD size at even lower annealing temperature. The spatially confined synthesis of Si-QD essentially contributes the intense PL with its spectral linewidth shrinking from 210 to 140 nm and its peak intensity enhancing by two orders of magnitude, corresponding to the reduction on both the average Si-QD size and its standard deviation from 2.6 to 2.0 nm and from 25% to 12.5%, respectively. The red-shifted PL wavelength of the Si-QD reveals an inverse exponential trend with increasing temperature of annealing, which is in good agree with the Si-QD size simulation via the atomic diffusion theory.

  11. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  12. Alternatives to SiOx for protective scan mirror coatings in remote sensing instruments

    Science.gov (United States)

    MacDonald, Michael E.

    1999-09-01

    Mirrors in remote sensing instruments require durable dielectric coatings, both to prevent oxidation of the reflective surface and to protect it during cleaning. IR absorption bands within widely-used SiOx coatings produce scene radiance and instrument background variations as a function of scan mirror angle which motivate the search for possible substitute materials. In this work several candidate coatings are evaluated including CeF3, HfO2, MgF2 SrF2, and Y2O3. This evaluation consists of reflectance, adhesion, and durability measurements of mirrors with an aluminum reflective surface over-coated with these materials. S-polarized and P- polarized reflectance measurements are presented between 2 and 20 micrometers for incidence angles between 40 and 50 degrees. This angular range is sufficient to scan the earth disk from geostationary orbit. Additional measurements at 45 degrees incidence are presented between 2 and 55 micrometers , covering the IR wavelength range of interest for earth radiation budget sensors. Comparisons are drawn with measurements of scan- mirror witness samples from the imaging and sounding instruments used in the Geostationary Operational Environmental Satellite (GOES). These witness samples exhibit reflectance variations arising from IR absorption bands in the SiOx protective coatings used in these mirrors. The spectral characteristics of several of the alternate materials are found to be quite attractive, however durable coatings of some of these materials require elevated deposition temperature which are incompatible with the nickel-coated beryllium scan mirror substrate construction used in GOES. This work present the achievable reflectance and durability of these alternate dielectric protective coatings at the deposition temperature constraints imposed by the scan mirror substrate. The prospects for substituting one of these coatings for SiOx are evaluated, and contrasted with the capability of radiometric calibration techniques to deal

  13. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  14. Diffusion barrier and adhesion properties of SiO(x)N(y) and SiO(x) layers between Ag/polypyrrole composites and Si substrates.

    Science.gov (United States)

    Horváth, Barbara; Kawakita, Jin; Chikyow, Toyohiro

    2014-06-25

    This paper describes the interface reactions and diffusion between silver/polypyrrole (Ag/PPy) composite and silicon substrate. This composite material can be used as a novel technique for 3D-LSI (large-scale integration) by the fast infilling of through-silicon vias (TSV). By immersion of the silicon wafer with via holes into the dispersed solution of Ag/PPy composite, the holes are filled with the composite. It is important to develop a layer between the composite and the Si substrate with good diffusion barrier and adhesion characteristics. In this paper, SiOx and two types of SiOxNy barrier layers with various thicknesses were investigated. The interface structure between the Si substrate, the barrier, and the Ag/PPy composite was characterized by transmission electron microscopy. The adhesion and diffusion properties of the layers were established for Ag/PPy composite. Increasing thickness of SiOx proved to permit less Ag to transport into the Si substrate. SiOxNy barrier layers showed very good diffusion barrier characteristics; however, their adhesion depended strongly on their composition. A barrier layer composition with good adhesion and Ag barrier properties has been identified in this paper. These results are useful for filling conductive metal/polymer composites into TSV.

  15. Demonstration of Synaptic Behaviors and Resistive Switching Characterizations by Proton Exchange Reactions in Silicon Oxide

    Science.gov (United States)

    Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Pan, Chih-Hung; Chang, Ting-Chang; Lee, Jack C.

    2016-02-01

    We realize a device with biological synaptic behaviors by integrating silicon oxide (SiOx) resistive switching memory with Si diodes. Minimal synaptic power consumption due to sneak-path current is achieved and the capability for spike-induced synaptic behaviors is demonstrated, representing critical milestones for the use of SiO2-based materials in future neuromorphic computing applications. Biological synaptic behaviors such as long-term potentiation (LTP), long-term depression (LTD) and spike-timing dependent plasticity (STDP) are demonstrated systematically using a comprehensive analysis of spike-induced waveforms, and represent interesting potential applications for SiOx-based resistive switching materials. The resistive switching SET transition is modeled as hydrogen (proton) release from (SiH)2 to generate the hydrogen bridge defect, and the RESET transition is modeled as an electrochemical reaction (proton capture) that re-forms (SiH)2. The experimental results suggest a simple, robust approach to realize programmable neuromorphic chips compatible with large-scale CMOS manufacturing technology.

  16. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  17. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  18. About the optical properties of oxidized black silicon structures

    Science.gov (United States)

    Pincik, E.; Brunner, R.; Kobayashi, H.; Mikula, M.; Kučera, M.; Švec, P.; Greguš, J.; Vojtek, P.; Zábudlá, Z.; Imamura, K.; Zahoran, M.

    2017-02-01

    The paper deals with the optical and morphological properties of thermally oxidized black silicon (OBSi) nano-crystalline specimens produced by the surface structure chemical transfer method (SSCT). This method can produce a nano-crystalline Si black color layer on c-Si with a range of thickness of ∼50 nm to ∼300 nm by the contact of c-Si immersed in chemical solutions HF + H2O2 with a catalytic mesh. We present and discuss mainly the photoluminescence properties of both polished c-Si and OBSi structures, respectively. The similar photoluminescence (PL) behaviors recorded at liquid helium (6 K) and room temperatures on both polished crystalline Si and OBSi samples, respectively, indicate the similar origin of recorded luminescence light. As the positions of PL maxima of OBSi structures are mainly related to the size of Si nanocrystallites and SiO(x), we therefore suppose that the size of the dominant parts of the luminated OBSi nanostructure is pre-determined by the used polishing Si procedure, and/or the distribution function of the number of formed crystallites on their size is very similar. The blue shift of both PL spectra reaching almost value of 0.40 eV observed after the decrease of the sample temperature to 6 K we relate also with the change of the semiconductor band gap width.

  19. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  20. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  1. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  2. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  3. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  4. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  5. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  6. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  7. Growth and structure of rapid thermal silicon oxides and nitroxides studied by spectroellipsometry and Auger electron spectroscopy

    Science.gov (United States)

    Gonon, N.; Gagnaire, A.; Barbier, D.; Glachant, A.

    1994-11-01

    Rapid thermal oxidation of Czochralski-grown silicon in either O2 or N2O atmospheres have been studied using spectroellipsometry and Auger electron spectroscopy. Multiwavelength ellipsometric data were processed in order to separately derive the thickness and refractive indexes of rapid thermal dielectrics. Results revealed a significant increase of the mean refractive index as the film thickness falls below 20 nm for both O2 or N2O oxidant species. A multilayer structure including an about 0.3-nm-thick interfacial region of either SiO(x) or nitroxide in the case of O2 and N2O growth, respectively, followed by a densified SiO2 layer, was found to accurately fit the experimental data. The interfacial region together with the densified state of SiO2 close to the interface suggest a dielectric structure in agreement with the continuous random network model proposed for classical thermal oxides. Auger electron spectroscopy analysis confirmed the presence of noncrystalline Si-Si bonds in the interfacial region, mostly in the case of thin oxides grown in O2. It was speculated that the initial fast growth regime was due to a transient oxygen supersaturation in the interfacial region. Besides, the self-limiting growth in N2O was confirmed and explained in agreement with several recently published data, by the early formation of a very thin nitride or oxynitride membrane in the highly densified oxide beneath the interface. The beneficial effect of direct nitrogen incorporation by rapid thermal oxidation in N2O instead of O2 for the electrical behavior of metal-oxide-semiconductor capacitors is likely a better SiO2/Si lattice accommodation through the reduction of stresses and Si-Si bonds in the interfacial region of the dielectric.

  8. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  9. High-performance and low-power rewritable SiOx 1 kbit one diode-one resistor crossbar memory array.

    Science.gov (United States)

    Wang, Gunuk; Lauchner, Adam C; Lin, Jian; Natelson, Douglas; Palem, Krishna V; Tour, James M

    2013-09-14

    An entire 1-kilobit crossbar device based upon SiOx resistive memories with integrated diodes has been made. The SiOx -based one diode-one resistor device system has promise to satisfy the prerequisite conditions for next generation non-volatile memory applications. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  11. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  12. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  13. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  14. PECVD Tekniği ile Büyütülmüş İnce Filmlerde Oluşan Ge ve SiGe Nanokristallerin Geçirgen Elektron Mikroskobu (TEM) ,Raman ve Fotoışıma Spektroskopisi Teknikleri ile İncelenmesi

    OpenAIRE

    Şahin, Bünyamin; Ağan, Sedat

    2009-01-01

    We report an experimental study, optical properties of Ge and SiGe nanocrystals in SiOx structures are investigated by using Transmission Electron Microscopy (TEM), Raman and Photlüminescence Spectroscopy techniques. Ge nanocrystals in silicon oxide thin films have been grown with different annealing time by Plasma Enhanced Chemical Vapor Deposition (PECVD) technique. The aim of our work is to determine size and size distiributions Ge, SiGe nanocrystals in SiOx martix due to annealing process...

  15. Resistivity and thickness effects in dendritic web silicon solar cells

    Science.gov (United States)

    Meier, D. L.; Hwang, J. M.; Greggi, J.; Campbell, R. B.

    1987-01-01

    The decrease of minority carrier lifetime as resistivity decreases in dendritic-web silicon solar cells is addressed. This variation is shown to be consistent with the presence of defect levels in the bandgap which arise from extended defects in the web material. The extended defects are oxide precipitates (SiOx) and the dislocation cores they decorate. Sensitivity to this background distribution of defect levels increases with doping because the Fermi level moves closer to the majority carrier band edge. For high-resistivity dendritic-web silicon, which has a low concentration of these extended defects, cell efficiencies as high as 16.6 percent (4 sq cm, 40 ohm-cm boron-doped base, AM1.5 global, 100 mW/sq cm, 25 C JPL LAPSS1 measurement) and a corresponding electron lifetime of 38 microsec have been obtained. Thickness effects occur in bifacial cell designs and in designs which use light trapping. In some cases, the dislocation/precipitate defect can be passivated through the full thickness of web cells by hydrogen ion implantation.

  16. Oxidation under electron bombardment. A tool for studying the initial states of silicon oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Carriere, B.; Deville, J.P.; El Maachi, A.

    1987-06-01

    The exciting beam of an Auger electron spectrometer has been used to monitor the oxidation of silicon single crystals at room temperature and very low pressures of oxygen (approx. 10/sup -7/ Torr). This process allows us to build ultra-thin layers of silica on silicon (down to 30 A) but it is mostly used to investigate the mechanisms of the initial stages of oxidation. Auger spectra recorded continuously during the oxidation process provide information on (1) the nature of the silicon-oxygen chemical bonds which are interpreted through fine structure in the Auger peak, and (2) the kinetics of oxide formation which are deduced from curves of Auger signal versus time. An account is given of the contribution of these Auger studies to the description of the intermediate oxide layer during the reaction between silicon and oxygen and the influence of surface structural disorder, induced mainly by argon-ion bombardment, is discussed in terms of reactivity and oxide coverage.

  17. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  18. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  19. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  20. Thermal oxidation of silicon with two oxidizing species

    International Nuclear Information System (INIS)

    Vild-Maior, A.A.; Filimon, S.

    1979-01-01

    A theoretical model for the thermal oxidation of silicon in wet oxygen is presented. It is shown that the presence of oxygen in the oxidation furnace has an important effect when the water temperature is not too high (less than about 65 deg C). The model is in good agreement with the experimental data. (author)

  1. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  2. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  3. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  4. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  5. Na effect on flexible Cu(In,Ga)Se{sub 2} photovoltaic cell depending on diffusion barriers (SiOx, i-ZnO) on stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Woo-Jung; Cho, Dae-Hyung; Wi, Jae-Hyung; Han, Won Seok [Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Kim, Jeha [Insitute of Photovoltaics, Cheongju University, Cheongju 360-764 (Korea, Republic of); Chung, Yong-Duck, E-mail: ydchung@etri.re.kr [Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Department of Advanced Device Engineering, Korea University of Science and Technology, Daejeon 305-350 (Korea, Republic of)

    2014-10-15

    Cu(In,Ga)Se{sub 2} (CIGS) based-photovoltaic (PV) cells with different diffusion barriers of SiOx and i-ZnO were fabricated on stainless steel (STS) substrate and their electrical characteristics were investigated by measuring J–V curves under illuminated and dark conditions. The physical properties of the CIGS film depending on type of diffusion barrier were also analyzed using X-ray diffraction and secondary ion mass spectroscopy. The efficiency of the CIGS-PV cell with i-ZnO barrier was approximately 2% higher than that with the SiOx barrier. Through the analysis of dark J–V curves, we discovered that distinctive defects were formed in the band gap of CIGS based on which diffusion barrier contacted the STS. The diffraction pattern showed a slightly different tendency of the peak intensity ratio of (220/204)/(112) in the PV cell with the i-ZnO barrier, which was slightly higher than that in the PV cell with SiOx barrier. In elemental depth profile, a deficient Ga profile was observed near the surface of the CIGS film with the SiOx barrier, and an abundant Na profile within the CIGS film with the i-ZnO barrier was detected. This is attributed to a difference in thermal conduction through the diffusion barriers during CIGS film growth, originating from the larger thermal conductivity of ZnO compared with SiOx. - Highlights: • We fabricated CIGS-PV cells with diffusion barriers of SiOx and i-ZnO on STS. • The efficiency of CIGS-PV cell with i-ZnO was ∼2% higher than that with SiOx. • Distinctive defects were formed into CIGS absorber depending on diffusion barrier.

  6. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  7. The ion capturing effect of 5° SiOx alignment films in liquid crystal devices

    Science.gov (United States)

    Huang, Yi; Bos, Philip J.; Bhowmik, Achintya

    2010-09-01

    We show that SiOx, deposited at 5° to the interior surface of a liquid crystal cell allows for a surprisingly substantial reduction in the ion concentration of liquid crystal devices. We have investigated this effect and found that this type of film, due to its surface morphology, captures ions from the liquid crystal material. Ion adsorption on 5° SiOx film obeys the Langmuir isotherm. Experimental results shown allow estimation of the ion capturing capacity of these films to be more than an order of 10 000/μm2. These types of materials are useful for new types of very low power liquid crystal devices such as e-books.

  8. Tunnel Oxides Formed by Field-Induced Anodisation for Passivated Contacts of Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Jingnan Tong

    2018-02-01

    Full Text Available Tunnel silicon oxides form a critical component for passivated contacts for silicon solar cells. They need to be sufficiently thin to allow carriers to tunnel through and to be uniform both in thickness and stoichiometry across the silicon wafer surface, to ensure uniform and low recombination velocities if high conversion efficiencies are to be achieved. This paper reports on the formation of ultra-thin silicon oxide layers by field-induced anodisation (FIA, a process that ensures uniform oxide thickness by passing the anodisation current perpendicularly through the wafer to the silicon surface that is anodised. Spectroscopical analyses show that the FIA oxides contain a lower fraction of Si-rich sub-oxides compared to wet-chemical oxides, resulting in lower recombination velocities at the silicon and oxide interface. This property along with its low temperature formation highlights the potential for FIA to be used to form low-cost tunnel oxide layers for passivated contacts of silicon solar cells.

  9. Mechanical grooving of oxidized porous silicon to reduce the reflectivity of monocrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zarroug, A.; Dimassi, W.; Ouertani, R.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre des Recherches et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    In this work, we are interested to use oxidized porous silicon (ox-PS) as a mask. So, we display the creating of a rough surface which enhances the absorption of incident light by solar cells and reduces the reflectivity of monocrystalline silicon (c-Si). It clearly can be seen that the mechanical grooving enables us to elaborate the texturing of monocrystalline silicon wafer. Results demonstrated that the application of a PS layer followed by a thermal treatment under O2 ambient easily gives us an oxide layer of uniform size which can vary from a nanometer to about ten microns. In addition, the Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer illustrates the possibility to realize oxide layer as a mask for porous silicon. We found also that this simple and low cost method decreases the total reflectivity (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Nafion/Silicon Oxide Composite Membrane for High Temperature Proton Exchange Membrane Fuel Cell

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Nafion/Silicon oxide composite membranes were produced via in situ sol-gel reaction of tetraethylorthosilicate (TEOS) in Nafion membranes. The physicochemical properties of the membranes were studied by FT-IR, TG-DSC and tensile strength. The results show that the silicon oxide is compatible with the Nafion membrane and the thermo stability of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. Furthermore, the tensile strength of Nafion/Silicon oxide composite membrane is similar to that of the Nafion membrane. The proton conductivity of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. When the Nafion/Silicon oxide composite membrane was employed as an electrolyte in H2/O2 PEMFC, a higher current density value (1 000 mA/cm2 at 0.38 V) than that of the Nafion 1135 membrane (100 mA/cm2 at 0.04 V) was obtained at 110 ℃.

  11. Nano-ridge fabrication by local oxidation of silicon edges with silicon nitride as a mask

    NARCIS (Netherlands)

    Haneveld, J.; Berenschot, Johan W.; Maury, P.A.; Jansen, Henricus V.

    2005-01-01

    A method to fabricate nano-ridges over a full wafer is presented. The fabrication method uses local oxidation of silicon, with silicon nitride as a mask, and wet anisotropic etching of silicon. The realized structures are 7-20 nm wide, 40-100 nm high and centimeters long. All dimensions are easily

  12. Influence of sample oxidation on the nature of optical luminescence from porous silicon

    International Nuclear Information System (INIS)

    Coulthard, I.; Antel, W. J. Jr.; Freeland, J. W.; Sham, T. K.; Naftel, S. J.; Zhang, P.

    2000-01-01

    Site-selective luminescence experiments were performed upon porous-silicon samples exposed to varying degrees of oxidation. The source of different luminescence bands was determined to be due to either quantum confinement in nanocrystalline silicon or defective silicon oxide. Of particular interest is the defective silicon-oxide luminescence band found at 2.1 eV, which was found to frequently overlap with a luminescence band from nanocrystalline silicon. Some of the historical confusion and debate with regards to the source of luminescence from porous silicon can be attributed to this overlap. (c) 2000 American Institute of Physics

  13. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  14. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  15. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  16. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  17. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  18. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  19. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  20. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    Science.gov (United States)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  1. Demonstration of slot-waveguide structures on silicon nitride / silicon oxide platform.

    Science.gov (United States)

    Barrios, C A; Sánchez, B; Gylfason, K B; Griol, A; Sohlström, H; Holgado, M; Casquel, R

    2007-05-28

    We report on the first demonstration of guiding light in vertical slot-waveguides on silicon nitride/silicon oxide material system. Integrated ring resonators and Fabry-Perot cavities have been fabricated and characterized in order to determine optical features of the slot-waveguides. Group index behavior evidences guiding and confinement in the low-index slot region at O-band (1260-1370nm) telecommunication wavelengths. Propagation losses of <20 dB/cm have been measured for the transverse-electric mode of the slot-waveguides.

  2. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    Energy Technology Data Exchange (ETDEWEB)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  3. The influence of initial defects on mechanical stress and deformation distribution in oxidized silicon

    Directory of Open Access Journals (Sweden)

    Kulinich O. A.

    2008-10-01

    Full Text Available The near-surface silicon layers in silicon – dioxide silicon systems with modern methods of research are investigated. It is shown that these layers have compound structure and their parameters depend on oxidation and initial silicon parameters. It is shown the influence of initial defects on mechanical stress and deformation distribution in oxidized silicon.

  4. Charging effects during focused electron beam induced deposition of silicon oxide

    NARCIS (Netherlands)

    de Boer, Sanne K.; van Dorp, Willem F.; De Hosson, Jeff Th. M.

    2011-01-01

    This paper concentrates on focused electron beam induced deposition of silicon oxide. Silicon oxide pillars are written using 2, 4, 6, 8, 10-pentamethyl-cyclopenta-siloxane (PMCPS) as precursor. It is observed that branching of the pillar occurs above a minimum pillar height. The branching is

  5. Oxidation of ultra low carbon and silicon bearing steels

    Energy Technology Data Exchange (ETDEWEB)

    Suarez, Lucia [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: lucia.suarez@ctm.com.es; Rodriguez-Calvillo, Pablo [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: pablo.rodriguez@ctm.com.es; Houbaert, Yvan [Department of Materials Science and Engineering, University of Ghent (Belgium)], E-mail: Yvan.Houbaert@UGent.be; Colas, Rafael [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon (Mexico)], E-mail: rcolas@mail.uanl.mx

    2010-06-15

    Oxidation tests were carried out in samples from an ultra low carbon and two silicon bearing steels to determine the distribution and morphology of the oxide species present. The ultra low carbon steel was oxidized for short periods of time within a chamber designed to obtain thin oxide layers by controlling the atmosphere, and for longer times in an electric furnace; the silicon steels were reheated only in the electric furnace. The chamber was constructed to study the behaviour encountered during the short period of time between descaling and rolling in modern continuous mills. It was found that the oxide layers formed on the samples reheated in the electric furnace were made of different oxide species. The specimens treated in the chamber had layers made almost exclusively of wustite. Selected oxide samples were studied by scanning electron microscopy to obtain electron backscattered diffraction patterns, which were used to identify the oxide species in the layer.

  6. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    Science.gov (United States)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  7. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  8. Nitric oxide levels in the anterior chamber of vitrectomized eyes with silicon oil

    Directory of Open Access Journals (Sweden)

    Paulo Escarião

    2013-10-01

    Full Text Available PURPOSE: To investigate the nitric oxide levels in the anterior chamber of eyes who underwent pars plana vitrectomy (PPV with silicone oil. METHODS: Patients who underwent PPV with silicon oil injection, from february 2005 to august 2007, were selected. Nine patients (nine eyes participated in the study (five women and four men. Nitric oxide concentration was quantified after the aspiration of aqueous humor samples during the procedure of silicon oil removal. Data such as: oil emulsification; presence of oil in the anterior chamber; intraocular pressure and time with silicone oil were evaluated. Values of p <0.05 were considered to be statistically significant. RESULTS: A positive correlation between nitric oxide concentration and time with silicon oil in the vitreous cavity (r=0.799 was observed. The nitric oxide concentration was significantly higher (p=0.02 in patients with silicon oil more than 24 months (0.90µmol/ml ± 0.59, n=3 in the vitreous cavity comparing to patients with less than 24 months (0.19µmol/ml ± 0.10, n=6. CONCLUSION: A positive correlation linking silicone oil time in the vitreous cavity with the nitric oxide concentration in the anterior chamber was observed.

  9. Oxidation and corrosion of silicon-based ceramics and composites

    International Nuclear Information System (INIS)

    Jacobson, N.S.; Fox, D.S.; Smialek, J.L.

    1997-01-01

    Silica scales exhibit slow growth rates and a low activation energy. Thus silica-protected materials are attractive high temperature structural materials for their potentially excellent oxidation resistance and well-documented high temperature strength. This review focuses on silicon carbide, silicon nitride, and composites of these materials. It is divided into four parts: (i) Fundamental oxidation mechanisms, (ii) Special properties of silica scales, (iii) Protective coatings, and (iv) Internal oxidation behavior of composites. While the fundamental oxidation mechanism of SiC is understood, there are still many questions regarding the oxidation mechanism of Si 3 N 4 . Silica scales exhibit many unique properties as compared to chromia and alumina. These include slower growth rates, SiO(g) formation, sensitivity to water vapor and impurities, and dissolution by basic molten salts. Protective coatings can limit the deleterious effects. The fourth area-internal oxidation of fibers and fiber coatings in composites-has limited the application of these novel materials. Strategies for understanding and limiting this internal oxidation are discussed. (orig.)

  10. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    Science.gov (United States)

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  11. Femtosecond transient absorption spectroscopy of silanized silicon quantum dots

    Science.gov (United States)

    Kuntermann, Volker; Cimpean, Carla; Brehm, Georg; Sauer, Guido; Kryschi, Carola; Wiggers, Hartmut

    2008-03-01

    Excitonic properties of colloidal silicon quantum dots (Si qdots) with mean sizes of 4nm were examined using stationary and time-resolved optical spectroscopy. Chemically stable silicon oxide shells were prepared by controlled surface oxidation and silanization of HF-etched Si qdots. The ultrafast relaxation dynamics of photogenerated excitons in Si qdot colloids were studied on the picosecond time scale from 0.3psto2.3ns using femtosecond-resolved transient absorption spectroscopy. The time evolution of the transient absorption spectra of the Si qdots excited with a 150fs pump pulse at 390nm was observed to consist of decays of various absorption transitions of photoexcited electrons in the conduction band which overlap with both the photoluminescence and the photobleaching of the valence band population density. Gaussian deconvolution of the spectroscopic data allowed for disentangling various carrier relaxation processes involving electron-phonon and phonon-phonon scatterings or arising from surface-state trapping. The initial energy and momentum relaxation of hot carriers was observed to take place via scattering by optical phonons within 0.6ps . Exciton capturing by surface states forming shallow traps in the amorphous SiOx shell was found to occur with a time constant of 4ps , whereas deeper traps presumably localized in the Si-SiOx interface gave rise to exciton trapping processes with time constants of 110 and 180ps . Electron transfer from initially populated, higher-lying surface states to the conduction band of Si qdots (>2nm) was observed to take place within 400 or 700fs .

  12. Waveguiding properties of Er-implanted silicon-rich oxides

    International Nuclear Information System (INIS)

    Elliman, R.G.; Forcales, M.; Wilkinson, A.R.; Smith, N.J.

    2007-01-01

    The optical properties of erbium-doped silicon-rich silicon-oxide waveguides containing amorphous silicon nanoclusters and/or silicon nanocrystals are reported. Both amorphous nanoclusters and nanocrystals are shown to act as effective sensitizers for Er, with nanocrystals being more effective at low pump powers and nanoclusters being more effective at higher pump powers. All samples are shown to exhibit photo-induced absorption, as measured for a guided 1.5 μm probe beam while the waveguide was illuminated from above with a 477 nm pump beam. At a given pump power samples containing silicon nanocrystals exhibited greater attenuation than samples containing amorphous nanoclusters. The absorption is shown to be consistent with confined-carrier absorption due to photoexcited carriers in the nanocrystals and/or nanoclusters

  13. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    Science.gov (United States)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  14. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    Science.gov (United States)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  15. Passivating electron contact based on highly crystalline nanostructured silicon oxide layers for silicon solar cells

    Czech Academy of Sciences Publication Activity Database

    Stuckelberger, J.; Nogay, G.; Wyss, P.; Jeangros, Q.; Allebe, Ch.; Debrot, F.; Niquille, X.; Ledinský, Martin; Fejfar, Antonín; Despeisse, M.; Haug, F.J.; Löper, P.; Ballif, C.

    2016-01-01

    Roč. 158, Dec (2016), s. 2-10 ISSN 0927-0248 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : surface passivation * passivating contact * nanostructure * silicon oxide * nanocrystalline * microcrystalline * poly-silicon * crystallization * Raman * transmission line measurement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.784, year: 2016

  16. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    OpenAIRE

    Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe

    2015-01-01

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...

  17. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  18. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  19. 18O isotopic tracer studies of silicon oxidation in dry oxygen

    International Nuclear Information System (INIS)

    Han, C.J.

    1986-01-01

    Oxidation of silicon in dry oxygen has been an important process in the integrated circuit industry for making gate insulators on metal-oxide-semiconductory (MOS) devices. This work examines this process using isotopic tracers of oxygen to determine the transport mechanisms of oxygen through silicon dioxide. Oxides were grown sequentially using mass-16 and mass-18 oxygen gas sources to label the oxygen molecules from each step. The resulting oxides are analyzed using secondary ion mass spectrometry (SIMS). The results of these analyses suggest two oxidant species are present during the oxidation, each diffuses and oxidizes separately during the process. A model from this finding using a sum of two linear-parabolic growth rates, each representing the growth rate from one of the oxidants, describes the reported oxidation kinetics in the literature closely. A fit of this relationship reveals excellent fits to the data for oxide thicknesses ranging from 30 A to 1 μm and for temperatures ranging from 800 to 1200 0 C. The mass-18 oxygen tracers also enable a direct observation of the oxygen solubility in the silicon dioxide during a dry oxidation process. The SIMS profiles establish a maximum solubility for interstitial oxygen at 1000 0 C at 2 x 10 20 cm -3 . Furthermore, the mass-18 oxygen profiles show negligible network diffusion during an 1000 0 C oxidation

  20. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    Science.gov (United States)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  1. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  2. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  3. A parametric study of laser induced ablation-oxidation on porous silicon surfaces

    International Nuclear Information System (INIS)

    De Stefano, Luca; Rea, Ilaria; Nigro, M Arcangela; Della Corte, Francesco G; Rendina, Ivo

    2008-01-01

    We have investigated the laser induced ablation-oxidation process on porous silicon layers having different porosities and thicknesses by non-destructive optical techniques. In particular, the interaction between a low power blue light laser and the porous silicon surfaces has been characterized by variable angle spectroscopic ellipsometry and Fourier transform infrared spectroscopy. The oxidation profiles etched on the porous samples can be tuned as functions of the layer porosity and laser fluence. Oxide stripes of width less than 2 μm and with thicknesses between 100 nm and 5 μm have been produced, depending on the porosity of the porous silicon, by using a 40 x focusing objective

  4. Indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  5. Oxidation of mullite-zirconia-alumina-silicon carbide composites

    International Nuclear Information System (INIS)

    Baudin, C.; Moya, J.S.

    1990-01-01

    This paper reports the isothermal oxidation of mullite-alumina-zirconia-silicon carbide composites obtained by reaction sintering studied in the temperature interval 800 degrees to 1400 degrees C. The kinetics of the oxidation process was related to the viscosity of the surface glassy layer as well as to the crystallization of the surface film. The oxidation kinetics was halted to T ≤ 1300 degrees C, presumably because of crystallization

  6. Fluorescence studies of Rhodamine 6G functionalized silicon oxide nanostructures

    International Nuclear Information System (INIS)

    Baumgaertel, Thomas; Borczyskowski, Christian von; Graaf, Harald

    2010-01-01

    Selective anchoring of optically active molecules on nanostructured surfaces is a promising step towards the creation of nanoscale devices with new functionalities. Recently we have demonstrated the electrostatic attachment of charged fluorescent molecules on silicon oxide nanostructures prepared by atomic force microscopy (AFM) nanolithography via local anodic oxidation (LAO) of dodecyl-terminated silicon. In this paper we report on our findings from a more detailed optical investigation of the bound dye Rhodamine 6G. High sensitivity optical wide field microscopy as well as confocal laser microscopy have been used to characterize the Rhodamine fluorescence emission. A highly interesting question concerns the interaction between an emitter close to a silicon surface because mechanisms such as energy transfer and fluorescence quenching will occur which are still not fully understood. Since the oxide thickness can be varied during preparation continuously from 1 to ∼ 5 nm, it is possible to investigate the fluorescence of the bound dye in close proximity to the underlying silicon. Using confocal laser microscopy we were also able to obtain optical spectra from the bound molecules. Together with the results from an analysis of their photochemical bleaching behaviour, we conjecture that some of the Rhodamine 6G molecules on the structure are interacting with the oxide, causing a spectral shift and differences in their photochemical properties.

  7. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  8. Development of an oxidized porous silicon vacuum microtriode

    Energy Technology Data Exchange (ETDEWEB)

    Smith, II, Don Deewayne [Texas A & M Univ., College Station, TX (United States)

    1994-05-01

    In order to realize a high-power microwave amplifier design known as a gigatron, a gated field emission array must be developed that can deliver a high-intensity electron beam at gigahertz frequencies. No existing field emission device meets the requirements for a gigatron cathode. In the present work, a porous silicon-based approach is evaluated. The use of porous silicon reduces the size of a single emitter to the nanometer scale, and a true two-dimensional array geometry can be approached. A wide number of applications for such a device exist in various disciplines. Oxidized porous silicon vacuum diodes were first developed in 1990. No systematic study had been done to characterize the performance of these devices as a function of the process parameters. The author has done the first such study, fabricating diodes from p<100>, p<111>, and n<100> silicon substrates. Anodization current densities from 11 mA/cm2 to 151 mA/cm2 were used, and Fowler-Nordheim behavior was observed in over 80% of the samples. In order to effectively adapt this technology to mainstream vacuum microelectronic applications, a means of creating a gated triodic structure must be found. No previous attempts had successfully yielded such a device. The author has succeeded in utilizing a novel metallization method to fabricate the first operational oxidized porous silicon vacuum microtriodes, and results are encouraging.

  9. Influence of post-annealing on the electrical properties of metal/oxide/silicon nitride/oxide/silicon capacitors for flash memories

    International Nuclear Information System (INIS)

    Kim, Hee Dong; An, Ho-Myoung; Kim, Kyoung Chan; Seo, Yu Jeong; Kim, Tae Geun

    2008-01-01

    We report the effect of post-annealing on the electrical properties of metal/oxide/silicon nitride/oxide/silicon (MONOS) capacitors. Four samples, namely as-deposited and annealed at 750, 850 and 950 °C for 30 s in nitrogen ambient by a rapid thermal process, were prepared and characterized for comparison. The best performance with the largest memory window of 4.4 V and the fastest program speed of 10 ms was observed for the sample annealed at 850 °C. In addition, the highest traps density of 6.84 × 10 18 cm −3 was observed with ideal trap distributions for the same sample by capacitance–voltage (C–V) measurement. These results indicate that the memory traps in the ONO structure can be engineered by post-annealing to improve the electrical properties of the MONOS device

  10. Field oxide radiation damage measurements in silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Laakso, M [Particle Detector Group, Fermilab, Batavia, IL (United States) Research Inst. for High Energy Physics (SEFT), Helsinki (Finland); Singh, P; Shepard, P F [Dept. of Physics and Astronomy, Univ. Pittsburgh, PA (United States)

    1993-04-01

    Surface radiation damage in planar processed silicon detectors is caused by radiation generated holes being trapped in the silicon dioxide layers on the detector wafer. We have studied charge trapping in thick (field) oxide layers on detector wafers by irradiating FOXFET biased strip detectors and MOS test capacitors. Special emphasis was put on studying how a negative bias voltage across the oxide during irradiation affects hole trapping. In addition to FOXFET biased detectors, negatively biased field oxide layers may exist on the n-side of double-sided strip detectors with field plate based n-strip separation. The results indicate that charge trapping occurred both close to the Si-SiO[sub 2] interface and in the bulk of the oxide. The charge trapped in the bulk was found to modify the electric field in the oxide in a way that leads to saturation in the amount of charge trapped in the bulk when the flatband/threshold voltage shift equals the voltage applied over the oxide during irradiation. After irradiation only charge trapped close to the interface is annealed by electrons tunneling to the oxide from the n-type bulk. (orig.).

  11. Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy

    International Nuclear Information System (INIS)

    Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.

    2011-01-01

    Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.

  12. Characterization of Transition Metal Oxide/Silicon Heterojunctions for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Luis G. Gerling

    2015-10-01

    Full Text Available During the last decade, transition metal oxides have been actively investigated as hole- and electron-selective materials in organic electronics due to their low-cost processing. In this study, four transition metal oxides (V2O5, MoO3, WO3, and ReO3 with high work functions (>5 eV were thermally evaporated as front p-type contacts in planar n-type crystalline silicon heterojunction solar cells. The concentration of oxygen vacancies in MoO3−x was found to be dependent on film thickness and redox conditions, as determined by X-ray Photoelectron Spectroscopy. Transfer length method measurements of oxide films deposited on glass yielded high sheet resistances (~109 Ω/sq, although lower values (~104 Ω/sq were measured for oxides deposited on silicon, indicating the presence of an inversion (hole rich layer. Of the four oxide/silicon solar cells, ReO3 was found to be unstable upon air exposure, while V2O5 achieved the highest open-circuit voltage (593 mV and conversion efficiency (12.7%, followed by MoO3 (581 mV, 12.6% and WO3 (570 mV, 11.8%. A short-circuit current gain of ~0.5 mA/cm2 was obtained when compared to a reference amorphous silicon contact, as expected from a wider energy bandgap. Overall, these results support the viability of a simplified solar cell design, processed at low temperature and without dopants.

  13. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  14. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  15. The role of oxide interlayers in back reflector configurations for amorphous silicon solar cells

    NARCIS (Netherlands)

    Demontis, V.; Sanna, C.; Melskens, J.; Santbergen, R.; Smets, A.H.M.; Damiano, A.; Zeman, M.

    2013-01-01

    Thin oxide interlayers are commonly added to the back reflector of thin-film silicon solar cells to increase their current. To gain more insight in the enhancement mechanism, we tested different back reflector designs consisting of aluminium-doped zinc oxide (ZnO:Al) and/or hydrogenated silicon

  16. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  17. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    Science.gov (United States)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  18. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  19. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  20. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  1. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  2. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  3. Laser direct writing of oxide structures on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Birkelund, Karen; Grey, Francois

    1996-01-01

    on amorphous and crystalline silicon surfaces in order to determine the depassivation mechanism. The minimum linewidth achieved is about 450 nm using writing speeds of up to 100 mm/s. The process is fully compatible with local oxidation of silicon by scanning probe lithography. Wafer-scale patterns can...

  4. The effect of oxidation on the efficiency and spectrum of photoluminescence of porous silicon

    International Nuclear Information System (INIS)

    Bulakh, B. M.; Korsunska, N. E.; Khomenkova, L. Yu.; Staraya, T. R.; Sheinkman, M. K.

    2006-01-01

    The photoluminescence spectra of porous silicon and their temperature dependences and transformations on aging are studied. It is shown that the infrared band prevailing in the spectra of as-prepared samples is due to exciton recombination in silicon crystallites. On aging, a well-pronounced additional band is observed at shorter wavelengths of the spectra. It is assumed that this band is due to the recombination of carriers that are excited in silicon crystallites and recombine via some centers located in oxide. It is shown that the broad band commonly observable in oxidized porous silicon is a superposition of the above two bands. The dependences of the peak positions and integrated intensities of the bands on time and temperature are studied. The data on the distribution of oxide centers with depth in the porous layer are obtained

  5. Microstructure and oxidative degradation behavior of silicon carbide fiber Hi-Nicalon type S

    International Nuclear Information System (INIS)

    Takeda, M.; Urano, A.; Sakamoto, J.; Imai, Y.

    1998-01-01

    Polycarbosilane-derived SiC fibers, Nicalon, Hi-Nicalon, and Hi-Nicalon type S were exposed for 1 to 100 h at 1273-1773 K in air. Oxide layer growth and tensile strength change of these fibers were examined after the oxidation test. As a result, three types of SiC fibers decreased their strength as oxide layer thickness increased. Fracture origins were determined at near the oxide layer-fiber interface. Adhered fibers arised from softening of silicon oxide at high temperature were also observed. In this study, Hi-Nicalon type S showed better oxidation resistance than other polycarbosilane-derived SiC fibers after 1673 K or higher temperature exposure in air for 10 h. This result was explained by the poreless silicon oxide layer structure of Hi-Nicalon type S. (orig.)

  6. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  7. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  8. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie; Dré on, Julie; Rucavado, Esteban; Mews, Mathias; Koida, Takashi; Boccard, Mathieu; Werner, Jé ré mie; Geissbü hler, Jonas; Lö per, Philipp; Morales-Masis, Monica; Korte, Lars; De Wolf, Stefaan; Balllif, Christophe

    2018-01-01

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient

  9. Formation of silicon carbide by laser ablation in graphene oxide-N-methyl-2-pyrrolidone suspension on silicon surface

    Science.gov (United States)

    Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz

    2018-01-01

    Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction‌ (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy‌ (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV‌-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.

  10. Density of oxidation-induced stacking faults in damaged silicon

    NARCIS (Netherlands)

    Kuper, F.G.; Hosson, J.Th.M. De; Verwey, J.F.

    1986-01-01

    A model for the relation between density and length of oxidation-induced stacking faults on damaged silicon surfaces is proposed, based on interactions of stacking faults with dislocations and neighboring stacking faults. The model agrees with experiments.

  11. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  12. Room temperature NO2-sensing properties of porous silicon/tungsten oxide nanorods composite

    International Nuclear Information System (INIS)

    Wei, Yulong; Hu, Ming; Wang, Dengfeng; Zhang, Weiyi; Qin, Yuxiang

    2015-01-01

    Highlights: • Porous silicon/WO 3 nanorods composite is synthesized via hydrothermal method. • The morphology of WO 3 nanorods depends on the amount of oxalic acid (pH value). • The sensor can detect ppb level NO 2 at room temperature. - Abstract: One-dimensional single crystalline WO 3 nanorods have been successfully synthesized onto the porous silicon substrates by a seed-induced hydrothermal method. The controlled morphology of porous silicon/tungsten oxide nanorods composite was obtained by using oxalic acid as an organic inducer. The reaction was carried out at 180 °C for 2 h. The influence of oxalic acid (pH value) on the morphology of porous silicon/tungsten oxide nanorods composite was investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD) and transmission electron microscopy (TEM). The NO 2 -sensing properties of the sensor based on porous silicon/tungsten oxide nanorods composite were investigated at different temperatures ranging from room temperature (∼25 °C) to 300 °C. At room temperature, the sensor behaved as a typical p-type semiconductor and exhibited high gas response, good repeatability and excellent selectivity characteristics toward NO 2 gas due to its high specific surface area, special structure, and large amounts of oxygen vacancies

  13. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  14. Oxidation Protection of Porous Reaction-Bonded Silicon Nitride

    Science.gov (United States)

    Fox, D. S.

    1994-01-01

    Oxidation kinetics of both as-fabricated and coated reaction-bonded silicon nitride (RBSN) were studied at 900 and 1000 C with thermogravimetry. Uncoated RBSN exhibited internal oxidation and parabolic kinetics. An amorphous Si-C-O coating provided the greatest degree of protection to oxygen, with a small linear weight loss observed. Linear weight gains were measured on samples with an amorphous Si-N-C coating. Chemically vapor deposited (CVD) Si3N4 coated RBSN exhibited parabolic kinetics, and the coating cracked severely. A continuous-SiC-fiber-reinforced RBSN composite was also coated with the Si-C-O material, but no substantial oxidation protection was observed.

  15. Durability of the Solid Oxide Cells for Co-Electrolysis of Steam and Carbon Dioxide under High Current Densities

    DEFF Research Database (Denmark)

    Tao, Youkun

    Production of hydrogen and syngas (CO + H2) using solid oxide electrolysis cells (SOECs) has become increasingly attractive due to high oil price, the capability for conversion and storage of intermittent energy from renewable sources and the general interest in hydrogen energy and carbon...... severe percolation loss of Ni particles. The blocking of the Ni-YSZ TPBs by impurities (e.g. SiOx) also contributed to the fast degradation of SOECs in the initial test period. However, the post-test observation revealed dominating SiOx inclusions inside the Ni grain close to the electrolyte, instead...

  16. Viscous properties of aluminum oxide nanotubes and aluminium oxide nanoparticles - silicone oil suspensions

    Science.gov (United States)

    Thapa, Ram; French, Steven; Delgado, Adrian; Ramos, Carlos; Gutierrez, Jose; Chipara, Mircea; Lozano, Karen

    2010-03-01

    Electrorheological (ER) fluids consisting of γ-aluminum oxide nanotubes and γ-aluminum oxide nanoparticles dispersed within silicone oil were prepared. The relationship between shear stress and shear rate was measured and theoretically simulated by using an extended Bingham model for both the rheological and electrorheological features of these systems. Shear stress and viscosity showed a sharp increase for the aluminum oxide nanotubes suspensions subjected to applied electric fields whereas aluminum oxide nanoparticles suspensions showed a moderate change. It was found that the transition from liquid to solid state (mediated by the applied electric field) can be described by a power law and that for low applied voltages the relationship is almost linear.

  17. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  18. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  19. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  20. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  1. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  2. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  3. Super-oxidation of silicon nanoclusters: magnetism and reactive oxygen species at the surface

    Energy Technology Data Exchange (ETDEWEB)

    Lepeshkin, Sergey; Baturin, Vladimir; Tikhonov, Evgeny; Matsko, Nikita; Uspenskii, Yurii; Naumova, Anastasia; Feya, Oleg; Schoonen, Martin A.; Oganov, Artem R.

    2016-01-01

    Oxidation of silicon nanoclusters depending on the temperature and oxygen pressure is explored from first principles using the evolutionary algorithm, and structural and thermodynamic analysis. From our calculations of 90 SinOm clusters we found that under normal conditions oxidation does not stop at the stoichiometric SiO2 composition, as it does in bulk silicon, but goes further placing extra oxygen atoms on the cluster surface. These extra atoms are responsible for light emission, relevant to reactive oxygen species and many of them are magnetic. We argue that the super-oxidation effect is size-independent and discuss its relevance to nanotechnology and miscellaneous applications, including biomedical ones.

  4. Deposition of SiOx thin films on Y-TZP by reactive magnetron sputtering: influence of plasma parameters on the adhesion properties between Y-TZP and resin cement for application in dental prosthesis

    Directory of Open Access Journals (Sweden)

    José Renato Calvacanti de Queiroz

    2011-01-01

    Full Text Available In this paper SiOx thin films were deposited on Y-TZP ceramics by reactive magnetron sputtering technique in order to improve the adhesion properties between Y-TZP and resin cement for applications in dental prosthesis. For fixed cathode voltage, target current, working pressure and target-to-substrate distance, SiOx thin films were deposited at different oxygen concentrations in the Ar+O2 plasma forming gas. After deposition processes, SiOx thin films were characterized by profilometry, energy dispersive spectroscopy (EDS, optical microscopy and scanning electron microscopy (SEM. Adhesion properties between Y-TZP and resin cement were evaluated by shear testing. Results indicate that films deposited at 20%O2 increased the bond strength to (32.8 ± 5.4 MPa. This value has not been achieved by traditional methods.

  5. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  6. Structural changes and conductance thresholds in metal-free intrinsic SiOx resistive random access memory

    International Nuclear Information System (INIS)

    Mehonic, Adnan; Buckwell, Mark; Montesi, Luca; Garnett, Leon; Hudziak, Stephen; Kenyon, Anthony J.; Fearn, Sarah; Chater, Richard; McPhail, David

    2015-01-01

    We present an investigation of structural changes in silicon-rich silicon oxide metal-insulator-metal resistive RAM devices. The observed unipolar switching, which is intrinsic to the bulk oxide material and does not involve movement of metal ions, correlates with changes in the structure of the oxide. We use atomic force microscopy, conductive atomic force microscopy, x-ray photoelectron spectroscopy, and secondary ion mass spectroscopy to examine the structural changes occurring as a result of switching. We confirm that protrusions formed at the surface of samples during switching are bubbles, which are likely to be related to the outdiffusion of oxygen. This supports existing models for valence-change based resistive switching in oxides. In addition, we describe parallel linear and nonlinear conduction pathways and suggest that the conductance quantum, G 0 , is a natural boundary between the high and low resistance states of our devices

  7. Structural changes and conductance thresholds in metal-free intrinsic SiOx resistive random access memory

    Science.gov (United States)

    Mehonic, Adnan; Buckwell, Mark; Montesi, Luca; Garnett, Leon; Hudziak, Stephen; Fearn, Sarah; Chater, Richard; McPhail, David; Kenyon, Anthony J.

    2015-03-01

    We present an investigation of structural changes in silicon-rich silicon oxide metal-insulator-metal resistive RAM devices. The observed unipolar switching, which is intrinsic to the bulk oxide material and does not involve movement of metal ions, correlates with changes in the structure of the oxide. We use atomic force microscopy, conductive atomic force microscopy, x-ray photoelectron spectroscopy, and secondary ion mass spectroscopy to examine the structural changes occurring as a result of switching. We confirm that protrusions formed at the surface of samples during switching are bubbles, which are likely to be related to the outdiffusion of oxygen. This supports existing models for valence-change based resistive switching in oxides. In addition, we describe parallel linear and nonlinear conduction pathways and suggest that the conductance quantum, G0, is a natural boundary between the high and low resistance states of our devices.

  8. Monitoring of the morphologic reconstruction of deposited ablation products in laser irradiation of silicon

    Directory of Open Access Journals (Sweden)

    Vlasova M.

    2008-01-01

    Full Text Available Using electron microscopy, atomic force microscopy, X-ray microanalysis, and IR spectroscopy, it was established that, in the regime of continuous laser irradiation of silicon at P = 170 W in different gaseous atmospheres with an oxygen impurity, SiOx composite films with a complex morphology form. The main components of ablation products are clusters that form during flight of ablation products and as a result of separation of SiOx-clusters from the zone of the irradiation channel. The roughness and density of the films depend on the heating temperature of the target surface and the type of deposited clusters.

  9. Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride

    International Nuclear Information System (INIS)

    Choi, H.Y.; Wong, H.; Filip, V.; Sen, B.; Kok, C.W.; Chan, M.; Poon, M.C.

    2006-01-01

    It was recently found that the silicon oxynitride prepared by oxidation of silicon-rich silicon nitride (SRN) has several important features. The high nitrogen and extremely low hydrogen content of this material allows it to have a high dielectric constant and a low trap density. The present work investigates in further detail the electrical reliability of this kind of gate dielectric films by studying the charge trapping and interface state generation induced by constant current stressing. Capacitance-voltage (C-V) measurements indicate that for oxidation temperatures of 850 and 950 deg. C, the interface trap generation is minimal because of the high nitrogen content at the interface. At a higher oxidation temperature of 1050 deg. C, a large flatband shift is found for constant current stressing. This observation can be explained by the significant reduction of the nitrogen content and the phase separation effect at this temperature as found by X-ray photoelectron spectroscopy study. In addition to the high nitrogen content, the Si atoms at the interface exist in the form of random bonding to oxygen and nitrogen atoms for samples oxidized at 850 and 950 deg. C. This structure reduces the interface bonding constraint and results in the low interface trap density. For heavily oxidized samples the trace amount of interface nitrogen atoms exist in the form of a highly constraint SiN 4 phase and the interface oxynitride layer is a random mixture of SiO 4 and SiN 4 phases, which consequently reduces the reliability against high energy electron stressing

  10. The influence of oxidation properties on the electron emission characteristics of porous silicon

    International Nuclear Information System (INIS)

    He, Li; Zhang, Xiaoning; Wang, Wenjiang; Wei, Haicheng

    2016-01-01

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm"2 and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  11. The influence of oxidation properties on the electron emission characteristics of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    He, Li [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Zhang, Xiaoning, E-mail: znn@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Wenjiang [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wei, Haicheng [School of Electrical and Information Engineering, Beifang University of Nationalities, Yinchuan750021 (China)

    2016-09-30

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm{sup 2} and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  12. Method for forming indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1984-03-13

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  13. Electrochemical impedance spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mula, Guido, E-mail: guido.mula@unica.it [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Tiddia, Maria V. [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Ruffilli, Roberta [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Falqui, Andrea [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Palmas, Simonetta; Mascia, Michele [Dipartimento di Ingegneria Meccanica Chimica e dei Materiali, Università degli Studi di Cagliari, Piazza d' Armi, 09126 Cagliari (Italy)

    2014-04-01

    We present a study of the electrochemical oxidation process of porous silicon. We analyze the effect of the layer thickness (1.25–22 μm) and of the applied current density (1.1–11.1 mA/cm{sup 2}, values calculated with reference to the external samples surface) on the oxidation process by comparing the galvanostatic electrochemical impedance spectroscopy (EIS) measurements and the optical specular reflectivity of the samples. The results of EIS were interpreted using an equivalent circuit to separate the contribution of different sample parts. A different behavior of the electrochemical oxidation process has been found for thin and thick samples: whereas for thin samples the oxidation process is univocally related to current density and thickness, for thicker samples this is no more true. Measurements by Energy Dispersive Spectroscopy using a Scanning Electron Microscopy confirmed that the inhomogeneity of the electrochemical oxidation process is increased by higher thicknesses and higher currents. A possible explanation is proposed to justify the different behavior of thin and thick samples during the electrochemical process. - Highlights: • A multidisciplinary approach on porous Si electrochemical oxidation is proposed. • Electrochemical, optical, and structural characterizations are used. • Layer thickness and oxidation current effects are shown. • An explanation of the observed behavior is proposed.

  14. The oxidation of titanium nitride- and silicon nitride-coated stainless steel in carbon dioxide environments

    International Nuclear Information System (INIS)

    Mitchell, D.R.G.; Stott, F.H.

    1992-01-01

    A study has been undertaken into the effects of thin titanium nitride and silicon nitride coatings, deposited by physical vapour deposition and chemical vapour deposition processes, on the oxidation resistance of 321 stainless steel in a simulated advanced gas-cooled reactor carbon dioxide environment for long periods at 550 o C and 700 o C under thermal-cycling conditions. The uncoated steel contains sufficient chromium to develop a slow-growing chromium-rich oxide layer at these temperatures, particularly if the surfaces have been machine-abraded. Failure of this layer in service allows formation of less protective iron oxide-rich scales. The presence of a thin (3-4 μm) titanium nitride coating is not very effective in increasing the oxidation resistance since the ensuing titanium oxide scale is not a good barrier to diffusion. Even at 550 o C, iron oxide-rich nodules are able to develop following relatively rapid oxidation and breakdown of the coating. At 700 o C, the coated specimens oxidize at relatively similar rates to the uncoated steel. A thin silicon nitride coating gives improved oxidation resistance, with both the coating and its slow-growing oxide being relatively electrically insulating. The particular silicon nitride coating studied here was susceptible to spallation on thermal cycling, due to an inherently weak coating/substrate interface. (Author)

  15. Direct comparison of the electrical properties in metal/oxide/nitride/oxide/silicon and metal/aluminum oxide/nitride/oxide/silicon capacitors with equivalent oxide thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    An, Ho-Myoung; Seo, Yu Jeong; Kim, Hee Dong; Kim, Kyoung Chan; Kim, Jong-Guk [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Cho, Won-Ju; Koh, Jung-Hyuk [Department of Electronic Materials Engineering, Kwangwoon University, Seoul 139-701 (Korea, Republic of); Sung, Yun Mo [Department of Materials and Science Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Kim, Tae Geun, E-mail: tgkim1@korea.ac.k [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of)

    2009-07-31

    We examine the electrical properties of metal/oxide/nitride/oxide/silicon (MONOS) capacitors with two different blocking oxides, SiO{sub 2} and Al{sub 2}O{sub 3}, under the influence of the same electric field. The thickness of the Al{sub 2}O{sub 3} layer is set to 150 A, which is electrically equivalent to a thickness of the SiO{sub 2} layer of 65 A, in the MONOS structure for this purpose. The capacitor with the Al{sub 2}O{sub 3} blocking layer shows a larger capacitance-voltage memory window of 8.6 V, lower program voltage of 7 V, faster program/erase speeds of 10 ms/1 {mu}s, lower leakage current of 100 pA and longer data retention than the one with the SiO{sub 2} blocking layer does. These improvements are attributed to the suppression of the carrier transport to the gate electrode afforded by the use of an Al{sub 2}O{sub 3} blocking layer physically thicker than the SiO{sub 2} one, as well as the effective charge-trapping by Al{sub 2}O{sub 3} at the deep energy levels in the nitride layer.

  16. Distribution of impurity elements in slag-silicon equilibria for oxidative refining of metallurgical silicon for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, M.D.; Barati, M. [Department of Materials Science and Engineering, The University of Toronto, 184 College Street, Toronto, Ont. (Canada)

    2010-12-15

    The possibility of refining metallurgical grade silicon to a high-purity product for solar cell applications by the slagging of impurity elements was investigated. Distribution coefficients were determined for B, Ca, Mg, Fe, K and P between magnesia or alumina saturated Al{sub 2}O{sub 3}-CaO-MgO-SiO{sub 2} and Al{sub 2}O{sub 3}-BaO-SiO{sub 2} slags and silicon at 1500 C. The partitioning of the impurity elements between molten silicon and slag was examined in terms of basicity and oxygen potential of the slag, with particular focus on the behaviour of boron and phosphorus. The experimental results showed that both of these aspects of slag chemistry have a significant influence on the distribution coefficient of B and P. Increasing the oxygen potential by additions of silica was found to increase the distribution coefficients for both B and P. Increasing the basicity of the slag was not always effective in achieving high removal of these elements from silicon as excess amounts of basic oxides lower the activity of silica and consequently the oxygen potential. The extent of this effect is such that increasing basicity can lead to a decrease in distribution coefficient. Increasing lime in the slag increased distribution coefficients for B and P, but this counterbalancing effect was such that distributions were the lowest in barium-containing slags, despite barium oxide being the most basic of the fluxes used in this study. The highest removal efficiencies achieved were of the order of 80% and 90% for B and P, respectively. It was demonstrated that for the removal of B and P from metallurgical-grade silicon to solar-grade levels, a slag mass about 5 times the mass of silicon would be required. (author)

  17. Role of masking oxide on silicon in processes of defect generation at formation of SIMOX structures

    CERN Document Server

    Askinazi, A Y; Miloglyadova, L V

    2002-01-01

    One investigated into Si-SiO sub 2 structures formed by implantation of oxygen ions into silicon (SIMOX-technology) by means of techniques based on measuring of high-frequency volt-farad characteristics and by means of electroluminescence. One determined existence of electrically active centres and of luminescence centres in the formed oxide layer near boundary with silicon. One clarified the role SiO sub 2 masking layer in silicon in defect generation under formation of the masked oxide layer. One established dependence of concentration of electrically active and luminescence centres on thickness of masking layer

  18. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    Science.gov (United States)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  19. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    Science.gov (United States)

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  20. Optimizing The Organic/Inorganic Barrier Structure For Flexible Plastic Substrate Encapsulation

    Directory of Open Access Journals (Sweden)

    Yi-Chiuan Lin

    2012-07-01

    Full Text Available A multilayered barrier structure stacked with organosilicon and silicon oxide (SiOx films consecutively prepared using plasma-enhanced chemical vapor deposition (PECVD was developed to encapsulate flexible plastic substrate. The evolution on the residual internal stress, structural quality of the organosilicon/SiOx multilayered structure as well as its adhesion to the substrate were found to correlate closely with the thickness of the inset organosilicon layer. Due to the significant discrepancy in the thermal expansion coefficient between the substrate and SiOx film, the thickness of the organosilicon layer deposited onto the substrate and SiOx film thus was crucial to optimize the barrier property of the organosilicon/SiOx structure. The organosilicon/SiOx barrier structure possessed a lowest residual compressive stress and quality adhesion to the substrate was achieved from engineering the organosilicon layer thickness in the multilayered structure. The relaxation of the residual internal stress in the barrier structure led to a dense SiOx film as a consequence of the enhancement in the Si-O-Si networks and thereby resulted in the reduction of the water vapor permeation. Accordingly, a water vapor transmission rate (WVTR below 1 × 10-2 g/m2 /day being potential for the application on the flexible optoelectronic device packaging was achievable from the 3-pairs organosilicon/SiOx multilayered structure deposited onto the polyethylene terephthalate (PET substrate.

  1. Lithium-storage Properties of Gallic Acid-Reduced Graphene Oxide and Silicon-Graphene Composites

    International Nuclear Information System (INIS)

    Xu, Binghui; Zhang, Jintao; Gu, Yi; Zhang, Zhi; Al Abdulla, Wael; Kumar, Nanjundan Ashok; Zhao, X.S.

    2016-01-01

    Graphene oxide (GO) was de-oxygenated using gallic acid under mild conditions to prepare reduced graphene oxide (RGO). The resultant RGO showed a lithium-ion storage capacity of 1280 mA h g −1 at a current density of 200 mA g −1 after 350 cycles when used as an anode for lithium ion batteries. The RGO was further used to stabilize silicon (Si) nanoparticles to prepare silicon-graphene composite electrode materials. Experimental results showed that a composite electrode prepared with a mass ratio of Si:GO = 1:2 exhibited the best lithium ion storage performance.

  2. The effect of oxidation on physical properties of porous silicon layers for optical applications

    Energy Technology Data Exchange (ETDEWEB)

    Pirasteh, Parasteh [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Charrier, Joel [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France)]. E-mail: joel.charrier@univ-rennes1.fr; Soltani, Ali [Institut d' Electronique, de Microemectronique et de Nanotechnologie, CNRS-UMR 8520, Cite Scientifique Avenue Poincare, BP 69, 59652 Villeneuve d' Ascq Cedex (France); Haesaert, Severine [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Haji, Lazhar [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Godon, Christine [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France); Errien, Nicolas [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France)

    2006-12-15

    In order to understand the optical loss mechanisms in porous silicon based waveguides, structural and optical studies have been performed. Scanning and transmission electron microscopic observations of porous silicon layers are obtained before and after an oxidation process at high temperature in wet O{sub 2}. Pore size and shape of heavily p-type doped Si wafers are estimated and correlated to the optical properties of the material before and after oxidation. The refractive index was measured and compared to that determined by the Bruggeman model.

  3. A model for the formation of lattice defects at silicon oxide precipitates in silicon

    International Nuclear Information System (INIS)

    Vanhellemont, J.; Gryse, O. de; Clauws, P.

    2003-01-01

    The critical size of silicon oxide precipitates and the formation of lattice defects by the precipitates are discussed. An expression is derived allowing estimation of self-interstitial emission by spherical precipitates as well as strain build-up during precipitate growth. The predictions are compared with published experimental data. A model for stacking fault nucleation at oxide precipitates is developed based on strain and self-interstitial accumulation during the thermal history of the wafer. During a low-temperature treatment high levels of strain develop. During subsequent high-temperature treatment, excess strain energy in the precipitate is released by self-interstitial emission leading to favourable conditions for stacking fault nucleation

  4. The effects of trichloroethane HCl and ion-implantation on the oxidation rate of silicon

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1994-01-01

    The thermal oxidation of silicon was studied using a large-scale industrial oxidation system. The characteristics of the oxides resulting from pure hydrogen/oxygen (Hsub(2)/Osub(2)), trichloroethane/oxygen (TCA/Osub(2) and hydrogen chloride/oxygen (HCI/Osub(2)) mixtures are compared. Both HCI and TCA addition to oxygen produced an enhanced oxidation rate. The oxidation rate for TCA/Osub(2) was approximately 30-40% higher than for HCI/Osub(2) mixtures. A molar ratio of TCA/Osub(2) of 1% gives an optimum process for very-large-scale industrial (VLSI) applications. However, 3% HCI/Osub(2) gives comparable results to 1% TCA. In addition, boron and phosphorus implantation are observed to increase the oxidation rate. Phosphorus doping of the silicon yields a higher rate than boron-doped wafers. This behaviour is explained in terms of surface damage and chemistry. It appears that the overall mechanisms governing all these processes are similar. (8 figures, 22 references) (Author)

  5. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    Science.gov (United States)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  6. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  7. Effect of yttrium on the oxide scale adherence of pre-oxidized silicon-containing heat-resistant alloy

    International Nuclear Information System (INIS)

    Yan Jingbo; Gao Yimin; Shen Yudi; Yang Fang; Yi Dawei; Ye Zhaozhong; Liang Long; Du Yingqian

    2011-01-01

    Highlights: → AE experiment shows yttrium has a beneficial effect on the pre-oxidized HP40 alloy. → Yttrium facilitates the formation of internal oxide after 10 h of oxidation. → Internal oxide changes the rupture behaviour of the oxide scale. → Twins form in the internal oxide and improve the binding strength of the scale. - Abstract: This paper investigates the effect of the rare earth element yttrium on the rupture behaviour of the oxide scale on the silicon-containing heat-resistant alloy during cooling. After 10 h of oxidation, yttrium is found to facilitate the formation of internal oxides (silica) at the scale-matrix interface. Due to the twinning observed by scanning transmission electron microscopy (STEM) in silica, the critical strain value for the scale failure can be dramatically improved, and the formation of cracks at the scale-matrix interface is inhibited.

  8. Ellipsometry measurements of thickness of oxide and water layers on spherical and flat silicon surfaces

    International Nuclear Information System (INIS)

    Kenny, M.J.; Netterfield, R.; Wielunski, L.S.

    1998-01-01

    Full text: Ellipsometry has been used to measure the thickness of oxide layers on single crystal silicon surfaces, both flat and spherical and also to measure the extent of adsorption of moisture on the surface as a function of partial water vapour pressure. The measurements form part of an international collaborative project to make a precise determination of the Avogadro constant (ΔN A /N A -8 ) which will then be used to obtain an absolute definition of the kilogram, rather than one in terms of an artefact. Typically the native oxide layer on a cleaned silicon wafer is about 2 nm thick. On a polished sphere this oxide layer is typically 8 to 10 nm thick, the increased thickness being attributed to parameters related to the polishing process. Ellipsometry measurements on an 89 mm diameter polished silicon sphere at both VUW and CSIRO indicated a SiO 2 layer at 7 to 10 nm thick. It was observed that this thickness varied regularly. The crystal orientation of the sphere was determined using electron patterns generated from an electron microscope and the oxide layer was then measured through 180 arcs of great circles along (110) and (100) planes. It was observed that the thickness varied systematically with orientation. The minimum thickness was 7.4 nm at the axis (softest direction in silicon) and the greatest thickness was 9.5 nm at the axis (hardest direction in silicon). This is similar to an orientation dependent cubic pattern which has been observed to be superimposed on polished silicon spheres. At VUW, the sphere was placed in an evacuated bell jar and the ellipsometry signal was observed as the water vapour pressure was progressively increased up to saturation. The amount of water vapour adsorbed at saturation was one or two monolayers, indicating that the sphere does not wet

  9. Self-cleaning glass coating containing titanium oxide and silicon

    International Nuclear Information System (INIS)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P.

    2009-01-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  10. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    OpenAIRE

    Lee, Youngseok; Oh, Woongkyo; Dao, Vinh Ai; Hussain, Shahzada Qamar; Yi, Junsin

    2012-01-01

    It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT) solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO) process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0) which improves the efficie...

  11. Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

    NARCIS (Netherlands)

    Doeswijk, L.M.; de Moor, Hugo H.C.; Rogalla, Horst; Blank, David H.A.

    2002-01-01

    Since most commercially available solar cells are still made from silicon, we are exploring the introduction of passivating qualities in oxides, with the potential to serve as an antireflection coating. Pulsed laser deposition (PLD) was used to deposit TiO2 and SrTiO3 coatings on silicon substrates.

  12. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  13. Recovery of indium-tin-oxide/silicon heterojunction solar cells by thermal annealing

    OpenAIRE

    Morales Vilches, Ana Belén; Voz Sánchez, Cristóbal; Colina Brito, Mónica Alejandra; López Rodríguez, Gema; Martín García, Isidro; Ortega Villasclaras, Pablo Rafael; Orpella García, Alberto; Alcubilla González, Ramón

    2014-01-01

    The emitter of silicon heterojunction solar cells consists of very thin hydrogenated amorphous silicon layers deposited at low temperature. The high sheet resistance of this type of emitter requires a transparent conductive oxide layer, which also acts as an effective antireflection coating. The deposition of this front electrode, typically by Sputtering, involves a relatively high energy ion bombardment at the surface that could degrade the emitter quality. The work function of the tra...

  14. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  15. Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application

    Science.gov (United States)

    Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar

    2018-05-01

    Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.

  16. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie

    2018-02-21

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient hole-selective front contact stack for crystalline silicon solar cells. However, hole extraction from the Si wafer and transport through this stack degrades upon annealing at 190 °C, which is needed to cure the screen-printed Ag metallization applied to typical Si solar cells. Here, we show that effusion of hydrogen from the adjacent layers is a likely cause for this degradation, highlighting the need for hydrogen-lean passivation layers when using such metal-oxide-based carrier-selective contacts. Pre-MoOX-deposition annealing of the passivating a-Si:H layer is shown to be a straightforward approach to manufacturing MoOX-based devices with high fill factors using screen-printed metallization cured at 190 °C.

  17. Thermal processing and native oxidation of silicon nanoparticles

    International Nuclear Information System (INIS)

    Winters, Brandon J.; Holm, Jason; Roberts, Jeffrey T.

    2011-01-01

    In this study, Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and electron energy loss spectroscopy (EELS) were used to investigate in-air oxidation of silicon nanoparticles ca. 11 nm in diameter. Particle samples were prepared first by extracting them from an RF plasma synthesis reactor, and then heating them in an inert carrier gas stream. The resulting particles had varying surface hydrogen coverages and relative amounts of SiH x (x = 1, 2, and 3), depending on the temperature to which they had been heated. The particles were allowed to oxidize in-air for several weeks. FTIR, XPS, and EELS analyses that were performed during this period clearly establish that adsorbed hydrogen retards oxidation, although in complex ways. In particular, particles that have been heated to intermediate hydrogen coverages oxidize more slowly in air than do freshly generated particles that have a much higher hydrogen content. In addition, the loss of surface hydride species at high processing temperatures results in fast initial oxidation and the formation of a self-limiting oxide layer. Analogous measurements made on deuterium-covered particles show broadly similar behavior; i.e., that oxidation is the slowest at some intermediate coverage of adsorbed deuterium.

  18. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  19. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    Science.gov (United States)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  20. Optimization of oxidation processes to improve crystalline silicon solar cell emitters

    Directory of Open Access Journals (Sweden)

    L. Shen

    2014-02-01

    Full Text Available Control of the oxidation process is one key issue in producing high-quality emitters for crystalline silicon solar cells. In this paper, the oxidation parameters of pre-oxidation time, oxygen concentration during pre-oxidation and pre-deposition and drive-in time were optimized by using orthogonal experiments. By analyzing experimental measurements of short-circuit current, open circuit voltage, series resistance and solar cell efficiency in solar cells with different sheet resistances which were produced by using different diffusion processes, we inferred that an emitter with a sheet resistance of approximately 70 Ω/□ performed best under the existing standard solar cell process. Further investigations were conducted on emitters with sheet resistances of approximately 70 Ω/□ that were obtained from different preparation processes. The results indicate that emitters with surface phosphorus concentrations between 4.96 × 1020 cm−3 and 7.78 × 1020 cm−3 and with junction depths between 0.46 μm and 0.55 μm possessed the best quality. With no extra processing, the final preparation of the crystalline silicon solar cell efficiency can reach 18.41%, which is an increase of 0.4%abs compared to conventional emitters with 50 Ω/□ sheet resistance.

  1. Graded index and randomly oriented core-shell silicon nanowires for broadband and wide angle antireflection

    Directory of Open Access Journals (Sweden)

    P. Pignalosa

    2011-09-01

    Full Text Available Antireflection with broadband and wide angle properties is important for a wide range of applications on photovoltaic cells and display. The SiOx shell layer provides a natural antireflection from air to the Si core absorption layer. In this work, we have demonstrated the random core-shell silicon nanowires with both broadband (from 400nm to 900nm and wide angle (from normal incidence to 60º antireflection characteristics within AM1.5 solar spectrum. The graded index structure from the randomly oriented core-shell (Air/SiOx/Si nanowires may provide a potential avenue to realize a broadband and wide angle antireflection layer.

  2. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Riahi, R., E-mail: riahirim01@gmail.com [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Faculty of Sciences Tunis–El Manar University (Tunisia); Derbali, L. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Ouertani, B. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Environment Science and Technology of Borj-Cedria (Tunisia); Ezzaouia, H. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2017-05-15

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  3. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    International Nuclear Information System (INIS)

    Riahi, R.; Derbali, L.; Ouertani, B.; Ezzaouia, H.

    2017-01-01

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  4. Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation.

    Science.gov (United States)

    Chen, Charlton J; Zheng, Jiangjun; Gu, Tingyi; McMillan, James F; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee; Wong, Chee Wei

    2011-06-20

    We examine the cavity resonance tuning of high-Q silicon photonic crystal heterostructures by localized laser-assisted thermal oxidation using a 532 nm continuous wave laser focused to a 2.5 μm radius spot-size. The total shift is consistent with the parabolic rate law. A tuning range of up to 8.7 nm is achieved with ∼ 30 mW laser powers. Over this tuning range, the cavity Qs decreases from 3.2×10(5) to 1.2×10(5). Numerical simulations model the temperature distributions in the silicon photonic crystal membrane and the cavity resonance shift from oxidation.

  5. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  6. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Science.gov (United States)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  7. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hana; Park, Soojin, E-mail: spark@unist.ac.kr [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology, Banyeon-ri 100, Ulsan 689-798 (Korea, Republic of)

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  8. Characterization of silicon-oxide interfaces and organic monolayers by IR-UV ellipsometry and FTIR spectroscopy

    Science.gov (United States)

    Hess, P.; Patzner, P.; Osipov, A. V.; Hu, Z. G.; Lingenfelser, D.; Prunici, P.; Schmohl, A.

    2006-08-01

    VUV-laser-induced oxidation of Si(111)-(1×1):H, Si(100):H, and a-Si:H at 157 nm (F II laser) in pure O II and pure H IIO atmospheres was studied between 30°C and 250°C. The oxidation process was monitored in real time by spectroscopic ellipsometry (NIR-UV) and FTIR spectroscopy. The ellipsometric measurements could be simulated with a three-layer model, providing detailed information on the variation of the suboxide interface with the nature of the silicon substrate surface. Besides the silicon-dioxide and suboxide layer, a dense, disordered, roughly monolayer thick silicon layer was included, as found previously by molecular dynamics calculations. The deviations from the classical Deal-Grove mechanism and the self-limited growth of the ultrathin dioxide layers (TMS) groups and n-alkylthiol monolayers on gold-coated silicon. The C-H stretching vibrations of the methylene and methyl groups could be identified by FTIR spectroscopy and IR ellipsometry.

  9. High-stability transparent amorphous oxide TFT with a silicon-doped back-channel layer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung-Rae; Park, Jea-Gun [Hanyang University, Seoul (Korea, Republic of)

    2014-10-15

    We significantly reduced various electrical instabilities of amorphous indium gallium zinc oxide thin-film transistors (TFTs) by using the co-deposition of silicon on an a-IGZO back channel. This process showed improved stability of the threshold voltage (V{sub th}) under high temperature and humidity and negative gate-bias illumination stress (NBIS) without any reduction of IDS. The enhanced stability was achieved with silicon, which has higher metal-oxide bonding strengths than gallium does. Additionally, SiO{sub x} distributed on the a-IGZO surface reduced the adsorption and the desorption of H{sub 2}O and O{sub 2}. This process is applicable to the TFT manufacturing process with a variable sputtering target.

  10. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon

    International Nuclear Information System (INIS)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-01-01

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors

  11. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon.

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-07-21

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors.

  12. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    Science.gov (United States)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  13. The effect of thermal oxidation on the luminescence properties of nanostructured silicon.

    Science.gov (United States)

    Liu, Lijia; Sham, Tsun-Kong

    2012-08-06

    Herein is reported a detailed study of the luminescence properties of nanostructured Si using X-ray excited optical luminescence (XEOL) in combination with X-ray absorption near-edge structures (XANES). P-type Si nanowires synthesized via electroless chemical etching from Si wafers of different doping levels and porous Si synthesized using electrochemical method are examined under X-ray excitation across the Si K-, L(3,2) -, and O K-edges. It is found that while as-prepared Si nanostructures are weak light emitters, intense visible luminescence is observed from thermally oxidized Si nanowires and porous Si. The luminescence mechanism of Si upon oxidation is investigated by oxidizing nanostructured Si at different temperatures. Interestingly, the two luminescence bands observed show different response with the variation of absorption coefficient upon Si and O core-electron excitation in elemental silicon and silicon oxide. A correlation between luminescence properties and electronic structures is thus established. The implications of the finding are discussed in terms of the behavior of the oxygen deficient center (OCD) and non-bridging oxygen hole center (NBOHC). Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Study of temperature-dependent charge conduction in silicon-nanocrystal/SiO_2 multilayers

    International Nuclear Information System (INIS)

    Mavilla, Narasimha Rao; Chavan, Vinayak; Solanki, Chetan Singh; Vasi, Juzer

    2016-01-01

    Silicon-nanocrystals (Si-NCs) realized by SiO_x _ 8 MV/cm; independent of temperature), while for lower electric fields (5–8 MV/cm) at higher temperatures, the trap-related Generalized Poole–Frenkel (GPF) is dominant. This signified the role of traps in modifying the conduction in bulk ICPCVD SiO_2 films. We then present the conduction in ML samples. For multilayer samples with SiO_2 sublayer thickness of 1.5 nm and 2.5 nm, Direct Tunneling (DT) is observed to be dominant, while for SiO_2 sublayer thickness of 3.5 nm, Space Charge Limited Conduction (SCLC) with exponential trap distribution is found to be the dominant conduction mechanism. This signifies the role of traps in modifying the conduction in Si-NC multilayer samples and SiO_2 sublayer thickness dependence. - Highlights: • Electrical conduction in SiO_2 film & Si-nanocrystal layers (Si-NCs) is reported. • SiO_2/SiO_x multilayer based Si-NCs were realized by Inductively Coupled plasma CVD. • For SiO_2 film, Fowler–Nordheim tunneling & Generalized Poole–Frenkel are observed. • For Si-NCs with thin SiO_2 sublayers (< 2.5 nm) Direct Tunneling is dominant. • For Si-NCs with 3.5 nm SiO_2 sublayers Space Charge Limited Conduction is dominant.

  15. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  16. A photoemission study of the effectiveness of nickel, manganese, and cobalt based corrosion barriers for silicon photo-anodes during water oxidation

    Energy Technology Data Exchange (ETDEWEB)

    O' Connor, Robert; Bogan, Justin; McCoy, Anthony; Byrne, Conor; Hughes, Greg [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2016-05-21

    Silicon is an attractive material for solar water splitting applications due to its abundance and its capacity to absorb a large fraction of incident solar radiation. However, it has not received as much attention as other materials due to its tendency to oxidize very quickly in aqueous environments, particularly when it is employed as the anode where it drives the oxygen evolution reaction. In recent years, several works have appeared in the literature examining the suitability of thin transition metal oxide films grown on top of the silicon to act as a corrosion barrier. The film should be transparent to solar radiation, allow hole transport from the silicon surface to the electrolyte, and stop the diffusion of oxygen from the electrolyte back to the silicon. In this work, we compare Mn-oxide, Co-oxide, and Ni-oxide thin films grown using physical vapor deposition in order to evaluate which material offers the best combination of photocurrent and corrosion protection. In addition to the electrochemical data, we also present a detailed before-and-after study of the surface chemistry of the films using x-ray photoelectron spectroscopy. This approach allows for a comprehensive analysis of the mechanisms by which the corrosion barriers protect the underlying silicon, and how they degrade during the water oxidation reaction.

  17. Study of oxide facing at silicone detectors of ionization detectors

    International Nuclear Information System (INIS)

    Kopestansky, J.; Tykva, R.

    1999-01-01

    Formation of oxide facing on silicone in discrete phases of technological preparation of detectors and interaction of gold (aluminium) steamed with SiO x layer were studied. The homogeneity of Au and Si) x layers and interface Au-SiO x and SiO x -Si were examined. The methods SIMS, and partially XPS, AES and RBS were used

  18. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    Science.gov (United States)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  19. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  20. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  1. Gelatin Template Synthesis of Aluminum Oxide and/or Silicon Oxide Containing Micro/Mesopores Using the Proteic Sol-Gel Method

    Directory of Open Access Journals (Sweden)

    Amanda Sayure Kasuya de Oliveira

    2017-01-01

    Full Text Available Aluminum oxide and/or silicon oxide-based supports were synthesized by proteic sol-gel method. The characterization was performed through the analysis of TG, XRD, FTIR, SEM, and N2 physisorption. The XRD diffractograms showed an amorphous material profile. TG results indicate the total liberation of the organic and inorganic material in the calcination temperature used, occurring in different mass loss range. This piece of information was reaffirmed by the FTIR spectra, which presented characteristic bands of gelatin structure before calcinations which disappear in the spectrum of the solid after calcinations, indicating the loss of organic matter from gelatin after heat treatment. The spectra exhibited M-O stretching vibration at low wavenumbers after calcinations related to metal oxides. The acquired images by SEM suggest the obtaining of a highly porous material with very different characteristics depending on the composition of the support. The N2 isotherms indicate the presence of a micro/mesoporous oxide with interesting textural properties, particularly for the supports containing aluminum and silicon oxide. The ethanol dehydration results showed greater selectivity to diethyl ether compared to ethylene. From the reaction data, the following order of acid strength was obtained: 2Si-Al > Si-2Al > Si-Al > Al, which is related to the Si-Al ratio.

  2. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  3. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  4. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  5. Operando formation of an ultra-low friction boundary film from synthetic magnesium silicon hydroxide additive

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Qiuying; Rudenko, Pavlo; Miller, Dean J.; Wen, Jianguo; Berman, Diana; Zhang, Yuepeng; Arey, Bruce; Zhu, Zihua; Erdemir, Ali

    2017-06-01

    The paper reports the operando and self-healing formation of DLC films at sliding contact surfaces by the addition of synthetic magnesium silicon hydroxide (MSH) nanoparticles to base oil. The formation of such films leads to a reduction of the coefficient of friction by nearly an order of magnitude and substantially reduces wear losses. The ultralow friction layer characterized by transmission electron microscope (TEM), electron energy loss spectroscopy (EELS), and Raman spectroscopy consists of amorphous DLC containing SiOx that forms in a continuous and self-repairing manner during operation. This environmentally benign and simple approach offers promise for significant advances in lubrication and reduced energy losses in engines and other mechanical systems.

  6. Anchoring of alkyl chain molecules on oxide surface using silicon alkoxide

    Energy Technology Data Exchange (ETDEWEB)

    Narita, Ayumi, E-mail: narita.ayumi@jaea.go.jp [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan); Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao; Hirao, Norie [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Yaita, Tsuyoshi [Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki-ken 319-1195 (Japan); Graduate School of Science and Engineering, Ibaraki University, Bunnkyo, Mito-shi, Ibaraki-ken 310-8512 (Japan)

    2012-01-01

    Chemical states of the interfaces between octadecyl-triethoxy-silane (ODTS) molecules and sapphire surface were measured by X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure (NEXAFS) using synchrotron soft X-rays. The nearly self-assembled monolayer of ODTS was formed on the sapphire surface. For XPS and NEXAFS measurements, it was elucidated that the chemical bond between silicon alkoxide in ODTS and the surface was formed, and the alkane chain of ODTS locates upper side on the surface. As a result, it was elucidated that the silicon alkoxide is a good anchor for the immobilization of organic molecules on oxides.

  7. Influence of silicon on hot-dip aluminizing process and subsequent oxidation for preparing hydrogen/tritium permeation barrier

    Energy Technology Data Exchange (ETDEWEB)

    Han, Shilei; Li, Hualing; Wang, Shumao; Jiang, Lijun; Liu, Xiaopeng [Energy Materials and Technology Research Institute, General Research Institute for Nonferrous Metals, Beijing 100088 (China)

    2010-04-15

    The development of the International Thermonuclear Experimental Reactor (ITER) requires the production of a material capable of acting as a hydrogen/tritium permeation barrier on low activation steel. It is well known that thin alumina layer can reduce the hydrogen permeation rate by several orders of magnitude. A technology is introduced here to form a ductile Fe/Al intermetallic layer on the steel with an alumina over-layer. This technology, consisting of two main steps, hot-dip aluminizing (HDA) and subsequent oxidation behavior, seems to be a promising coating method to fulfill the required goals. According to the experiments that have been done in pure Al, the coatings were inhomogeneous and too thick. Additionally, a large number of cracks and porous band could be observed. In order to solve these problems, the element silicon was added to the aluminum melt with a nominal composition. The influence of silicon on the aluminizing and following oxidation process was investigated. With the addition of silicon into the aluminum melt, the coating became thinner and more homogeneous. The effort of the silicon on the oxidation behavior was observed as well concerning the suppression of porous band and cracks. (author)

  8. Heterojunction Solar Cells Based on Silicon and Composite Films of Graphene Oxide and Carbon Nanotubes.

    Science.gov (United States)

    Yu, LePing; Tune, Daniel; Shearer, Cameron; Shapter, Joseph

    2015-09-07

    Graphene oxide (GO) sheets have been used as the surfactant to disperse single-walled carbon nanotubes (CNT) in water to prepare GO/CNT electrodes that are applied to silicon to form a heterojunction that can be used in solar cells. GO/CNT films with different ratios of the two components and with various thicknesses have been used as semitransparent electrodes, and the influence of both factors on the performance of the solar cell has been studied. The degradation rate of the GO/CNT-silicon devices under ambient conditions has also been explored. The influence of the film thickness on the device performance is related to the interplay of two competing factors, namely, sheet resistance and transmittance. CNTs help to improve the conductivity of the GO/CNT film, and GO is able to protect the silicon from oxidation in the atmosphere. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. The kinetics and properties of thermal oxidation of silicon in TCA-O/sub 2/

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1993-01-01

    The oxidation of silicon using dry O/sub 2/ is now well established as a key process for the fabrication of electronic devices in the semiconductor industry. However, this process is complicated by its sensitivity to impurities which reduce device yields. HCl can be added to O/sub 2/ to remove these impurities but due to its highly corrosive nature a safer and cleaner alternative such as trichloroethane (TCA) is desirable. In this paper, the thermal oxidation of silicon using a mixture of TCA-O/sub 2/ has been investigated in a large scale industrial system. The growth kinetics and the properties of these films have been studies and compared to oxides produced from dry 2. The addition of TCA generates HCl in situ, enhances the oxidation rate by approximately 54% nd improves the electrical properties. It was found that a 1 mol.% mixture gives the optimum process. An analysis of the data suggests that a liner parabolic growth model is applicable and provides a valuable insight into the physical phenomena governing this important process. (author)

  10. High resolution medium energy ion scattering study of silicon oxidation and oxy nitridation

    International Nuclear Information System (INIS)

    Gusev, E.P.; Lu, H.C.; Garfunkel, E.; Gustafsson, T.

    1998-01-01

    Full text: Silicon oxide is likely to remain the material of choice for gate oxides in microelectronics for the foreseeable future. As device become ever smaller and faster, the thickness of these layers in commercial products is predicted to be less than 50 Angstroms in just a few years. An understanding of such devices will therefore likely to be based on microscopic concepts and should now be investigated by atomistic techniques. With medium energy ion scattering (MEIS) using an electrostatic energy analyzer, depth profiling of thin (<60 Angstroms) silicon oxide films on Si(100) with 3 - 5 Angstroms depth resolution in the near region has been done. The growth mechanism of thin oxide films on Si(100) has been studied, using sequential oxygen isotope exposures. It is found that the oxide films are stoichiometric to within approx. 10 Angstroms of the interface. It is also found that the oxidation reactions occur at the surface, in the transition region and at interface, with only the third region being included in the conventional (Deal-Grove) model for oxide formation. Nitrogen is sometimes added to gate oxides, as it has been found empirically that his improves some of the electrical properties. The role, location and even the amount of nitrogen that exists in such films are poorly understood, and represent interesting analytical challenges. MEIS data will be presented that address these questions, measured for a number of different processing conditions. We have recently demonstrated how to perform nitrogen nano-engineering in such ultrathin gate dielectrics, and these results will also be discussed

  11. New transport phenomena probed by dielectric spectroscopy of oxidized and non-oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Urbach, B.; Axelrod, E.; Sa' ar, A. [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, the Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2007-05-15

    Dielectric spectroscopy accompanied by infrared (IR) and photoluminescence (PL) spectroscopy have been utilized to reveal the correlation between transport, optical and structural properties of oxidized porous silicon (PS). Three relaxation processes at low-, mid- and high-temperatures were observed, including dc-conductivity at high-temperatures. Both the low-T relaxation and the dc conductivity were found to be thermally activated processes that involve tunneling and hopping in between the nanocrystals in oxidized PS. We have found that the dc-conductivity is limited by geometrical constrictions along the transport channels, which are not effected by the oxidation process and are characterized by activation energies of about {proportional_to}0.85 eV. The low-T relaxation process involves thermal activation followed by tunneling in between neighbor nanocrystals, with somewhat lower activation energies. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Formation of silicon Oxide nano thickness on Si (III) with the assistance of Cs

    International Nuclear Information System (INIS)

    Bahari, A.; Bagheri, M.

    2006-01-01

    : The possibility of controlling the growth of a uniform ultra thin oxide on silicon via oxygen dosing at low temperatures, would be a great interest for the projected further development of nano electronics. One way to achieve this is to be able to control the conversion of chemically adsorbed oxygen and retained at room temperature into oxide during subsequent heating. Oxygen is chemisorbed at room temperature on Si(111) surface to saturation ( >100 L O 2 ), and the experimental chamber is then evacuated. This leaves adsorbed oxygen as atomically inserted on Si surface which sits on the back bonds. This surface is then used as a base for further processing which in one case consists of annealing to 600- 700 d eg C and subsequent exposures equivalent to the first step. This is repeated again. As the focus of this work, a series of experiments are done with adsorbed Cs, which assists in retaining oxygen and in transforming the adsorbed oxygen into oxide upon heating. It was found that the oxide formed on the surface at low coverage clusters. Without any external influence, the clusters may be made to coalesce upon further oxygen adsorption at room temperature, and annealing terminates as a continuous monolayer of amorphous oxide on top of a well-ordered silicon substrate. This configuration is inert to further uptake of oxygen. A higher oxide thickness could be obtained with Cs. Also in this case, the oxide growth saturates in an inert oxide Iayer

  13. Metal/silicon Interfaces and Their Oxidation Behavior - Photoemission Spectroscopy Analysis.

    Science.gov (United States)

    Yeh, Jyh-Jye

    Synchrotron radiation photoemission spectroscopy was used to study Ni/Si and Au/Si interface properties on the atomic scale at room temperature, after high temperature annealing and after oxygen exposures. Room temperature studies of metal/Si interfaces provide background for an understanding of the interface structure after elevated temperature annealing. Oxidation studies of Si surfaces covered with metal overlayers yield insight about the effect of metal atoms in the Si oxidation mechanisms and are useful in the identification of subtle differences in bonding relations between atoms at the metal/Si interfaces. Core level and valence band spectra with variable surface sensitivities were used to study the interactions between metal, Si, and oxygen for metal coverages and oxide thickness in the monolayer region. Interface morphology at the initial stage of metal/Si interface formation and after oxidation was modeled on the basis of the evolutions of metal and Si signals at different probing depths in the photoemission experiment. Both Ni/Si and Au/Si interfaces formed at room temperature have a diffusive region at the interface. This is composed of a layer of metal-Si alloy, formed by Si outdiffusion into the metal overlayer, above a layer of interstitial metal atoms in the Si substrate. Different atomic structures of these two regions at Ni/Si interface can account for the two different growth orientations of epitaxial Ni disilicides on the Si(111) surface after thermal annealing. Annealing the Au/Si interface at high temperature depletes all the Au atoms except for one monolayer of Au on the Si(111) surface. These phenomena are attributed to differences in the metal-Si chemical bonding relations associated with specific atomic structures. After oxygen exposures, both the Ni disilicide surface and Au covered Si surfaces (with different coverages and surface orderings) show silicon in higher oxidation states, in comparison to oxidized silicon on a clean surface

  14. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor of Si 3p - O 2p hybridization in the upper valence band. This coincides with a significant increase of the material's resistivity, possibly indicating the breakdown of the conducting crystalline Si network. Silicon oxide layers with a thickness of several hundred nanometres were deposited in a PECVD (plasma-enhanced chemical vapor deposition) multi chamber system

  15. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  16. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  17. Improved the Surface Roughness of Silicon Nanophotonic Devices by Thermal Oxidation Method

    Energy Technology Data Exchange (ETDEWEB)

    Shi Zujun; Shao Shiqian; Wang Yi, E-mail: ywangwnlo@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, No. 1037, Luoyu Street, Wuhan 430074 (China)

    2011-02-01

    The transmission loss of the silicon-on-insulator (SOI) waveguide and the coupling loss of the SOI grating are determined to a large extent by the surface roughness. In order to obtain smaller loss, thermal oxidation is a good choice to reduce the surface roughness of the SOI waveguide and grating. Before the thermal oxidation, the root mean square of the surface roughness is over 11 nm. After the thermal oxidation, the SEM figure shows that the bottom of the grating is as smooth as quartz surface, while the AFM shows that the root mean square of the surface is less than 5 nm.

  18. Effect of annealing and oxide layer thickness on doping profiles shape of ''through-oxide'' implanted P+ ions in textured silicon

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; Galloni, R.

    1987-10-01

    Phosphorous ions at energies of 60+100 KeV, and doses (4+5)x10 15 atom/cm 2 have been implanted randomly through SiO 2 layers into textured silicon crystals. The penetration profiles of the P + ions have been determined by means of differential sheet resistivity and Hall-effect, together with the anodic oxidation stripping technique. The effect of the oxide layer thickness, annealing temperature on the junction properties has been studied. The damage produced by implantation, has also been investigated using transmission electron microscope (TEM). From the mobility measurements of the free carriers as a function of depth through the junction, two minima have been observed in through oxide implanted samples. The one nearer to the Si-SiO 2 interface (at about 200A from the interface) was related to the damage produced by the recoil oxygen atoms from the oxide layer into silicon. The deeper minimum is lying at ∼ 0.2μm from the interface and was attributed to the damage produced by the implanted P + ions, which caused clusters and defect loops after annealing. This damage was observed through TEM photographs. The optimum conditions for producing shallow junction without losing much of the implanted P + ions through the oxide layer were estimated. (author). 22 refs, 7 figs, 1 tab

  19. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  20. Hole Injection at the Silicon/Aqueous Electrolyte Interface: A Possible Mechanism for Chemiluminescence from Porous Silicon

    NARCIS (Netherlands)

    Kooij, Ernst S.; Butter, K.; Kelly, J.J.

    1998-01-01

    The reduction mechanism of oxidizing agents at silicon and porous silicon electrodes has been investigated in relation to light emission from the porous semiconductor. Oxidizing agents with a positive redox potential are shown to inject holes into HF-pretreated silicon. However, as the degree of

  1. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    International Nuclear Information System (INIS)

    Nguyen Minh, Quyen; Pujari, Sidharam P.; Wang, Bin; Wang, Zhanhua; Haick, Hossam; Zuilhof, Han; Rijn, Cees J.M. van

    2016-01-01

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH 2 ) 6 C 8 H 17−x F x ; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C 16 H 30−x F x ) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  2. Oxide-Free Bonding of III-V-Based Material on Silicon and Nano-Structuration of the Hybrid Waveguide for Advanced Optical Functions

    Directory of Open Access Journals (Sweden)

    Konstantinos Pantzas

    2015-10-01

    Full Text Available Oxide-free bonding of III-V-based materials for integrated optics is demonstrated on both planar Silicon (Si surfaces and nanostructured ones, using Silicon on Isolator (SOI or Si substrates. The hybrid interface is characterized electrically and mechanically. A hybrid InP-on-SOI waveguide, including a bi-periodic nano structuration of the silicon guiding layer is demonstrated to provide wavelength selective transmission. Such an oxide-free interface associated with the nanostructured design of the guiding geometry has great potential for both electrical and optical operation of improved hybrid devices.

  3. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  4. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  5. [Synergetic effects of silicon carbide and molecular sieve loaded catalyst on microwave assisted catalytic oxidation of toluene].

    Science.gov (United States)

    Wang, Xiao-Hui; Bo, Long-Li; Liu, Hai-Nan; Zhang, Hao; Sun, Jian-Yu; Yang, Li; Cai, Li-Dong

    2013-06-01

    Molecular sieve loaded catalyst was prepared by impregnation method, microwave-absorbing material silicon carbide and the catalyst were investigated for catalytic oxidation of toluene by microwave irradiation. Research work examined effects of silicon carbide and molecular sieve loading Cu-V catalyst's mixture ratio as well as mixed approach changes on degradation of toluene, and characteristics of catalyst were measured through scanning electron microscope, specific surface area test and X-ray diffraction analysis. The result showed that the fixed bed reactor had advantages of both thermal storage property and low-temperature catalytic oxidation when 20% silicon carbide was filled at the bottom of the reactor, and this could effectively improve the utilization of microwave energy as well as catalytic oxidation efficiency of toluene. Under microwave power of 75 W and 47 W, complete-combustion temperatures of molecular sieve loaded Cu-V catalyst and Cu-V-Ce catalyst to toluene were 325 degrees C and 160 degrees C, respectively. Characteristics of the catalysts showed that mixture of rare-earth element Ce increased the dispersion of active components in the surface of catalyst, micropore structure of catalyst effectively guaranteed high adsorption capacity for toluene, while amorphous phase of Cu and V oxides increased the activity of catalyst greatly.

  6. Formation and properties of the buried isolating silicon-dioxide layer in double-layer “porous silicon-on-insulator” structures

    Energy Technology Data Exchange (ETDEWEB)

    Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)

    2017-01-15

    The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.

  7. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  8. The oxidized porous silicon field emission array

    International Nuclear Information System (INIS)

    Smith, D.D.; Demroff, H.P.; Elliott, T.S.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Trost, H.J.

    1993-01-01

    The goal of developing a highly efficient microwave power source has led the authors to investigate new methods of electron field emission. One method presently under consideration involves the use of oxidized porous silicon thin films. The authors have used this technology to fabricate the first working field emission arrays from this substance. This approach reduces the diameter of an individual emitter to the nanometer scale. Tests of the first samples are encouraging, with extracted electron currents to nearly 1 mA resulting from less than 20 V of pulsed DC gate voltage. Modulated emission at 5 MHz was also observed. Developments of a full-scale emission array capable of delivering an electron beam at 18 GHz of minimum density 100 A/cm 2 is in progress

  9. Transmission Electron Microscopy Studies of Electron-Selective Titanium Oxide Contacts in Silicon Solar Cells

    KAUST Repository

    Ali, Haider; Yang, Xinbo; Weber, Klaus; Schoenfeld, Winston V.; Davis, Kristopher O.

    2017-01-01

    In this study, the cross-section of electron-selective titanium oxide (TiO2) contacts for n-type crystalline silicon solar cells were investigated by transmission electron microscopy. It was revealed that the excellent cell efficiency of 21

  10. Effects of Cl+ and F+ implantation of oxidation-induced stacking faults in silicon

    NARCIS (Netherlands)

    Xu, J.Y.; Bronsveld, P.M.; Boom, G.; Hosson, J.Th.M. De

    1984-01-01

    Three implantation effects were investigated in floating-zone-grown silicon: (a) the effect of Cl+ implantation resulting in the shrinkage of oxidation-induced stacking faults; (b) the effect of F+ implantation giving rise to defaulting of the 1/3 [111] Frank dislocations into 1/2[110] perfect

  11. Hydrogen incorporation and radiation induced dynamics in metal-oxide-silicon structures. A study using nuclear reaction analysis

    International Nuclear Information System (INIS)

    Briere, M.A.

    1993-07-01

    Resonant nuclear reaction analysis, using the 1 H( 15 N, αγ) 12 C reaction at 6.4 MeV, has been successfully applied to the investigation of hydrogen incorporation and radiation induced migration in metal-oxide-silicon structures. A preliminary study of the influence of processing parameters on the H content of thermal oxides, with and without gate material present, has been performed. It is found that the dominant source of hydrogen in Al gate devices and dry oxides is often contamination, likely in the form of adsorbed water vapor, formed upon exposure to room air after removal from the oxidation furnace. Concentrations of hydrogen in the bulk oxide as high as 3 10 20 cm -3 (Al gate), and as low as 1 10 18 cm -3 (poly Si-gate) have been observed. Hydrogen accumulation at the Si-SiO 2 interface has been reproducibly demonstrated for as-oxidized samples, as well as for oxides exposed to H 2 containing atmospheres during subsequent thermal processing. The migration of hydrogen, from the bulk oxide to the silicon-oxide interface during NRA, has been observed and intensively investigated. A direct correlation between the hydrogen content of the bulk oxide and the radiation generated oxide charges and interface states is presented. These data provide strong support for the important role of hydrogen in determining the radiation sensitivity of electronic devices. (orig.)

  12. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  13. High-aspect-ratio, silicon oxide-enclosed pillar structures in microfluidic liquid chromatography.

    Science.gov (United States)

    Taylor, Lisa C; Lavrik, Nickolay V; Sepaniak, Michael J

    2010-11-15

    The present paper discusses the ability to separate chemical species using high-aspect-ratio, silicon oxide-enclosed pillar arrays. These miniaturized chromatographic systems require smaller sample volumes, experience less flow resistance, and generate superior separation efficiency over traditional packed bed liquid chromatographic columns, improvements controlled by the increased order and decreased pore size of the systems. In our distinctive fabrication sequence, plasma-enhanced chemical vapor deposition (PECVD) of silicon oxide is used to alter the surface and structural properties of the pillars for facile surface modification while improving the pillar mechanical stability and increasing surface area. The separation behavior of model compounds within our pillar systems indicated an unexpected hydrophobic-like separation mechanism. The effects of organic modifier, ionic concentration, and pressure-driven flow rate were studied. A decrease in the organic content of the mobile phase increased peak resolution while detrimentally effecting peak shape. A resolution of 4.7 (RSD = 3.7%) was obtained for nearly perfect Gaussian shaped peaks, exhibiting plate heights as low as 1.1 and 1.8 μm for fluorescein and sulforhodamine B, respectively. Contact angle measurements and DART mass spectrometry analysis indicate that our employed elastomeric soft bonding technique modifies pillar properties, creating a fortuitous stationary phase. This discovery provides evidence supporting the ability to easily functionalize PECVD oxide surfaces by gas-phase reactions.

  14. Influence of silicon species on the transformation of green rust I(Cl-) in aqueous solution by oxidation

    International Nuclear Information System (INIS)

    Sahoo, Gadadhar; Fujieda, Shun; Shinoda, Kozo; Yamaguchi, Shinichi; Korosaki, Masao; Suzuki, Shigeru

    2011-01-01

    Highlights: → Addition of silicate species and silica to GRI(Cl - ) increased oxidation time. → The lepidocrocite particle size in silicate added case has been reduced significantly. → The influence of silicate was attributed to its adsorption on lepidocrocite. → Silicate also influenced GRI(Cl - ) transformation due to adsorption on it. - Abstract: X-ray diffraction (XRD) and solution analysis were used for characterizing the influence of different silicon species on oxidation of green rust (GRI(Cl - )) suspension. While addition of silicon to metallic iron enhanced the formation of β-FeOOH, GRI(Cl - ) in aqueous solution oxidized into lepidocrocite and oxidation was delayed in presence of silica and silicate species as noticed from potential, pH, and dissolved oxygen (DO) measurements. Transmission electron micrographs showed that the particle size of lepidocrocite was reduced due to silicate addition. The influence of silicate was attributed to its adsorption on GRI(Cl - ) and lepidocrocite particles as confirmed from ICP-AES analysis of supernatant solution.

  15. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen Minh, Quyen [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Nanosens, IJsselkade 7, 7201 HB Zutphen (Netherlands); Pujari, Sidharam P. [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Wang, Bin [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Wang, Zhanhua [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Haick, Hossam [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Zuilhof, Han [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Rijn, Cees J.M. van, E-mail: cees.vanrijn@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands)

    2016-11-30

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH{sub 2}){sub 6}C{sub 8}H{sub 17−x}F{sub x}; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C{sub 16}H{sub 30−x}F{sub x}) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  16. Participation of oxygen and carbon in formation of oxidation-induced stacking faults in monocrystalline silicon

    Directory of Open Access Journals (Sweden)

    Иван Федорович Червоный

    2015-11-01

    Full Text Available It is experimentally established, that density of oxidation-induced stacking faults (OISF in the boron doped monocrystalline silicon plates, that above, than it is more relation of oxygen atoms concentration to carbon atoms concentration in them.On research results of geometry of OISF rings in the different sections of single-crystal geometry of areas is reconstructed with their different closeness. At adjustment of the growing modes of single-crystals of silicon the increase of output of suitable product is observed

  17. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  18. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. Aceves-Mijares

    2012-01-01

    Full Text Available Silicon Rich Oxide (SRO has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD. In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept that SRO emission properties are due to oxidation state nanoagglomerates rather than to nanocrystals. The emission mechanism is similar to Donor-Acceptor decay in semiconductors, and a wide emission spectrum, from 450 to 850 nm, has been observed. The results show that emission is a function of both silicon excess in the film and excitation energy. As a result different color emissions can be obtained by selecting the suitable excitation energy.

  19. Subattoampere current induced by single ions in silicon oxide layers of nonvolatile memory cells

    International Nuclear Information System (INIS)

    Cellere, G.; Paccagnella, A.; Larcher, L.; Visconti, A.; Bonanomi, M.

    2006-01-01

    A single ion impinging on a thin silicon dioxide layer generates a number of electron/hole pairs proportional to its linear energy transfer coefficient. Defects generated by recombination can act as a conductive path for electrons that cross the oxide barrier, thanks to a multitrap-assisted mechanism. We present data on the dependence of this phenomenon on the oxide thickness by using floating gate memory arrays. The tiny number of excess electrons stored in these devices allows for extremely high sensitivity, impossible with any direct measurement of oxide leakage current. Results are of particular interest for next generation devices

  20. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  1. Thermal radiative near field transport between vanadium dioxide and silicon oxide across the metal insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Menges, F.; Spieser, M.; Riel, H.; Gotsmann, B., E-mail: bgo@zurich.ibm.com [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Dittberner, M. [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Novotny, L. [Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Passarello, D.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States)

    2016-04-25

    The thermal radiative near field transport between vanadium dioxide and silicon oxide at submicron distances is expected to exhibit a strong dependence on the state of vanadium dioxide which undergoes a metal-insulator transition near room temperature. We report the measurement of near field thermal transport between a heated silicon oxide micro-sphere and a vanadium dioxide thin film on a titanium oxide (rutile) substrate. The temperatures of the 15 nm vanadium dioxide thin film varied to be below and above the metal-insulator-transition, and the sphere temperatures were varied in a range between 100 and 200 °C. The measurements were performed using a vacuum-based scanning thermal microscope with a cantilevered resistive thermal sensor. We observe a thermal conductivity per unit area between the sphere and the film with a distance dependence following a power law trend and a conductance contrast larger than 2 for the two different phase states of the film.

  2. Coating of tips for electrochemical scanning tunneling microscopy by means of silicon, magnesium, and tungsten oxides

    Science.gov (United States)

    Salerno, Marco

    2010-09-01

    Different combinations of metal tips and oxide coatings have been tested for possible operation in electrochemical scanning tunneling microscopy. Silicon and magnesium oxides have been thermally evaporated onto gold and platinum-iridium tips, respectively. Two different thickness values have been explored for both materials, namely, 40 and 120 nm for silicon oxide and 20 and 60 nm for magnesium oxide. Alternatively, tungsten oxide has been grown on tungsten tips via electrochemical anodization. In the latter case, to seek optimal results we have varied the pH of the anodizing electrolyte between one and four. The oxide coated tips have been first inspected by means of scanning electron microscopy equipped with microanalysis to determine the morphological results of the coating. Second, the coated tips have been electrically characterized ex situ for stability in time by means of cyclic voltammetry in 1 M aqueous KCl supporting electrolyte, both bare and supplemented with K3[Fe(CN)6] complex at 10 mM concentration in milliQ water as an analyte. Only the tungsten oxide coated tungsten tips have shown stable electrical behavior in the electrolyte. For these tips, the uncoated metal area has been estimated from the electrical current levels, and they have been successfully tested by imaging a gold grating in situ, which provided stable results for several hours. The successful tungsten oxide coating obtained at pH=4 has been assigned to the WO3 form.

  3. Plasma surface oxidation of 316L stainless steel for improving adhesion strength of silicone rubber coating to metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Latifi, Afrooz, E-mail: afroozlatifi@yahoo.com [Department of Biomaterials, Biomedical Engineering Faculty, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Imani, Mohammad [Novel Drug Delivery Systems Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/115, Tehran (Iran, Islamic Republic of); Khorasani, Mohammad Taghi [Biomaterials Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/159, Tehran (Iran, Islamic Republic of); Daliri Joupari, Morteza [Animal and Marine Biotechnology Dept., National Institute of Genetic Engineering and Biotechnology, P.O. Box 14965/161, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • Stainless steel 316L was surface modified by plasma surface oxidation (PSO) and silicone rubber (SR) coating. • On the PSO substrates, concentration of oxide species was increased ca. 2.5 times comparing to non-PSO substrates. • The surface wettability was improved to 12.5°, in terms of water contact angle, after PSO. • Adhesion strength of SR coating on the PSO substrates was improved by more than two times comparing to non-PSO ones. • After pull-off test, the fractured area patterns for SR coating were dependent on the type of surface modifications received. - Abstract: Stainless steel 316L is one of the most widely used materials for fabricating of biomedical devices hence, improving its surface properties is still of great interest and challenging in biomaterial sciences. Plasma oxidation, in comparison to the conventional chemical or mechanical methods, is one of the most efficient methods recently used for surface treatment of biomaterials. Here, stainless steel specimens were surface oxidized by radio-frequency plasma irradiation operating at 34 MHz under pure oxygen atmosphere. Surface chemical composition of the samples was significantly changed after plasma oxidation by appearance of the chromium and iron oxides on the plasma-oxidized surface. A wettable surface, possessing high surface energy (83.19 mN m{sup −1}), was observed after plasma oxidation. Upon completion of the surface modification process, silicone rubber was spray coated on the plasma-treated stainless steel surface. Morphology of the silicone rubber coating was investigated by scanning electron microscopy (SEM). A uniform coating was formed on the oxidized surface with no delamination at polymer–metal interface. Pull-off tests showed the lowest adhesion strength of coating to substrate (0.12 MPa) for untreated specimens and the highest (0.89 MPa) for plasma-oxidized ones.

  4. Laboratory studies of refractory metal oxide smokes

    International Nuclear Information System (INIS)

    Nuth, J.A.; Nelson, R.N.; Donn, B.

    1989-01-01

    Studies of the properties of refractory metal oxide smokes condensed from a gas containing various combinations of SiH4, Fe(CO)5, Al(CH3)3, TiCl4, O2 and N2O in a hydrogen carrier stream at 500 K greater than T greater than 1500 K were performed. Ultraviolet, visible and infrared spectra of pure, amorphous SiO(x), FeO(x), AlO(x) and TiO(x) smokes are discussed, as well as the spectra of various co-condensed amorphous oxides, such as FE(x)SiO(y) or Fe(x)AlO(y). Preliminary studies of the changes induced in the infrared spectra of iron-containing oxide smokes by vacuum thermal annealing suggest that such materials become increasingly opaque in the near infrared with increased processing: hydration may have the opposite effect. More work on the processing of these materials is required to confirm such a trend: this work is currently in progress. Preliminary studies of the ultraviolet spectra of amorphous Si2O3 and MgSiO(x) smokes revealed no interesting features in the region from 200 to 300 nm. Studies of the ultraviolet spectra of both amorphous, hydrated and annealed SiO(x), TiO(x), AlO(x) and FeO(x) smokes are currently in progress. Finally, data on the oxygen isotopic composition of the smokes produced in the experiments are presented, which indicate that the oxygen becomes isotopically fractionated during grain condensation. Oxygen in the grains is as much as 3 percent per amu lighter than the oxygen in the original gas stream. The authors are currently conducting experiments to understand the mechanism by which fractionation occurs

  5. Room temperature NO2 gas sensing of Au-loaded tungsten oxide nanowires/porous silicon hybrid structure

    International Nuclear Information System (INIS)

    Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming

    2016-01-01

    In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)

  6. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  7. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  8. Isothermal and cyclic oxidation resistance of pack siliconized Mo–Si–B alloy

    Energy Technology Data Exchange (ETDEWEB)

    Majumdar, Sanjib, E-mail: sanjib@barc.gov.in

    2017-08-31

    Highlights: • Pack-siliconizing of Mo–Si–B alloy improves its oxidation resistance at 750, 900 and 1400 °C. • A marginal weight change of the coated alloy is detected in isothermal and cyclic oxidation tests. • Kinetics of growth of protective SiO{sub 2} scale is much faster at 1400 °C. • Self-healing SiO{sub 2} is developed at the cracks formed in MoSi{sub 2} layer during cyclic oxidation tests. - Abstract: Oxidation behaviour of MoSi{sub 2} coated Mo–9Si–8B–0.75Y (at.%) alloy has been investigated at three critical temperatures including 750, 900 and 1400 °C in static air. Thermogravimetric analysis (TGA) data indicates a remarkable improvement in the oxidation resistance of the silicide coated alloy in both isothermal and cyclic oxidation tests. The cross-sectional scanning electron microscopy and energy dispersive spectroscopic analysis reveal the occurrence of internal oxidation particularly at the crack fronts formed in the outer MoSi{sub 2} layer during thermal cycling. The dominant oxidation mechanisms at 750–900 °C and 1400 °C are identified. Development of MoB inner layer further improves the oxidation resistance of the silicide coated alloy.

  9. MOS structures containing silicon nanoparticles for memory device applications

    International Nuclear Information System (INIS)

    Nedev, N; Zlatev, R; Nesheva, D; Manolov, E; Levi, Z; Brueggemann, R; Meier, S

    2008-01-01

    Metal-oxide-silicon structures containing layers with amorphous or crystalline silicon nanoparticles in a silicon oxide matrix are fabricated by sequential physical vapour deposition of SiO x (x = 1.15) and RF sputtering of SiO 2 on n-type crystalline silicon, followed by high temperature annealing in an inert gas ambient. Depending on the annealing temperature, 700 deg. C or 1000 deg. C, amorphous or crystalline silicon nanoparticles are formed in the silicon oxide matrix. The annealing process is used not only for growing nanoparticles but also to form a dielectric layer with tunnelling thickness at the silicon/insulator interface. High frequency C-V measurements demonstrate that both types of structures can be charged negatively or positively by applying a positive or negative voltage on the gate. The structures with amorphous silicon nanoparticles show several important advantages compared to the nanocrystal ones, such as lower defect density at the interface between the crystalline silicon wafer and the tunnel silicon oxide, better retention characteristics and better reliability

  10. Radiation resistant passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Swanson, R.M.; Gan, J.Y.; Gruenbaum, P.E.

    1991-01-01

    This patent describes a silicon solar cell having improved stability when exposed to concentrated solar radiation. It comprises a body of silicon material having a major surface for receiving radiation, a plurality of p and n conductivity regions in the body for collecting electrons and holes created by impinging radiation, and a passivation layer on the major surface including a first layer of silicon oxide in contact with the body and a polycrystalline silicon layer on the first layer of silicon oxide

  11. Silicon oxide based high capacity anode materials for lithium ion batteries

    Science.gov (United States)

    Deng, Haixia; Han, Yongbong; Masarapu, Charan; Anguchamy, Yogesh Kumar; Lopez, Herman A.; Kumar, Sujeet

    2017-03-21

    Silicon oxide based materials, including composites with various electrical conductive compositions, are formulated into desirable anodes. The anodes can be effectively combined into lithium ion batteries with high capacity cathode materials. In some formulations, supplemental lithium can be used to stabilize cycling as well as to reduce effects of first cycle irreversible capacity loss. Batteries are described with surprisingly good cycling properties with good specific capacities with respect to both cathode active weights and anode active weights.

  12. Magnetic oxide heterostructures. EuO on cubic oxides and on silicon

    International Nuclear Information System (INIS)

    Caspers, Christian

    2013-01-01

    In the thesis at hand, we explore fundamental properties of ultrathin europium oxide (EuO) films. EuO is a model system of a localized 4f Heisenberg ferromagnet, in which the ferromagnetic coupling. provided a high crystalline quality. can be tuned by biaxial lattice strain. Moreover, the magnetic oxide EuO is perfectly suited as a spin-functional tunnel contact for silicon spintronics. However, up to now a challenging bulk and interface chemistry of EuO and Si has hampered a seamless integration into functional silicon heterostructures. In order to investigate fundamental aspects of the magnetic and electronic structure of ultrathin EuO, in the first part of this thesis, we synthesize EuO thin films on conductive YSZ substrates from bulklike thicknesses down to one nanometer by oxide molecular beam epitaxy (MBE). The EuO thin films are of textbook-like single-crystalline quality, and show bulk-like magnetic properties. We control the stoichiometry of buried EuO thin films by hard X-ray photoemission spectroscopy (HAXPES); even a 1 nm ultrathin EuO film exhibits no valence change or interface shifts. Furthermore, we conduct an advanced magnetic characterization by the magnetic circular dichroism (MCD) of Eu core-levels in photoemission, this gives us insight into the intra-atomic exchange coupling of EuO thin films. The MCD reveals large asymmetries of up to 49% in the well-resolved Eu 4d photoemission multiplet. Thus, ultrathin EuO coherently grown on conductive YSZ allows us to explore fundamental magnetic and electronic properties of a 4f magnetic oxide. Biaxial lateral strain applied to single-crystalline EuO is of fundamental interest, since it alters the electronic structure and magnetic coupling in a controlled way. We apply +4.2% tensile biaxial strain to EuO by epitaxial EuO/LaAlO 3 (100) heterostructures. EuO seamlessly adapts the lateral lattice parameter of LaAlO 3 , while the perpendicular parameter of EuO is the unchanged EuO bulk value, thus the

  13. Fabrication and evaluation of series-triple quantum dots by thermal oxidation of silicon nanowire

    International Nuclear Information System (INIS)

    Uchida, Takafumi; Jo, Mingyu; Tsurumaki-Fukuchi, Atsushi; Arita, Masashi; Takahashi, Yasuo; Fujiwara, Akira

    2015-01-01

    Series-connected triple quantum dots were fabricated by a simple two-step oxidation technique using the pattern-dependent oxidation of a silicon nanowire and an additional oxidation of the nanowire through the gap of the fine gates attached to the nanowire. The characteristics of multi-dot single-electron devices are obtained. The formation of each quantum dot beneath an attached gate is confirmed by analyzing the electrical characteristics and by evaluating the gate capacitances between all pairings of gates and quantum dots. Because the gate electrode is automatically attached to each dot, the device structure benefits from scalability. This technique promises integrability of multiple quantum dots with individual control gates

  14. Influence of oxidation treatment on ballistic electron surface-emitting display of porous silicon

    International Nuclear Information System (INIS)

    Du, Wentao; Zhang, Xiaoning; Zhang, Yujuan; Wang, Wenjiang; Duan, Xiaotao

    2012-01-01

    Two groups of porous silicon (PS) samples are treated by rapid thermal oxidation (RTO) and electrochemical oxidation (ECO), respectively. Scanning electron microscopy images show that PS samples are segmented into two layers. Oxidized film layer is formed on the top surface of PS samples treated by RTO while at the bottom of PS samples treated by ECO. Both ECO and RTO treatment can make emission current density, diode current density, and emission efficiency of PS increase with the bias voltage increasing. The emission current density and the field emission enhancement factor β of PS sample treated by RTO are larger than that treated by ECO. The Fowler–Nordheim curves of RTO and ECO samples are linear which indicates that high electric field exists on the oxidized layer and field emission occurs whether PS is treated by RTO or ECO.

  15. Hot-pressed silicon nitride with various lanthanide oxides as sintering additives

    Science.gov (United States)

    Ueno, K.; Toibana, Y.

    1984-01-01

    The effects of addition of various lanthanide oxides and their mixture with Y2O3 on the sintering of Si3N4 were investigated. The addition of simple and mixed lanthanide oxides promoted the densification of Si3N4 in hot-pressing at 1800 C under 300-400kg/ centimeters squared for 60 min. The crystallization of yttrium and lanthanide-silicon oxynitrides which was observed inn the sintered body containing yttrium-lanthanide mixed oxides as additives led to the formation of a highly refractory Si3N4 ceramic having a bending strength of 82 and 84 kg/millimeters squared at room temperature and 1300 C respectively. In a Y2O3+La2O3 system, a higher molar ratio of La2O3 to Y2O3 gave a higher hardness and strength at high temperatures. It was found that 90 min was an optimum sintering time for the highest strength.

  16. Forward-bias diode parameters, electronic noise, and photoresponse of graphene/silicon Schottky junctions with an interfacial native oxide layer

    Science.gov (United States)

    An, Yanbin; Behnam, Ashkan; Pop, Eric; Bosman, Gijs; Ural, Ant

    2015-09-01

    Metal-semiconductor Schottky junction devices composed of chemical vapor deposition grown monolayer graphene on p-type silicon substrates are fabricated and characterized. Important diode parameters, such as the Schottky barrier height, ideality factor, and series resistance, are extracted from forward bias current-voltage characteristics using a previously established method modified to take into account the interfacial native oxide layer present at the graphene/silicon junction. It is found that the ideality factor can be substantially increased by the presence of the interfacial oxide layer. Furthermore, low frequency noise of graphene/silicon Schottky junctions under both forward and reverse bias is characterized. The noise is found to be 1/f dominated and the shot noise contribution is found to be negligible. The dependence of the 1/f noise on the forward and reverse current is also investigated. Finally, the photoresponse of graphene/silicon Schottky junctions is studied. The devices exhibit a peak responsivity of around 0.13 A/W and an external quantum efficiency higher than 25%. From the photoresponse and noise measurements, the bandwidth is extracted to be ˜1 kHz and the normalized detectivity is calculated to be 1.2 ×109 cm Hz1/2 W-1. These results provide important insights for the future integration of graphene with silicon device technology.

  17. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    Science.gov (United States)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  18. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  19. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  20. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  1. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    Science.gov (United States)

    Tour, James M; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2013-11-26

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the the gap region between the first electical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  2. Effect of annealing on silicon heterojunction solar cells with textured ZnO:Al as transparent conductive oxide

    Directory of Open Access Journals (Sweden)

    Roca i Cabarrocas P.

    2012-07-01

    Full Text Available We report on silicon heterojunction solar cells using textured aluminum doped zinc oxide (ZnO:Al as a transparent conductive oxide (TCO instead of flat indium tin oxide. Double side silicon heterojunction solar cell were fabricated by radio frequency plasma enhanced chemical vapor deposition on high life time N-type float zone crystalline silicon wafers. On both sides of these cells we have deposited by radio frequency magnetron sputtering ZnO:Al layers of thickness ranging from 800 nm to 1400 nm. These TCO layers were then textured by dipping the samples in a 0.5% hydrochloric acid. External quantum efficiency as well as I-V under 1 sun illumination measurements showed an increase of the current for the cells using textured ZnO:Al. The cells were then annealed at 150 °C, 175 °C and 200 °C during 30 min in ambient atmosphere and characterized at each annealing step. The results show that annealing has no impact on the open circuit voltage of the devices but that up to a 175 °C it enhances their short circuit current, consistent with an overall enhancement of their spectral response. Our results suggest that ZnO:Al is a promising material to increase the short circuit current (Jsc while avoiding texturing the c-Si substrate.

  3. Production of technical silicon and silicon carbide from rice-husk

    Directory of Open Access Journals (Sweden)

    A. Z. Issagulov

    2014-10-01

    Full Text Available In the article there are studied physical and chemical properties of silicon-carbonic raw material – rice-husk, thermophysical characteristics of the process of rice-husk pyrolysis in nonreactive and oxidizing environment; structure and phase composition of products of the rice-husk pyrolysis in interval of temperatures 150 – 850 °С and high temperature pyrolysis in interval of temperatures 900 – 1 500 °С. There are defined the silicon-carbon production conditions, which meet the requirements applicable to charging materials at production of technical silicon and silicon carbide.

  4. Oxidation of hydrogen-passivated silicon surfaces by scanning near-field optical lithography using uncoated and aluminum-coated fiber probes

    DEFF Research Database (Denmark)

    Madsen, Steen; Bozhevolnyi, Sergey I.; Birkelund, Karen

    1997-01-01

    Optically induced oxidation of hydrogen-passivated silicon surfaces using a scanning near-field optical microscope was achieved with both uncoated and aluminum-coated fiber probes. Line scans on amorphous silicon using uncoated fiber probes display a three-peak profile after etching in potassium...... hydroxide. Numerical simulations of the electromagnetic field around the probe-sample interaction region are used to explain the experimental observations. With an aluminum-coated fiber probe, lines of 35 nm in width were transferred into the amorphous silicon layer. (C) 1997 American Institute of Physics....

  5. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  6. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    Energy Technology Data Exchange (ETDEWEB)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens; Hultman, Lars; Högberg, Hans [Thin Film Physics Division, Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping SE-581 83 (Sweden)

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content. The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.

  7. Silicon improves seed germination and alleviates oxidative stress of bud seedlings in tomato under water deficit stress.

    Science.gov (United States)

    Shi, Yu; Zhang, Yi; Yao, Hejin; Wu, Jiawen; Sun, Hao; Gong, Haijun

    2014-05-01

    The beneficial effects of silicon on plant growth and development under drought have been widely reported. However, little information is available on the effects of silicon on seed germination under drought. In this work, the effects of exogenous silicon (0.5 mM) on the seed germination and tolerance performance of tomato (Solanum lycopersicum L.) bud seedlings under water deficit stress simulated by 10% (w/v) polyethylene glycol (PEG-6000) were investigated in four cultivars ('Jinpengchaoguan', 'Zhongza No.9', 'Houpi L402' and 'Oubao318'). The results showed that the seed germination percentage was notably decreased in the four cultivars under water stress, and it was significantly improved by added silicon. Compared with the non-silicon treatment, silicon addition increased the activities of superoxide dismutase (SOD) and catalase (CAT), and decreased the production of superoxide anion (O2·) and hydrogen peroxide (H2O2) in the radicles of bud seedlings under water stress. Addition of silicon decreased the total phenol concentrations in radicles under water stress, which might contribute to the decrease of peroxidase (POD) activity, as observed in the in vivo and in vitro experiments. The decrease of POD activity might contribute to a less accumulation of hydroxyl radical (·OH) under water stress. Silicon addition also decreased the concentrations of malondialdehyde (MDA) in the radicles under stress, indicating decreased lipid peroxidation. These results suggest that exogenous silicon could improve seed germination and alleviate oxidative stress to bud seedling of tomato by enhancing antioxidant defense. The positive effects of silicon observed in a silicon-excluder also suggest the active involvement of silicon in biochemical processes in plants. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  8. Novel Size and Surface Oxide Effects in Silicon Nanowires as Lithium Battery Anodes

    KAUST Repository

    McDowell, Matthew T.

    2011-09-14

    With its high specific capacity, silicon is a promising anode material for high-energy lithium-ion batteries, but volume expansion and fracture during lithium reaction have prevented implementation. Si nanostructures have shown resistance to fracture during cycling, but the critical effects of nanostructure size and native surface oxide on volume expansion and cycling performance are not understood. Here, we use an ex situ transmission electron microscopy technique to observe the same Si nanowires before and after lithiation and have discovered the impacts of size and surface oxide on volume expansion. For nanowires with native SiO2, the surface oxide can suppress the volume expansion during lithiation for nanowires with diameters <∼50 nm. Finite element modeling shows that the oxide layer can induce compressive hydrostatic stress that could act to limit the extent of lithiation. The understanding developed herein of how volume expansion and extent of lithiation can depend on nanomaterial structure is important for the improvement of Si-based anodes. © 2011 American Chemical Society.

  9. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Syyuan Shieh.

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O{sub 2}, NH{sub 3}) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  10. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Shieh, Syyuan [Univ. of California, Berkeley, CA (United States)

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O2, NH3) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  11. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    Science.gov (United States)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  12. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    International Nuclear Information System (INIS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-01-01

    Sponge-like Si nanostructures embedded in SiO 2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO 2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations

  13. Evaluation of adhesion promoters for Parylene C on gold metallization

    Directory of Open Access Journals (Sweden)

    Radun V.

    2015-09-01

    Full Text Available Delamination of thin film polymeric coatings from metallization layers is a common cause of failure in biomedical implants. To address the problem, different adhesion promotion techniques can be applied which include surface pre-treatment with oxygen and argon plasma and the use of different adhesion promoters. In this paper the applicability of titanium (Ti, silicon oxide (SiOx, diamond-like carbon (DLC, tetramethylsilane (TMS and aluminium oxide (AlOx as adhesion promoters is evaluated. A cross cut, peel and scratch test are used to qualify and quantify the adhesion before and after storage in phosphate buffered saline (PBS for 48 hours at a temperature of 37 °C. Promising results could be achieved by a combination of Ti and DLC as well as by AlOx.

  14. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  15. Spike-Timing Dependent Plasticity in Unipolar Silicon Oxide RRAM Devices.

    Science.gov (United States)

    Zarudnyi, Konstantin; Mehonic, Adnan; Montesi, Luca; Buckwell, Mark; Hudziak, Stephen; Kenyon, Anthony J

    2018-01-01

    Resistance switching, or Resistive RAM (RRAM) devices show considerable potential for application in hardware spiking neural networks (neuro-inspired computing) by mimicking some of the behavior of biological synapses, and hence enabling non-von Neumann computer architectures. Spike-timing dependent plasticity (STDP) is one such behavior, and one example of several classes of plasticity that are being examined with the aim of finding suitable algorithms for application in many computing tasks such as coincidence detection, classification and image recognition. In previous work we have demonstrated that the neuromorphic capabilities of silicon-rich silicon oxide (SiO x ) resistance switching devices extend beyond plasticity to include thresholding, spiking, and integration. We previously demonstrated such behaviors in devices operated in the unipolar mode, opening up the question of whether we could add plasticity to the list of features exhibited by our devices. Here we demonstrate clear STDP in unipolar devices. Significantly, we show that the response of our devices is broadly similar to that of biological synapses. This work further reinforces the potential of simple two-terminal RRAM devices to mimic neuronal functionality in hardware spiking neural networks.

  16. Zinc tin oxide as high-temperature stable recombination layer for mesoscopic perovskite/silicon monolithic tandem solar cells

    KAUST Repository

    Werner, Jérémie

    2016-12-05

    Perovskite/crystalline silicon tandem solar cells have the potential to reach efficiencies beyond those of silicon single-junction record devices. However, the high-temperature process of 500 °C needed for state-of-the-art mesoscopic perovskite cells has, so far, been limiting their implementation in monolithic tandem devices. Here, we demonstrate the applicability of zinc tin oxide as a recombination layer and show its electrical and optical stability at temperatures up to 500 °C. To prove the concept, we fabricate monolithic tandem cells with mesoscopic top cell with up to 16% efficiency. We then investigate the effect of zinc tin oxide layer thickness variation, showing a strong influence on the optical interference pattern within the tandem device. Finally, we discuss the perspective of mesoscopic perovskite cells for high-efficiency monolithic tandem solar cells. © 2016 Author(s)

  17. Excitation dependence of photoluminescence in silicon quantum dots

    International Nuclear Information System (INIS)

    Wen Xiaoming; Lap Van Dao; Hannaford, Peter; Cho, E-C; Cho, Young H; Green, Martin A

    2007-01-01

    We have studied the optical properties of silicon quantum dots (QDs) embedded in a silicon oxide matrix using photoluminescence (PL) and time-resolved PL. A broad luminescence band is observed in the red region, in which the time evolution exhibits a stretched exponential decay. With increasing excitation intensity a significant saturation effect is observed. Direct electron-hole recombination is the dominant effect in the red band. A relatively narrow peak appears around 1.5 eV, which is attributed to the interface states overlapping with transition from the ground state of the silicon QDs. The saturation factor increases slowly with detection photon energy between 1.5 and 1.8 eV, which is attributed to the emission from zero-phonon electron-hole recombination. At higher photon energies the significantly increased saturation factor suggests a different emission mechanism, most likely the defect states from silicon, silicon oxide or silicon rich oxide

  18. Joining elements of silicon carbide

    International Nuclear Information System (INIS)

    Olson, B.A.

    1979-01-01

    A method of joining together at least two silicon carbide elements (e.g.in forming a heat exchanger) is described, comprising subjecting to sufficiently non-oxidizing atmosphere and sufficiently high temperature, material placed in space between the elements. The material consists of silicon carbide particles, carbon and/or a precursor of carbon, and silicon, such that it forms a joint joining together at least two silicon carbide elements. At least one of the elements may contain silicon. (author)

  19. The infra-red photoresponse of erbium-doped silicon nanocrystals

    International Nuclear Information System (INIS)

    Kenyon, A.J.; Bhamber, S.S.; Pitt, C.W.

    2003-01-01

    We have exploited the interaction between erbium ions and silicon nanoclusters to probe the photoresponse of erbium-doped silicon nanocrystals in the spectral region around 1.5 μm. We have produced an MOS device in which the oxide layer has been implanted with both erbium and silicon and annealed to produce silicon nanocrystals. Upon illumination with a 1480 nm laser diode, interaction between the nanocrystals and the rare-earth ions results in a modification of the conductivity of the oxide that enables a current to flow when a voltage is applied across the oxide layer

  20. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  1. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    Energy Technology Data Exchange (ETDEWEB)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin; Roy, A. -M.; Curry, Matthew Jon; Ten Eyck, Gregory A.; Manginell, Ronald P.; Wendt, Joel R.; Pluym, Tammy; Carr, Stephen M; Ward, Daniel Robert; Lilly, Michael; pioro-ladriere, michel

    2017-07-01

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down to the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.

  2. ZnO transparent conductive oxide for thin film silicon solar cells

    Science.gov (United States)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  3. Low-power bacteriorhodopsin-silicon n-channel metal-oxide field-effect transistor photoreceiver.

    Science.gov (United States)

    Shin, Jonghyun; Bhattacharya, Pallab; Yuan, Hao-Chih; Ma, Zhenqiang; Váró, György

    2007-03-01

    A bacteriorhodopsin (bR)-silicon n-channel metal-oxide field-effect transistor (NMOSFET) monolithically integrated photoreceiver is demonstrated. The bR film is selectively formed on an external gate electrode of the transistor by electrophoretic deposition. A modified biasing circuit is incorporated, which helps to match the resistance of the bR film to the input impedance of the NMOSFET and to shift the operating point of the transistor to coincide with the maximum gain. The photoreceiver exhibits a responsivity of 4.7 mA/W.

  4. Interface bonding in silicon oxide nanocontacts: interaction potentials and force measurements

    Science.gov (United States)

    Wierez-Kien, M.; Craciun, A. D.; Pinon, A. V.; Le Roux, S.; Gallani, J. L.; Rastei, M. V.

    2018-04-01

    The interface bonding between two silicon-oxide nanoscale surfaces has been studied as a function of atomic nature and size of contacting asperities. The binding forces obtained using various interaction potentials are compared with experimental force curves measured in vacuum with an atomic force microscope. In the limit of small nanocontacts (typically contact area which is altered by stretching speeds. The mean unbinding force is found to decrease as the contact spends time in the attractive regime. This contact weakening is featured by a negative aging coefficient which broadens and shifts the thermal-induced force distribution at low stretching speeds.

  5. Electronic detection of surface plasmon polaritons by metal-oxide-silicon capacitor

    Directory of Open Access Journals (Sweden)

    Robert E. Peale

    2016-09-01

    Full Text Available An electronic detector of surface plasmon polaritons (SPPs is reported. SPPs optically excited on a metal surface using a prism coupler are detected by using a close-coupled metal-oxide-silicon (MOS capacitor. Incidence-angle dependence is explained by Fresnel transmittance calculations, which also are used to investigate the dependence of photo-response on structure dimensions. Electrodynamic simulations agree with theory and experiment and additionally provide spatial intensity distributions on and off the SPP excitation resonance. Experimental dependence of the photoresponse on substrate carrier type, carrier concentration, and back-contact biasing is qualitatively explained by simple theory of MOS capacitors.

  6. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  7. Recent progress in the development and understanding of silicon surface passivation by aluminum oxide for photovoltaics

    NARCIS (Netherlands)

    Dingemans, G.; Kessels, W.M.M.

    2010-01-01

    In the recent years, considerable progress has been made in the understanding of the unique silicon surface passivation properties of aluminum oxide (Al2O3) films including its underlying mechanisms. Containing a high fixed negative charge density located close to the Si interface, Al2O3 provides a

  8. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  9. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO{sub 2}/Si interfaces with low defect densities

    Energy Technology Data Exchange (ETDEWEB)

    Stegemann, Bert, E-mail: bert.stegemann@htw-berlin.de [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Gad, Karim M. [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Balamou, Patrice [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Sixtensson, Daniel [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Vössing, Daniel; Kasemann, Martin [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Angermann, Heike [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany)

    2017-02-15

    Highlights: • Fabrication of ultrathin SiO{sub 2} tunnel layers on c-Si. • Correlation of electronic and chemical SiO{sub 2}/Si interface properties revealed by XPS/SPV. • Chemically abrupt SiO{sub 2}/Si interfaces generate less interface defect states considerable. - Abstract: Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO{sub 2}/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO{sub 2}/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO{sub 2}/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO{sub 2}/Si interfaces have been shown to generate less interface defect states.

  10. Determining the thickness of aliphatic alcohol monolayers covalently attached to silicon oxide surfaces using angle-resolved X-ray photoelectron spectroscopy

    Science.gov (United States)

    Lee, Austin W. H.; Kim, Dongho; Gates, Byron D.

    2018-04-01

    The thickness of alcohol based monolayers on silicon oxide surfaces were investigated using angle-resolved X-ray photoelectron spectroscopy (ARXPS). Advantages of using alcohols as building blocks for the formation of monolayers include their widespread availability, ease of handling, and stability against side reactions. Recent progress in microwave assisted reactions demonstrated the ease of forming uniform monolayers with alcohol based reagents. The studies shown herein provide a detailed investigation of the thickness of monolayers prepared from a series of aliphatic alcohols of different chain lengths. Monolayers of 1-butanol, 1-hexanol, 1-octanol, 1-decanol, and 1-dodecanol were each successfully formed through microwave assisted reactions and characterized by ARXPS techniques. The thickness of these monolayers consistently increased by ∼1.0 Å for every additional methylene (CH2) within the hydrocarbon chain of the reagents. Tilt angles of the molecules covalently attached to silicon oxide surfaces were estimated to be ∼35° for each type of reagent. These results were consistent with the observations reported for thiol based or silane based monolayers on either gold or silicon oxide surfaces, respectively. The results of this study also suggest that the alcohol based monolayers are uniform at a molecular level.

  11. Effect of the Molecular Weight of AB Diblock Copolymers on the Lamellar Orientation in Thin Films

    DEFF Research Database (Denmark)

    Potemkin, Igor I.; Busch, Peter; Smilgies, Detlef-M

    2007-01-01

    We propose a theoretical explanation of the parallel and perpendicular lamellar orientations in free surface films of symmetric polystyrene-block-polybutadiene diblock copolymers on silicon substrates (with a native SiOx layer). Two approaches are developed: A correction to the strong segregation...

  12. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  13. Plasmonic Properties of Silicon Nanocrystals Doped with Boron and Phosphorus.

    Science.gov (United States)

    Kramer, Nicolaas J; Schramke, Katelyn S; Kortshagen, Uwe R

    2015-08-12

    Degenerately doped silicon nanocrystals are appealing plasmonic materials due to silicon's low cost and low toxicity. While surface plasmonic resonances of boron-doped and phosphorus-doped silicon nanocrystals were recently observed, there currently is poor understanding of the effect of surface conditions on their plasmonic behavior. Here, we demonstrate that phosphorus-doped silicon nanocrystals exhibit a plasmon resonance immediately after their synthesis but may lose their plasmonic response with oxidation. In contrast, boron-doped nanocrystals initially do not exhibit plasmonic response but become plasmonically active through postsynthesis oxidation or annealing. We interpret these results in terms of substitutional doping being the dominant doping mechanism for phosphorus-doped silicon nanocrystals, with oxidation-induced defects trapping free electrons. The behavior of boron-doped silicon nanocrystals is more consistent with a strong contribution of surface doping. Importantly, boron-doped silicon nanocrystals exhibit air-stable plasmonic behavior over periods of more than a year.

  14. Silicon effects on formation of EPO oxide coatings on aluminum alloys

    International Nuclear Information System (INIS)

    Wang, L.; Nie, X.

    2006-01-01

    Electrolytic plasma processes (EPP) can be used for cleaning, metal-coating, carburizing, nitriding, and oxidizing. Electrolytic plasma oxidizing (EPO) is an advanced technique to deposit thick and hard ceramic coatings on a number of aluminum alloys. However, the EPO treatment on Al-Si alloys with a high Si content has rarely been reported. In this research, an investigation was conducted to clarify the effects of silicon contents on the EPO coating formation, morphology, and composition. Cast hypereutectic 390 alloys (∼ 17% Si) and hypoeutectic 319 alloys (∼ 7% Si) were chosen as substrates. The coating morphology, composition, and microstructure of the EPO coatings on those substrates were investigated using scanning electron microscopy (SEM) with energy dispersive X-ray (EDX) analysis and X-ray diffraction (XRD). A stylus roughness tester was used for surface roughness measurement. It was found that the EPO process had four stages where each stage was corresponding to various coating surface morphology, composition, and phase structures, characterised by different coating growth mechanisms

  15. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  16. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  17. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Derbali, L., E-mail: rayan.slat@yahoo.fr [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia); Ezzaouia, H. [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-08-01

    Highlights: Black-Right-Pointing-Pointer Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. Black-Right-Pointing-Pointer An efficient surface passivation can be obtained after thermal treatment of obtained films. Black-Right-Pointing-Pointer Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 Degree-Sign C. Vanadium pentoxide (V{sub 2}O{sub 5}) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 Degree-Sign C and 800 Degree-Sign C, under O{sub 2} atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  18. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2012-01-01

    Highlights: ► Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. ► An efficient surface passivation can be obtained after thermal treatment of obtained films. ► Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 °C. Vanadium pentoxide (V 2 O 5 ) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 °C and 800 °C, under O 2 atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  19. Barrier layer arrangement for conductive layers on silicon substrates

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.

    1990-01-01

    This patent describes a circuit element comprised of a silicon substrate and a conductive layer located on the substrate. It is characterized in that the conductive layer consists essentially of a rare earth alkaline earth copper oxide and a barrier layer triad is interposed between the silicon substrate and the conductive layer comprised of a first triad layer located adjacent the silicon substrate consisting essentially of silica, a third triad layer remote from the silicon substrate consisting essentially of a least one Group 4 heavy metal oxide, and a second triad layer interposed between the first and third triad layers consisting essentially of a mixture of silica and at lease one Group 4 heavy metal oxide

  20. Porous silicon used as an oxide diffusion mask to produce a periodic micro doped n{sup ++}/n regions

    Energy Technology Data Exchange (ETDEWEB)

    Dimassi, Wissem; Jafel, Hayet; Lajnef, Mohamed; Ali Kanzari, M.; Bouaicha, Mongi; Bessais, Brahim; Ezzaouia, Hatem [Laboratoire de Photovoltaique, Centre de Recherche et des Technologies de l' Energie, PB: 95, Hammam Lif 2050 (Tunisia)

    2011-06-15

    The realization of screen-printed contacts on silicon solar cells requires highly doped regions under the fingers and lowly doped and thin ones between them. In this work, we present a low-cost approach to fabricate selective emitter (n{sup ++}/n doped silicon regions), using oxidized porous silicon (ox-PS) as a mask. Micro-periodic fingers were opened on the porous silicon layer using a micro groove machining process. Optimized phosphorous diffusion through the micro grooved ox-PS let us obtain n{sup ++} doped regions in opened zones and n doped large regions underneath the ox-PS layer. The dark I-V characteristics of the obtained device and Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer show the possibility to use PS as a dielectric layer. The Light Beam Induced Current (LBIC) mapping of the realized device, confirm the presence of a micro periodic n{sup ++}/n type structure. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  2. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  3. Tribology study of reduced graphene oxide sheets on silicon substrate synthesized via covalent assembly.

    Science.gov (United States)

    Ou, Junfei; Wang, Jinqing; Liu, Sheng; Mu, Bo; Ren, Junfang; Wang, Honggang; Yang, Shengrong

    2010-10-19

    Reduced graphene oxide (RGO) sheets were covalently assembled onto silicon wafers via a multistep route based on the chemical adsorption and thermal reduction of graphene oxide (GO). The formation and microstructure of RGO were analyzed by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy, Raman spectroscopy, and water contact angle (WCA) measurements. Characterization by atomic force microscopy (AFM) was performed to evaluate the morphology and microtribological behaviors of the samples. Macrotribological performance was tested on a ball-on-plate tribometer. Results show that the assembled RGO possesses good friction reduction and antiwear ability, properties ascribed to its intrinsic structure, that is, the covalent bonding to the substrate and self-lubricating property of RGO.

  4. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  5. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  6. The influence of silicon as a possible reactive element in the protection against high temperature oxidation of AISI 304 stainless steel

    International Nuclear Information System (INIS)

    Otero, E.; Perez, F.J.; Hierro, M.P.; Gomez, C.; Pedraza, F.; Segovia, J. L. de; Roman, E.

    1998-01-01

    The influence of silicon incorporated into the alloy by means of ion implantation of 1 x 10''15 ions/cm''2 at 150 keV on the protective scale development based upon Cr 1 ,3 Fe 0 ,7O 3 and manganese-enriched spinels, Mn 1 ,5Cr 1 .5O 4 after oxidation of an austenitic AISI 304 stainless steel at 1.173 K and atmospheric pressure of air for 144 h has been studied. The presence of small quantities of silicon at the outermost layers of the alloy promotes transport of chromium during the early stages of oxidation. Further, ion implantation seems to play a beneficial role against decarburization of the alloy. (Author) 8 refs

  7. Reaction-bonded silicon nitride

    International Nuclear Information System (INIS)

    Porz, F.

    1982-10-01

    Reaction-bonded silicon nitride (RBSN) has been characterized. The oxidation behaviour in air up to 1500 0 C and 3000 h and the effects of static and cyclic oxidation on room-temperature strength have been studied. (orig./IHOE) [de

  8. Non-classical polycrystalline silicon thin-film transistor with embedded block-oxide for suppressing the short channel effect

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Huang, Kuo-Dong; Hu, Shu-Fen

    2008-01-01

    In this paper, a polycrystalline silicon (polysilicon) thin-film transistor with a block oxide enclosing body, BTFT, is fabricated and investigated. By utilizing the block-oxide structure of thin-film transistors, the BTFT is shown to suppress the short channel effect. This proposed structure is formed by burying self-aligned oxide spacers along the sidewalls of the source and drain junctions, which reduces the P–N junction area, thereby reducing the junction capacitance and leakage current. Measurements demonstrate that the BTFT eliminates the punch-through effect even down to gate lengths of 1.5 µm, whereas the conventional TFT suffers serious short channel effects at this gate length

  9. Surface and Core Electronic Structure of Oxidized Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    Noor A. Nama

    2010-01-01

    Full Text Available Ab initio restricted Hartree-Fock method within the framework of large unit cell formalism is used to simulate silicon nanocrystals between 216 and 1000 atoms (1.6–2.65 nm in diameter that include Bravais and primitive cell multiples. The investigated properties include core and oxidized surface properties. Results revealed that electronic properties converge to some limit as the size of the nanocrystal increases. Increasing the size of the core of a nanocrystal resulted in an increase of the energy gap, valence band width, and cohesive energy. The lattice constant of the core and oxidized surface parts shows a decreasing trend as the nanocrystal increases in a size that converges to 5.28 Ǻ in a good agreement with the experiment. Surface and core convergence to the same lattice constant reflects good adherence of oxide layer at the surface. The core density of states shows highly degenerate states that split at the oxygenated (001-(1×1 surface due to symmetry breaking. The nanocrystal surface shows smaller gap and higher valence and conduction bands when compared to the core part, due to oxygen surface atoms and reduced structural symmetry. The smaller surface energy gap shows that energy gap of the nanocrystal is controlled by the surface part. Unlike the core part, the surface part shows a descending energy gap that proves its obedience to quantum confinement effects. Nanocrystal geometry proved to have some influence on all electronic properties including the energy gap.

  10. Preparation and properties of novel epoxy/graphene oxide nanosheets (GON) composites functionalized with flame retardant containing phosphorus and silicon

    International Nuclear Information System (INIS)

    Li, Kuo-Yi; Kuan, Chen-Feng; Kuan, Hsu-Chiang; Chen, Chia-Hsun; Shen, Ming-Yuan; Yang, Jia-Ming; Chiang, Chin-Lung

    2014-01-01

    2-(Diphenylphosphino)ethyltriethoxy silane (DPPES) was grafted onto the surface of graphene oxide nanosheets (GON) via a condensation reaction. X-ray photoelectron spectroscopy, X-ray diffractometry, Fourier transform infrared spectroscopy and Raman spectroscopy verify that DPPES did not only covalently bond to GON as a functionalization moiety, but partly restored its conjugated structure as a reducing agent. DPPES on graphene sheets oxide was observed by transmission electron microscopy, and contributed to the favorable dispersion of DPPES-GON in nonpolar toluene. Additionally, the flame retardancy and thermal stability of epoxy/DPPES-GON nanocomposites that contain various weight fractions of DPPES-GON were studied using the limiting oxygen index test, UL-94 test and by thermogravimetric analysis in nitrogen. The composites containing 10 wt% DPPES-GON can pass V-0 rating in UL-94 test. Adding 10 wt% DPPES-GON in epoxy greatly increased the char yield and LOI by 42% and 80%, respectively. Epoxy/DPPES-GON nanocomposites with phosphorus, silicon and graphene layer structures were found to exhibit much greater flame retardancy than neat epoxy. The synergistic effects among silicon, phosphorus and GON can improve the flame retardancy of epoxy resin. - Highlights: • Flame retardant was grafted on the surface of graphene oxide nanosheets (GON) by the condensation reaction. • The synergistic effect between silicon, phosphorus and GON improved the flame retardance of epoxy resin. • Epoxy composites have excellent flame retardance at low additive concentrations

  11. Down-conversion luminescence from (Ce, Yb) co-doped oxygen-rich silicon oxides

    International Nuclear Information System (INIS)

    Heng, C. L.; Wang, T.; Su, W. Y.; Wu, H. C.; Yin, P. G.; Finstad, T. G.

    2016-01-01

    We have studied down-conversion photoluminescence (PL) from (Ce, Yb) co-doped “oxygen rich” silicon oxide films prepared by sputtering and annealing. The Ce"3"+ ∼510 nm PL is sensitive to the Ce concentration of the films and is much stronger for 3 at. % Ce than for 2 at. % Ce after annealing at 1200 °C. The PL emission and excitation spectroscopy results indicate that the excitation of Yb"3"+ is mainly through an energy transfer from Ce"3"+ to Yb"3"+, oxide defects also play a role in the excitation of Yb"3"+ after lower temperature (∼800 °C) annealing. The Ce"3"+ 510 nm photon excites mostly only one Yb"3"+ 980 nm photon. Temperature-dependent PL measurements suggest that the energy transfer from Ce"3"+ to Yb"3"+ is partly thermally activated.

  12. Self-limiting and complete oxidation of silicon nanostructures produced by laser ablation in water

    Energy Technology Data Exchange (ETDEWEB)

    Vaccaro, L.; Messina, F.; Camarda, P.; Gelardi, F. M.; Cannas, M., E-mail: marco.cannas@unipa.it [Dipartimento di Fisica e Chimica, Università di Palermo, Via Archirafi 36, I-90123 Palermo (Italy); Popescu, R.; Schneider, R.; Gerthsen, D. [Laboratory for Electron Microscopy, Karlsruhe Institute of Technology, Engesserstrasse 7, 76131 Karlsruhe (Germany)

    2016-07-14

    Oxidized Silicon nanomaterials produced by 1064 nm pulsed laser ablation in deionized water are investigated. High-resolution transmission electron microscopy coupled with energy dispersive X-ray spectroscopy allows to characterize the structural and chemical properties at a sub-nanometric scale. This analysis clarifies that laser ablation induces both self-limiting and complete oxidation processes which produce polycrystalline Si surrounded by a layer of SiO{sub 2} and amorphous fully oxidized SiO{sub 2}, respectively. These nanostructures exhibit a composite luminescence spectrum which is investigated by time-resolved spectroscopy with a tunable laser excitation. The origin of the observed luminescence bands agrees with the two structural typologies: Si nanocrystals emit a μs-decaying red band; defects of SiO{sub 2} give rise to a ns-decaying UV band and two overlapping blue bands with lifetime in the ns and ms timescale.

  13. Electrical characterization of high-pressure reactive sputtered ScOx films on silicon

    International Nuclear Information System (INIS)

    Castan, H.; Duenas, S.; Gomez, A.; Garcia, H.; Bailon, L.; Feijoo, P.C.; Toledano-Luque, M.; Prado, A. del; San Andres, E.; Lucia, M.L.

    2011-01-01

    Al/ScO x /SiN x /n-Si and Al/ScO x /SiO x /n-Si metal-insulator-semiconductor capacitors have been electrically characterized. Scandium oxide was grown by high-pressure sputtering on different substrates to study the dielectric/insulator interface quality. The substrates were silicon nitride and native silicon oxide. The use of a silicon nitride interfacial layer between the silicon substrate and the scandium oxide layer improves interface quality, as interfacial state density and defect density inside the insulator are decreased.

  14. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  15. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  16. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  17. Oxidation protection and behavior of in-situ zirconium diboride–silicon carbide coating for carbon/carbon composites

    International Nuclear Information System (INIS)

    Li, Lu; Li, Hejun; Yin, Xuemin; Chu, Yanhui; Chen, Xi; Fu, Qiangang

    2015-01-01

    Highlights: • ZrB 2 –SiC coating was prepared on C/C composite by in-situ reaction. • A two-layered structure was obtained when the coating was oxidized at 1500 °C. • The formation and collapse of bubbles influenced the coating oxidation greatly. • The morphology evolution of oxide scale during oxidation was illuminated. - Abstract: To protect carbon/carbon (C/C) composites against oxidation, zirconium diboride–silicon carbide (ZrB 2 –SiC) coating was prepared by in-situ reaction using ZrC, B 4 C and Si as raw materials. The in-situ ZrB 2 –SiC coated C/C presented good oxidation resistance, whose weight loss was only 0.15% after isothermal oxidation at 1500 °C for 216 h. Microstructure evolution of coating at 1500 °C was studied, revealing a two-layered structure: (1) ZrO 2 (ZrSiO 4 ) embedded in SiO 2 -rich glass, and (2) unaffected ZrB 2 –SiC. The formation and collapse of bubbles influenced the coating oxidation greatly. A model based on the evolution of oxide scale was proposed to explain the failure mechanism of coating

  18. Simple Synthesis and Growth Mechanism of Core/Shell CdSe/SiOx Nanowires

    Directory of Open Access Journals (Sweden)

    Guozhang Dai

    2010-01-01

    Full Text Available Core-shell-structured CdSe/SiOx nanowires were synthesized on an equilateral triangle Si (111 substrate through a simple one-step thermal evaporation process. SEM, TEM, and XRD investigations confirmed the core-shell structure; that is, the core zone is single crystalline CdSe and the shell zone is SiOx amorphous layer and CdSe core was grown along (001 direction. Two-stage growth process was present to explain the growth mechanism of the core/shell nanwires. The silicon substrate of designed equilateral triangle providing the silicon source is the key factor to form the core-shell nanowires, which is significant for fabrication of nanowire-core sheathed with a silica system. The PL of the product studied at room temperature showed two emission bands around 715 and 560 nm, which originate from the band-band transition of CdSe cores and the amorphous SiOx shells, respectively.

  19. Structural and optical properties of silicon rich oxide films in graded-stoichiometric multilayers for optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Palacios-Huerta, L.; Aceves-Mijares, M. [Electronics Department, INAOE, Apdo. 51, Puebla, Pue. 72000, México (Mexico); Cabañas-Tay, S. A.; Cardona-Castro, M. A.; Morales-Sánchez, A., E-mail: alfredo.morales@cimav.edu.mx [Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, Apodaca, NL 66628, México (Mexico); Domínguez-Horna, C. [Instituto de Microelectrónica de Barcelona, IMB-CNM (CSIC), Bellaterra 08193, Barcelona (Spain)

    2016-07-18

    Silicon nanocrystals (Si-ncs) are excellent candidates for the development of optoelectronic devices. Nevertheless, different strategies are still necessary to enhance their photo and electroluminescent properties by controlling their structural and compositional properties. In this work, the effect of the stoichiometry and structure on the optical properties of silicon rich oxide (SRO) films in a multilayered (ML) structure is studied. SRO MLs with silicon excess gradually increased towards the top and bottom and towards the center of the ML produced through the variation of the stoichiometry in each SRO layer were fabricated and confirmed by X-ray photoelectron spectroscopy. Si-ncs with three main sizes were observed by a transmission electron microscope, in agreement with the stoichiometric profile of each SRO layer. The presence of the three sized Si-ncs and some oxygen related defects enhances intense violet/blue and red photoluminescence (PL) bands. The SRO MLs were super-enriched with additional excess silicon by Si{sup +} implantation, which enhanced the PL intensity. Oxygen-related defects and small Si-ncs (<2 nm) are mostly generated during ion implantation enhancing the violet/blue band to become comparable to the red band. The structural, compositional, and luminescent characteristics of the multilayers are the result of the contribution of the individual characteristics of each layer.

  20. Strong and reversible modulation of carbon nanotube-silicon heterojunction solar cells by an interfacial oxide layer.

    Science.gov (United States)

    Jia, Yi; Cao, Anyuan; Kang, Feiyu; Li, Peixu; Gui, Xuchun; Zhang, Luhui; Shi, Enzheng; Wei, Jinquan; Wang, Kunlin; Zhu, Hongwei; Wu, Dehai

    2012-06-21

    Deposition of nanostructures such as carbon nanotubes on Si wafers to make heterojunction structures is a promising route toward high efficiency solar cells with reduced cost. Here, we show a significant enhancement in the cell characteristics and power conversion efficiency by growing a silicon oxide layer at the interface between the nanotube film and Si substrate. The cell efficiency increases steadily from 0.5% without interfacial oxide to 8.8% with an optimal oxide thickness of about 1 nm. This systematic study reveals that formation of an oxide layer switches charge transport from thermionic emission to a mixture of thermionic emission and tunneling and improves overall diode properties, which are critical factors for tailoring the cell behavior. By controlled formation and removal of interfacial oxide, we demonstrate oscillation of the cell parameters between two extreme states, where the cell efficiency can be reversibly altered by a factor of 500. Our results suggest that the oxide layer plays an important role in Si-based photovoltaics, and it might be utilized to tune the cell performance in various nanostructure-Si heterojunction structures.

  1. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  2. Complete suppression of boron transient-enhanced diffusion and oxidation-enhanced diffusion in silicon using localized substitutional carbon incorporation

    Science.gov (United States)

    Carroll, M. S.; Chang, C.-L.; Sturm, J. C.; Büyüklimanli, T.

    1998-12-01

    In this letter, we show the ability, through introduction of a thin Si1-x-yGexCy layer, to eliminate the enhancement of enhanced boron diffusion in silicon due to an oxidizing surface or ion implant damage. This reduction of diffusion is accomplished through a low-temperature-grown thin epitaxial Si1-x-yGexCy layer which completely filters out excess interstitials introduced by oxidation or ion implant damage. We also quantify the oxidation-enhanced diffusion (OED) and transient-enhanced diffusion (TED) dependence on substitutional carbon level, and further report both the observation of carbon TED and OED, and its dependence on carbon levels.

  3. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  4. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  5. Effect of UV irradiations on the structural and optical features of porous silicon: application in silicon solar cells

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Boujmil, M.F.; Ben Rabha, M.; Bessaies, B.

    2004-01-01

    The aim of this paper is to investigate the structural and optical stability of porous silicon layers (PSLs) planned to be used in silicon solar cells technology. The PSLs were prepared by a HNO 3 /HF vapor etching (VE) based method. Fourier transform infrared (FT-IR) spectroscopy shows that fresh VE-based PSLs contain N-H and Si-F bonds related to a ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 minor phase, and conventional Si-H x and Si-O x bonds. Free air exposures of PSLs without and with UV irradiation lead to oxidation or photo-oxidation of the porous layer, respectively. FT-IR characterisation of the PSLs shows that UV irradiations modify the transformation kinetics replacing instable Si-H x by Si-O x or Si-O-H bonds. When fresh PSLs undergo free air oxidation within 7 days, the surface reflectivity decreases from 10 to about 8%, while it drops to about 4% when a 10 min free air UV irradiation is applied. Long periods of free air oxidation do not ensure the reflectivity to be stable, whereas it becomes stable after only 10 min of UV irradiation. This behaviour was explained taking into account the kinetic differences between oxidation with and without UV irradiation. Fresh VE-based PSLs were found to improve efficiently the photovoltaic (PV) characteristics of crystalline silicon solar cells. The passivating action of VE-based PSLs was discussed. An improvement of the PV performances was observed solely for stable oxidized porous silicon (PS) structures obtained from UV irradiations

  6. On the growth of native oxides on hydrogen-terminated silicon surfaces in dark and under illumination with light

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Zinine, A.; Bankras, R.G.; Wormeester, Herbert; Poelsema, Bene; Schmitz, Jurriaan

    2006-01-01

    After a cleaning procedure, a silicon surface can be terminated by Si-OH groups which results in a high chemical activity. As it is accepted, after removing the wet-chemically grown oxide layer using an HF solution, the surface becomes terminated with Si-H groups. This results in a chemically stable

  7. Hydrogen Production Using a Molybdenum Sulfide Catalyst on a Titanium-Protected n+p-Silicon Photocathode

    DEFF Research Database (Denmark)

    Seger, Brian; Laursen, Anders Bo; Vesborg, Peter Christian Kjærgaard

    2012-01-01

    A low-cost substitute: A titanium protection layer on silicon made it possible to use silicon under highly oxidizing conditions without oxidation of the silicon. Molybdenum sulfide was electrodeposited on the Ti-protected n+p-silicon electrode. This electrode was applied as a photocathode for wat...

  8. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  9. High-temperature oxidation of silicide-aluminide layer on the TiAl6V4 alloy prepared by liquid-phase siliconizing

    Czech Academy of Sciences Publication Activity Database

    Kubatík, Tomáš František

    2016-01-01

    Roč. 50, č. 2 (2016), s. 257-261 ISSN 1580-2949 Institutional support: RVO:61389021 Keywords : TiAl6V4 * silicides * high-temperature oxidation * liquid-phase silicon izing Subject RIV: JG - Metallurgy Impact factor: 0.436, year: 2016

  10. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  11. A highly sensitive and durable electrical sensor for liquid ethanol using thermally-oxidized mesoporous silicon

    Science.gov (United States)

    Harraz, Farid A.; Ismail, Adel A.; Al-Sayari, S. A.; Al-Hajry, A.; Al-Assiri, M. S.

    2016-12-01

    A capacitive detection of liquid ethanol using reactive, thermally oxidized films constructed from electrochemically synthesized porous silicon (PSi) is demonstrated. The sensor elements are fabricated as meso-PSi (pore sizes hydrophobic PSi surface exhibited almost a half sensitivity of the thermal oxide sensor. The response to water is achieved only at the oxidized surface and found to be ∼one quarter of the ethanol sensitivity, dependent on parameters such as vapor pressure and surface tension. The capacitance response retains ∼92% of its initial value after continuous nine cyclic runs and the sensors presumably keep long-term stability after three weeks storage, demonstrating excellent durability and storage stability. The observed behavior in current system is likely explained by the interface interaction due to dipole moment effect. The results suggest that the current sensor structure and design can be easily made to produce notably higher sensitivities for reversible detection of various analytes.

  12. Effect of gamma irradiation on the photoluminescence of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Goryachev, D. N. [Russian Academy of Sciences, Ioffe Institute (Russian Federation); Zakharova, I. B. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Sreseli, O. M. [Russian Academy of Sciences, Ioffe Institute (Russian Federation)

    2017-04-15

    The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a change in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.

  13. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  14. Atomic force microscopy-based repeated machining theory for nanochannels on silicon oxide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Z.Q., E-mail: wangzhiqian@sia.cn [State Key Laboratory of Robotics, Shenyang Institute of Automation, CAS, Shenyang 110016 (China); Graduate University of the Chinese Academy of Sciences, Beijing 100049 (China); Jiao, N.D. [State Key Laboratory of Robotics, Shenyang Institute of Automation, CAS, Shenyang 110016 (China); Tung, S. [Department of Mechanical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Dong, Z.L. [State Key Laboratory of Robotics, Shenyang Institute of Automation, CAS, Shenyang 110016 (China)

    2011-02-01

    The atomic force microscopy (AFM)-based repeated nanomachining of nanochannels on silicon oxide surfaces is investigated both theoretically and experimentally. The relationships of the initial nanochannel depth vs. final nanochannel depth at a normal force are systematically studied. Using the derived theory and simulation results, the final nanochannel depth can be predicted easily. Meanwhile, if a nanochannel with an expected depth needs to be machined, a right normal force can be selected simply and easily in order to decrease the wear of the AFM tip. The theoretical analysis and simulation results can be effectively used for AFM-based fabrication of nanochannels.

  15. The suitability of silicon carbide for photocatalytic water oxidation

    Science.gov (United States)

    Aslam, M.; Qamar, M. T.; Ahmed, Ikram; Rehman, Ateeq Ur; Ali, Shahid; Ismail, I. M. I.; Hameed, Abdul

    2018-04-01

    Silicon carbide (SiC), owing to its extraordinary chemical stability and refractory properties, is widely used in the manufacturing industry. Despite the semiconducting nature and morphology-tuned band gap, its efficacy as photocatalysts has not been thoroughly investigated. The current study reports the synthesis, characterization and the evaluation of the capability of silicon carbide for hydrogen generation from water splitting. The optical characterization of the as-synthesized powder exposed the formation of multi-wavelength absorbing entities in synthetic process. The structural analysis by XRD and the fine microstructure analysis by HRTEM revealed the cubic 3C-SiC (β-SiC) and hexagonal α-polymorphs (2H-SiC and 6H-SiC) as major and minor phases, respectively. The Mott-Schottky analysis verified the n-type nature of the material with the flat band potential of - 0.7 V. In the electrochemical evaluation, the sharp increase in the peak currents in various potential ranges, under illumination, revealed the plausible potential of the material for the oxidation of water and generation of hydrogen. The generation of hydrogen and oxygen, as a consequence of water splitting in the actual photocatalytic experiments, was observed and measured. A significant increase in the yield of hydrogen was noticed in the presence of methanol as h + scavenger, whereas a retarding effect was offered by the Fe3+ entities that served as e - scavengers. The combined effect of both methanol and Fe3+ ions in the photocatalytic process was also investigated. Besides hydrogen gas, the other evolved gasses such as methane and carbon monoxide were also measured to estimate the mechanism of the process.

  16. Electrochemical and hydrothermal deposition of ZnO on silicon: from continuous films to nanocrystals

    International Nuclear Information System (INIS)

    Balucani, M.; Nenzi, P.; Chubenko, E.; Klyshko, A.; Bondarenko, V.

    2011-01-01

    This article presents the study of the electrochemical deposition of zinc oxide from the non-aqueous solution based on dimethyl sulfoxide and zinc chloride into the porous silicon matrix. The features of the deposition process depending on the thickness of the porous silicon layer are presented. It is shown that after deposition process the porous silicon matrix is filled with zinc oxide nanocrystals with a diameter of 10–50 nm. The electrochemically deposited zinc oxide layers on top of porous silicon are shown to have a crystalline structure. It is also shown that zinc oxide crystals formed by hydrothermal method on the surface of electrochemically deposited zinc oxide film demonstrate ultra-violet luminescence. The effect of the porous silicon layer thickness on the morphology of the zinc oxide is shown. The structures obtained demonstrated two luminescence bands peaking at the 375 and 600 nm wavelengths. Possible applications of ZnO nanostructures, porous and continuous polycrystalline ZnO films such as gas sensors, light-emitting diodes, photovoltaic devices, and nanopiezo energy generators are considered. Aspects of integration with conventional silicon technology are also discussed.

  17. Effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon films

    Science.gov (United States)

    Choi, Woong; Lee, Jung-Kun; Findikoglu, Alp T.

    2006-12-01

    The authors report studies of the effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon (ACSi) films by means of capacitance-voltage (C-V) measurements. C-V curves were measured on metal-oxide-semiconductor (MOS) capacitors fabricated on ⟨001⟩-oriented ACSi films on polycrystalline substrates. From high-frequency C-V curves, the authors calculated a decrease of interface trap density from 2×1012to1×1011cm-2eV-1 as the grain mosaic spread in ACSi films improved from 13.7° to 6.5°. These results demonstrate the effectiveness of grain alignment as a process technique to achieve significantly enhanced performance in small-grained (⩽1μm ) polycrystalline Si MOS-type devices.

  18. Charge separation technique for metal-oxide-silicon capacitors in the presence of hydrogen deactivated dopants

    International Nuclear Information System (INIS)

    Witczak, Steven C.; Winokur, Peter S.; Lacoe, Ronald C.; Mayer, Donald C.

    2000-01-01

    An improved charge separation technique for metal-oxide-silicon (MOS) capacitors is presented which accounts for the deactivation of substrate dopants by hydrogen at elevated irradiation temperatures or small irradiation biases. Using high-frequency capacitance-voltage (C-V) measurements, radiation-induced inversion voltage shifts are separated into components due to oxide trapped charge, interface traps and deactivated dopants, where the latter is computed from a reduction in Si capacitance. In the limit of no radiation-induced dopant deactivation, this approach reduces to the standard midgap charge separation technique used widely for the analysis of room-temperature irradiations. The technique is demonstrated on a p-type MOS capacitor irradiated with 60 Co γ-rays at 100 C and zero bias, where the dopant deactivation is significant

  19. Synthesis of low-oxide blue luminescent alkyl-functionalized silicon nanoparticles with no nitrogen containing surfactant

    International Nuclear Information System (INIS)

    Thomas, Jason A.; Ashby, Shane P.; Huld, Frederik; Pennycook, Timothy J.; Chao, Yimin

    2015-01-01

    Of ever growing interest in the fields of physical chemistry and materials science, silicon nanoparticles show a great deal of potential. Methods for their synthesis are, however, often hazardous, expensive or otherwise impractical. In the literature, there is a safe, fast and cheap inverse micelle-based method for the production of alkyl-functionalized blue luminescent silicon nanoparticles, which nonetheless found limitations, due to undesirable Si-alkoxy and remaining Si–H functionalization. In the following work, these problems are addressed, whereby an optimisation of the reaction mechanism encourages more desirable capping, and the introduction of alcohol is replaced by the use of anhydrous copper (II) chloride. The resulting particles, when compared with their predecessors through a myriad of spectroscopic techniques, are shown to have greatly reduced levels of ‘undesirable’ capping, with a much lower surface oxide level; whilst also maintaining long-term air stability, strong photoluminescence and high yields

  20. Synthesis of low-oxide blue luminescent alkyl-functionalized silicon nanoparticles with no nitrogen containing surfactant

    Energy Technology Data Exchange (ETDEWEB)

    Thomas, Jason A.; Ashby, Shane P.; Huld, Frederik [University of East Anglia, School of Chemistry (United Kingdom); Pennycook, Timothy J. [SuperSTEM Laboratory, STFC Daresbury Campus (United Kingdom); Chao, Yimin, E-mail: y.chao@uea.ac.uk [University of East Anglia, School of Chemistry (United Kingdom)

    2015-05-15

    Of ever growing interest in the fields of physical chemistry and materials science, silicon nanoparticles show a great deal of potential. Methods for their synthesis are, however, often hazardous, expensive or otherwise impractical. In the literature, there is a safe, fast and cheap inverse micelle-based method for the production of alkyl-functionalized blue luminescent silicon nanoparticles, which nonetheless found limitations, due to undesirable Si-alkoxy and remaining Si–H functionalization. In the following work, these problems are addressed, whereby an optimisation of the reaction mechanism encourages more desirable capping, and the introduction of alcohol is replaced by the use of anhydrous copper (II) chloride. The resulting particles, when compared with their predecessors through a myriad of spectroscopic techniques, are shown to have greatly reduced levels of ‘undesirable’ capping, with a much lower surface oxide level; whilst also maintaining long-term air stability, strong photoluminescence and high yields.

  1. A review of oxide, silicon nitride, and silicon carbide brazing

    International Nuclear Information System (INIS)

    Santella, M.L.; Moorhead, A.J.

    1987-01-01

    There is growing interest in using ceramics for structural applications, many of which require the fabrication of components with complicated shapes. Normal ceramic processing methods restrict the shapes into which these materials can be produced, but ceramic joining technology can be used to overcome many of these limitations, and also offers the possibility for improving the reliability of ceramic components. One method of joining ceramics is by brazing. The metallic alloys used for bonding must wet and adhere to the ceramic surfaces without excessive reaction. Alumina, partially stabilized zirconia, and silicon nitride have high ionic character to their chemical bonds and are difficult to wet. Alloys for brazing these materials must be formulated to overcome this problem. Silicon carbide, which has some metallic characteristics, reacts excessively with many alloys, and forms joints of low mechanical strength. The brazing characteristics of these three types of ceramics, and residual stresses in ceramic-to-metal joints are briefly discussed

  2. Control of the optical properties of silicon and chromium mixed oxides deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Vergara, L.; Galindo, R. Escobar; Martinez, R.; Sanchez, O.; Palacio, C.; Albella, J.M.

    2011-01-01

    The development of mixed-oxide thin films allows obtaining materials with better properties than those of the different binary oxides, which makes them suitable for a great number of applications in different fields, such as tribology, optics or microelectronics. In this paper we investigate the deposition of mixed chromium and silicon oxides deposited by reactive magnetron sputtering with a view to use them as optical coatings with an adjustable refractive index. These films have been characterized by means of Rutherford backscattering spectrometry, Auger electron spectroscopy, X-ray diffraction, scanning electron microscopy, Fourier-transform infrared spectroscopy and spectroscopic ellipsometry so as to determine how the deposition conditions influence the characteristics of the material. We have found that the deposition parameter whose influence determines the properties of the films to a greater extent is the amount of oxygen in the reactive sputtering gas.

  3. Adsorbate-modified growth of ultrathin rare-earth oxide films on silicon and complementary studies of cerium oxide on ruthenium; Adsorbat-modifiziertes Wachstum ultraduenner Seltenerdoxid-Filme auf Silizium und komplementaere Studien von Ceroxid auf Ruthenium

    Energy Technology Data Exchange (ETDEWEB)

    Kaemena, Bjoern

    2013-11-27

    Rare-earth oxides (REOx) are extensively investigated due to their extraordinary physical and chemical properties, which essentially arise from the unfilled 4f electron shell, in order to reveal the nature of these exceptional properties and ultimately to utilize them for multiple technological applications. To maintain the exponential increase in integration density in CMOS technology, which is also known as Moore s law, there is a strong desire for ultrathin, well-ordered, epitaxial REOx layers with a precisely engineered interface, which is essential for reliable, ultrahigh-performance devices. So far this has been considerably impeded by RE-promoted silicon oxidation, leading to amorphous silicon oxide and RE silicon formation. By using complementary synchrotron radiation methods such as X-ray standing waves (XSW), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD), structural and spectroscopic information are inferred simultaneously from ultrathin ceria and lanthana films grown on chlorine, silver and gallium passivated silicon(111). In general, it is revealed that the chemical and structural composition of the interface and the crystallinity of ultrathin REOx layers on silicon can be precisely controlled by adsorbate-mediated growth. This might represent a crucial step towards a perfectly engineered interface, eventually allowing for the integration of REOx as high-k gate oxides in microelectronics. In catalysis inverse model catalysts are studied with the aim of getting an in-depth understanding of the basic principles of catalysis. These model systems are employed to study, e. g., the nature of active sites and the reaction pathways in complex catalytic converters. However, a lot remains unknown about the chemical activity and selectivity as a function of the growth mechanism, structure and morphology of these model systems. The powerful spectroscopic photoemission and low-energy electron microscope, which is able to reveal the surface

  4. Optical properties of phosphorescent nano-silicon electrochemically doped with terbium

    Energy Technology Data Exchange (ETDEWEB)

    Gelloz, Bernard [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Mentek, Romain; Koshida, Nobuyoshi [Tokyo University A and T, 2-24-16 Nakacho, Koganei, Tokyo 184-8588 (Japan)

    2012-12-15

    Hybrid thin films consisting of oxidized nano-silicon doped with terbium have been fabricated. Nano-silicon was formed by electrochemical etching of silicon wafers. Terbium was incorporated into nano-silicon pores by electrochemical deposition. Different oxidizing thermal treatments were applied to the films. The samples treated by high-pressure water vapor annealing (HWA) exhibited strong blue emission with a phosphorescent component, as previously reported by our group. The low temperature (260 C) HWA also led to strong emission from Tb{sup 3+} ions, whereas typical high temperature (900 C) treatment generally used to activate Tb{sup 3+} ions in silicon-based materials led to less luminescent samples. Spectroscopic and dynamic analyses suggest that terbium was incorporated as a separate oxide phase in the pores of the porous nano-silicon. The PL of the terbium phase and nano-silicon phase exhibit different temperature and excitation power dependences suggesting little optical or electronic interaction between the two phases. The luminescence of terbium is better activated at low temperature (260 C) than at high temperature (900 C). The hybrid material may find some applications in photonics, for instance as a display material. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Silicon microphotonic waveguides

    International Nuclear Information System (INIS)

    Ta'eed, V.; Steel, M.J.; Grillet, C.; Eggleton, B.; Du, J.; Glasscock, J.; Savvides, N.

    2004-01-01

    Full text: Silicon microphotonic devices have been drawing increasing attention in the past few years. The high index-difference between silicon and its oxide (Δn = 2) suggests a potential for high-density integration of optical functions on to a photonic chip. Additionally, it has been shown that silicon exhibits strong Raman nonlinearity, a necessary property as light interaction can occur only by means of nonlinearities in the propagation medium. The small dimensions of silicon waveguides require the design of efficient tapers to couple light to them. We have used the beam propagation method (RSoft BeamPROP) to understand the principles and design of an inverse-taper mode-converter as implemented in several recent papers. We report on progress in the design and fabrication of silicon-based waveguides. Preliminary work has been conducted by patterning silicon-on-insulator (SOI) wafers using optical lithography and reactive ion etching. Thus far, only rib waveguides have been designed, as single-mode ridge-waveguides are beyond the capabilities of conventional optical lithography. We have recently moved to electron beam lithography as the higher resolutions permitted will provide the flexibility to begin fabricating sub-micron waveguides

  6. Bis(tri-n-hexylsilyl oxide) silicon phthalocyanine: a unique additive in ternary bulk heterojunction organic photovoltaic devices.

    Science.gov (United States)

    Lessard, Benoît H; Dang, Jeremy D; Grant, Trevor M; Gao, Dong; Seferos, Dwight S; Bender, Timothy P

    2014-09-10

    Previous studies have shown that the use of bis(tri-n-hexylsilyl oxide) silicon phthalocyanine ((3HS)2-SiPc) as an additive in a P3HT:PC61BM cascade ternary bulk heterojunction organic photovoltaic (BHJ OPV) device results in an increase in the short circuit current (J(SC)) and efficiency (η(eff)) of up to 25% and 20%, respectively. The previous studies have attributed the increase in performance to the presence of (3HS)2-SiPc at the BHJ interface. In this study, we explored the molecular characteristics of (3HS)2-SiPc which makes it so effective in increasing the OPV device J(SC) and η(eff. Initially, we synthesized phthalocyanine-based additives using different core elements such as germanium and boron instead of silicon, each having similar frontier orbital energies compared to (3HS)2-SiPc and tested their effect on BHJ OPV device performance. We observed that addition of bis(tri-n-hexylsilyl oxide) germanium phthalocyanine ((3HS)2-GePc) or tri-n-hexylsilyl oxide boron subphthalocyanine (3HS-BsubPc) resulted in a nonstatistically significant increase in JSC and η(eff). Secondly, we kept the silicon phthalocyanine core and substituted the tri-n-hexylsilyl solubilizing groups with pentadecyl phenoxy groups and tested the resulting dye in a BHJ OPV. While an increase in JSC and η(eff) was observed at low (PDP)2-SiPc loadings, the increase was not as significant as (3HS)2-SiPc; therefore, (3HS)2-SiPc is a unique additive. During our study, we observed that (3HS)2-SiPc had an extraordinary tendency to crystallize compared to the other compounds in this study and our general experience. On the basis of this observation, we have offered a hypothesis that when (3HS)2-SiPc migrates to the P3HT:PC61BM interface the reason for its unique performance is not solely due to its frontier orbital energies but also might be due to a high driving force for crystallization.

  7. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  8. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  9. Thermogravimetric analysis of silicon carbide-silicon nitride fibers at ambient to 1000 C in air

    Science.gov (United States)

    Daniels, J. G.; Ledbetter, F. E., III; Clemons, J. M.; Penn, B. G.

    1984-01-01

    Thermogravimetric analysis of silicon carbide-silicon nitride fibers was carried out at ambient to 1000 C in air. The weight loss over this temperature range was negligible. In addition, the oxidative stability at high temperature for a short period of time was determined. Fibers heated at 1000 C in air for fifteen minutes showed negligible weight loss (i.e., less than 1 percent).

  10. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  11. The reactive element effect of yttrium and yttrium silicon on high temperature oxidation of NiCrAl coating

    Science.gov (United States)

    Ramandhany, S.; Sugiarti, E.; Desiati, R. D.; Martides, E.; Junianto, E.; Prawara, B.; Sukarto, A.; Tjahjono, A.

    2018-03-01

    The microstructure formed on the bond coat affects the oxidation resistance, particularly the formation of a protective oxide layer. The adhesion of bond coat and TGO increased significantly by addition of reactive element. In the present work, the effect of yttrium and yttrium silicon as reactive element (RE) on NiCrAl coating was investigated. The NiCrAl (without RE) and NiCrAlX (X:Y or YSi) bond coating were deposited on Hastelloy C-276 substrate by High Velocity Oxygen Fuel (HVOF) method. Isothermal oxidation was carried out at 1000 °C for 100 hours. The results showed that the addition of RE could prevent the breakaway oxidation. Therefore, the coating with reactive element were more protective against high temperature oxidation. Furthermore, the oxidation rate of NiCrAlY coating was lower than NiCrAlYSi coating with the total mass change was ±2.394 mg/cm2 after 100 hours of oxidation. The thickness of oxide scale was approximately 1.18 μm consisting of duplex oxide scale of spinel NiCr2O4 in outer scale and protective α-Al2O3 in inner scale.

  12. Element depth profiles of porous silicon

    International Nuclear Information System (INIS)

    Kobzev, A.P.; Nikonov, O.A.; Kulik, M.; Zuk, J.; Krzyzanowska, H.; Ochalski, T.J.

    1997-01-01

    Element depth profiles of porous silicon were measured on the Van-de-Graaff accelerator in the energy range of 4 He + ions from 2 to 3.2 MeV. Application of complementary RBS, ERD and 16 O(α,α) 16 O nuclear reaction methods permits us to obtain: 1) the exact silicon, oxygen and hydrogen distribution in the samples, 2) the distribution of partial pore concentrations. The oxygen concentration in porous silicon reaches 30%, which allows one to assume the presence of silicon oxide in the pores and to explain the spectrum shift of luminescence into the blue area

  13. Interaction at the silicon/transition metal oxide heterojunction interface and its effect on the photovoltaic performance.

    Science.gov (United States)

    Liang, Zhimin; Su, Mingze; Zhou, Yangyang; Gong, Li; Zhao, Chuanxi; Chen, Keqiu; Xie, Fangyan; Zhang, Weihong; Chen, Jian; Liu, Pengyi; Xie, Weiguang

    2015-11-07

    The interfacial reaction and energy level alignment at the Si/transition metal oxide (TMO, including MoO3-x, V2O5-x, WO3-x) heterojunction are systematically investigated. We confirm that the interfacial reaction appears during the thermal deposition of TMO, with the reaction extent increasing from MoO3-x, to V2O5-x, and to WO3-x. The reaction causes the surface oxidation of silicon for faster electron/hole recombination, and the reduction of TMO for effective hole collection. The photovoltaic performance of the Si/TMO heterojunction devices is affected by the interface reaction. MoO3-x are the best hole selecting materials that induce least surface oxidation but strongest reduction. Compared with H-passivation, methyl group passivation is an effective way to reduce the interface reaction and improve the interfacial energy level alignment for better electron and hole collection.

  14. Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.

  15. Silicon containing copolymers

    CERN Document Server

    Amiri, Sahar; Amiri, Sanam

    2014-01-01

    Silicones have unique properties including thermal oxidative stability, low temperature flow, high compressibility, low surface tension, hydrophobicity and electric properties. These special properties have encouraged the exploration of alternative synthetic routes of well defined controlled microstructures of silicone copolymers, the subject of this Springer Brief. The authors explore the synthesis and characterization of notable block copolymers. Recent advances in controlled radical polymerization techniques leading to the facile synthesis of well-defined silicon based thermo reversible block copolymers?are described along with atom transfer radical polymerization (ATRP), a technique utilized to develop well-defined functional thermo reversible block copolymers. The brief also focuses on Polyrotaxanes and their great potential as stimulus-responsive materials which produce poly (dimethyl siloxane) (PDMS) based thermo reversible block copolymers.

  16. Effect of the temperature and dew point of the decarburization process on the oxide subscale of a 3% silicon steel

    Energy Technology Data Exchange (ETDEWEB)

    Cesar, Maria das Gracas M.M. E-mail: gracamelo@acesita.com.br; Mantel, Marc J

    2003-01-01

    The oxide subscale formed on the decarburization annealing of 3% Si-Fe was investigated using microscopy and spectroscopy techniques. It was found that the morphology as well as the molecular structure of the subscale are affected by temperature and dew point. The results suggest that there is an optimum level of internal oxidation and an optimum fayalite/silica ratio in the subscale to achieve a oriented grain silicon steel having a continuous and smooth ceramic film and low core loss.

  17. Silicone nanocomposite coatings for fabrics

    Science.gov (United States)

    Eberts, Kenneth (Inventor); Lee, Stein S. (Inventor); Singhal, Amit (Inventor); Ou, Runqing (Inventor)

    2011-01-01

    A silicone based coating for fabrics utilizing dual nanocomposite fillers providing enhanced mechanical and thermal properties to the silicone base. The first filler includes nanoclusters of polydimethylsiloxane (PDMS) and a metal oxide and a second filler of exfoliated clay nanoparticles. The coating is particularly suitable for inflatable fabrics used in several space, military, and consumer applications, including airbags, parachutes, rafts, boat sails, and inflatable shelters.

  18. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    Energy Technology Data Exchange (ETDEWEB)

    Rull, Jordi [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Nonglaton, Guillaume, E-mail: guillaume.nonglaton@cea.fr [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Costa, Guillaume; Fontelaye, Caroline [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Marchi-Delapierre, Caroline; Ménage, Stéphane [Université Grenoble Alpes, Grenoble F38000 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Marchand, Gilles [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France)

    2015-11-01

    Graphical abstract: - Highlights: • First example of grafting of 3,4-epoxybutyltrimethoxysilane (EBTMOS) onto silicon oxide by supercritical fluid deposition. • Extraordinary efficiency of the supercritical fluid deposition for the grafting of the EBTMOS compared with the conventional solution or vapor phase methodologies. • Demonstration of the efficiency of this functionalization process for the immobilization of amino-modified oligonucleotides. - Abstract: The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO{sub 2}) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric

  19. Efficient Flame Detection and Early Warning Sensors on Combustible Materials Using Hierarchical Graphene Oxide/Silicone Coatings.

    Science.gov (United States)

    Wu, Qian; Gong, Li-Xiu; Li, Yang; Cao, Cheng-Fei; Tang, Long-Cheng; Wu, Lianbin; Zhao, Li; Zhang, Guo-Dong; Li, Shi-Neng; Gao, Jiefeng; Li, Yongjin; Mai, Yiu-Wing

    2018-01-23

    Design and development of smart sensors for rapid flame detection in postcombustion and early fire warning in precombustion situations are critically needed to improve the fire safety of combustible materials in many applications. Herein, we describe the fabrication of hierarchical coatings created by assembling a multilayered graphene oxide (GO)/silicone structure onto different combustible substrate materials. The resulting coatings exhibit distinct temperature-responsive electrical resistance change as efficient early warning sensors for detecting abnormal high environmental temperature, thus enabling fire prevention below the ignition temperature of combustible materials. After encountering a flame attack, we demonstrate extremely rapid flame detection response in 2-3 s and excellent flame self-extinguishing retardancy for the multilayered GO/silicone structure that can be synergistically transformed to a multiscale graphene/nanosilica protection layer. The hierarchical coatings developed are promising for fire prevention and protection applications in various critical fire risk and related perilous circumstances.

  20. A synaptic device built in one diode-one resistor (1D-1R) architecture with intrinsic SiOx-based resistive switching memory

    Science.gov (United States)

    Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Pan, Chih-Hung; Chang, Kuan-Chang; Tsai, Tsung-Ming; Chang, Ting-Chang; Sze, Simon M.; Lee, Jack C.

    2016-04-01

    We realize a device with biological synaptic behaviors by integrating silicon oxide (SiOx) resistive switching memory with Si diodes to further minimize total synaptic power consumption due to sneak-path currents and demonstrate the capability for spike-induced synaptic behaviors, representing critical milestones for the use of SiO2-based materials in future neuromorphic computing applications. Biological synaptic behaviors such as long-term potentiation, long-term depression, and spike-timing dependent plasticity are demonstrated systemically with comprehensive investigation of spike waveform analyses and represent a potential application for SiOx-based resistive switching materials. The resistive switching SET transition is modeled as hydrogen (proton) release from the (SiH)2 defect to generate the hydrogenbridge defect, and the RESET transition is modeled as an electrochemical reaction (proton capture) that re-forms (SiH)2. The experimental results suggest a simple, robust approach to realize programmable neuromorphic chips compatible with largescale complementary metal-oxide semiconductor manufacturing technology.

  1. Generation of reactive oxygen species from porous silicon microparticles in cell culture medium.

    Science.gov (United States)

    Low, Suet Peng; Williams, Keryn A; Canham, Leigh T; Voelcker, Nicolas H

    2010-06-01

    Nanostructured (porous) silicon is a promising biodegradable biomaterial, which is being intensively researched as a tissue engineering scaffold and drug-delivery vehicle. Here, we tested the biocompatibility of non-treated and thermally-oxidized porous silicon particles using an indirect cell viability assay. Initial direct cell culture on porous silicon determined that human lens epithelial cells only poorly adhered to non-treated porous silicon. Using an indirect cell culture assay, we found that non-treated microparticles caused complete cell death, indicating that these particles generated a toxic product in cell culture medium. In contrast, thermally-oxidized microparticles did not reduce cell viability significantly. We found evidence for the generation of reactive oxygen species (ROS) by means of the fluorescent probe 2',7'-dichlorofluorescin. Our results suggest that non-treated porous silicon microparticles produced ROS, which interacted with the components of the cell culture medium, leading to the formation of cytotoxic species. Oxidation of porous silicon microparticles not only mitigated, but also abolished the toxic effects.

  2. Self-cleaning glass coating containing titanium oxide and silicon; Revestimentos autolimpantes para vidros contendo oxido de titanio e silicio

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P. [Universidade Federal do Rio Grande do Sul (LACER/UFRGS), Porto Alegre, RS (Brazil). Lab. de Materiais Ceramicos

    2009-07-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  3. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  4. Photo-Electrical Characterization of Silicon Micropillar Arrays with Radial p/n Junctions Containing Passivation and Anti-Reflection Coatings

    NARCIS (Netherlands)

    Vijselaar, Wouter; Elbersen, R.; Tiggelaar, Roald M.; Gardeniers, Han; Huskens, Jurriaan

    2017-01-01

    In order to assess the contributions of anti-reflective and passivation effects in microstructured silicon-based solar light harvesting devices, thin layers of aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon-rich silicon nitride (SiNx), and indium tin oxide (ITO), with a thickness ranging

  5. Direct exchange between silicon nanocrystals and tunnel oxide traps under illumination on single electron photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Chatbouri, S., E-mail: Samir.chatbouri@yahoo.com; Troudi, M.; Sghaier, N.; Kalboussi, A. [Avenue de I’environnement, Université de Monastir, Laboratoire de Micro électronique et Instrumentation (LR13ES12), Faculté des Sciences de Monastir (Tunisia); Aimez, V. [Université de Sherbrooke, Laboratoire Nanotechnologies et Nanosystémes (UMI-LN2 3463), Université de Sherbrooke—CNRS—INSA de Lyon-ECL-UJF-CPE Lyon, Institut Interdisciplinaire d’Innovation Technologique (Canada); Drouin, D. [Avenue de I’environnement, Université de Monastir, Laboratoire de Micro électronique et Instrumentation (LR13ES12), Faculté des Sciences de Monastir (Tunisia); Souifi, A. [Institut des Nanotechnologies de Lyon—site INSA de Lyon, UMR CNRS 5270 (France)

    2016-09-15

    In this paper we present the trapping of photogenerated charge carriers for 300 s resulted by their direct exchange under illumination between a few silicon nanocrystals (ncs-Si) embedded in an oxide tunnel layer (SiO{sub x} = 1.5) and the tunnel oxide traps levels for a single electron photodetector (photo-SET or nanopixel). At first place, the presence of a photocurrent limited in the inversion zone under illumination in the I–V curves confirms the creation of a pair electron/hole (e–h) at high energy. This photogenerated charge carriers can be trapped in the oxide. Using the capacitance-voltage under illumination (the photo-CV measurements) we show a hysteresis chargement limited in the inversion area, indicating that the photo-generated charge carriers are stored at traps levels at the interface and within ncs-Si. The direct exchange of the photogenerated charge carriers between the interface traps levels and the ncs-Si contributed on the photomemory effect for 300 s for our nanopixel at room temperature.

  6. XPS study of palladium sensitized nano porous silicon thin film

    Indian Academy of Sciences (India)

    Keywords. Porous silicon; passivation; palladium; oxidation; XPS. Abstract. Nano porous silicon (PS) was formed on -type monocrystalline silicon of 2–5 cm resistivity and (100) orientation by electrochemical anodization method using HF and ethanol as the electrolytes. High density of surface states, arising due to its ...

  7. Oxidation behaviour of silicon-free tungsten alloys for use as the first wall material

    Science.gov (United States)

    Koch, F.; Brinkmann, J.; Lindig, S.; Mishra, T. P.; Linsmeier, Ch

    2011-12-01

    The use of self-passivating tungsten alloys as armour material of the first wall of a fusion power reactor may be advantageous concerning safety issues. In earlier studies good performance of the system W-Cr-Si was demonstrated. Thin films of such alloys showed a strongly reduced oxidation rate compared to pure tungsten. However, the formation of brittle tungsten silicides may be disadvantageous for the powder metallurgical production of bulk W-Cr-Si alloys if a good workability is needed. This paper shows the results of screening tests to identify suitable silicon-free alloys with distinguished self-passivation and a potentially good workability. Of all the tested systems W-Cr-Ti alloys showed the most promising results. The oxidation rate was even lower than the one of W-Cr-Si alloys, the reduction factor was about four orders of magnitude compared to pure tungsten. This performance could be conserved even if the content of alloying elements was reduced.

  8. Oxidation behaviour of silicon-free tungsten alloys for use as the first wall material

    International Nuclear Information System (INIS)

    Koch, F; Brinkmann, J; Lindig, S; Mishra, T P; Linsmeier, Ch

    2011-01-01

    The use of self-passivating tungsten alloys as armour material of the first wall of a fusion power reactor may be advantageous concerning safety issues. In earlier studies good performance of the system W-Cr-Si was demonstrated. Thin films of such alloys showed a strongly reduced oxidation rate compared to pure tungsten. However, the formation of brittle tungsten silicides may be disadvantageous for the powder metallurgical production of bulk W-Cr-Si alloys if a good workability is needed. This paper shows the results of screening tests to identify suitable silicon-free alloys with distinguished self-passivation and a potentially good workability. Of all the tested systems W-Cr-Ti alloys showed the most promising results. The oxidation rate was even lower than the one of W-Cr-Si alloys, the reduction factor was about four orders of magnitude compared to pure tungsten. This performance could be conserved even if the content of alloying elements was reduced.

  9. High-Current-Density Vertical-Tunneling Transistors from Graphene/Highly Doped Silicon Heterostructures.

    Science.gov (United States)

    Liu, Yuan; Sheng, Jiming; Wu, Hao; He, Qiyuan; Cheng, Hung-Chieh; Shakir, Muhammad Imran; Huang, Yu; Duan, Xiangfeng

    2016-06-01

    Scalable fabrication of vertical-tunneling transistors is presented based on heterostructures formed between graphene, highly doped silicon, and its native oxide. Benefiting from the large density of states of highly doped silicon, the tunneling transistors can deliver a current density over 20 A cm(-2) . This study demonstrates that the interfacial native oxide plays a crucial role in governing the carrier transport in graphene-silicon heterostructures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Gadolinium oxide coated fully depleted silicon-on-insulator transistors for thermal neutron dosimetry

    Energy Technology Data Exchange (ETDEWEB)

    Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu; Gouker, Pascale M.

    2013-09-01

    Fully depleted silicon-on-insulator transistors coated with gadolinium oxide are shown to be effective thermal neutron dosimeters. The theoretical neutron detection efficiency is calculated to be higher for Gd{sub 2}O{sub 3} than for other practical converter materials. Proof-of-concept dosimeter devices were fabricated and tested during thermal neutron irradiation. The transistor current changes linearly with neutron dose, consistent with increasing positive charge in the SOI buried oxide layer generated by ionization from high energy {sup 157}Gd(n,γ){sup 158}Gd conversion electrons. The measured neutron sensitivity is approximately 1/6 the maximum theoretical value, possibly due to electron–hole recombination or conversion electron loss in interconnect wiring above the transistors. -- Highlights: • A novel Gd{sub 2}O{sub 3} coated FDSOI MOSFET thermal neutron dosimeter is presented. • Dosimeter can detect charges generated from {sup 157}Gd(n,γ){sup 158}Gd conversion electrons. • Measured neutron sensitivity is comparable to that calculated theoretically. • Dosimeter requires zero power during operation, enabling new application areas.

  11. A study of positron irradiated porous silicon

    International Nuclear Information System (INIS)

    Huang Yuanming; Xue Qing; Zhai Baogai; Xu Aijun; Liu Shewen; Yu Weizhong

    1998-01-01

    The effect of positron irradiation on photoluminescence (PL) of porous silicon has been studied. After four hour positron irradiation, the red PL spectrum of porous silicon blue shifts into greenish spectral region, and a higher energy luminescence band is introduced into this blueshifted spectrum. The fourier transform infrared absorption experiment shows that the positron irradiation can cause further oxidization of porous silicon. A possible mechanism causing this change of PL spectra after positron irradiation is suggested

  12. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  13. Silicon Qubits

    Energy Technology Data Exchange (ETDEWEB)

    Ladd, Thaddeus D. [HRL Laboratories, LLC, Malibu, CA (United States); Carroll, Malcolm S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2018-02-28

    Silicon is a promising material candidate for qubits due to the combination of worldwide infrastructure in silicon microelectronics fabrication and the capability to drastically reduce decohering noise channels via chemical purification and isotopic enhancement. However, a variety of challenges in fabrication, control, and measurement leaves unclear the best strategy for fully realizing this material’s future potential. In this article, we survey three basic qubit types: those based on substitutional donors, on metal-oxide-semiconductor (MOS) structures, and on Si/SiGe heterostructures. We also discuss the multiple schema used to define and control Si qubits, which may exploit the manipulation and detection of a single electron charge, the state of a single electron spin, or the collective states of multiple spins. Far from being comprehensive, this article provides a brief orientation to the rapidly evolving field of silicon qubit technology and is intended as an approachable entry point for a researcher new to this field.

  14. Dispersion toughened silicon carbon ceramics

    Science.gov (United States)

    Wei, G.C.

    1984-01-01

    Fracture resistant silicon carbide ceramics are provided by incorporating therein a particulate dispersoid selected from the group consisting of (a) a mixture of boron, carbon and tungsten, (b) a mixture of boron, carbon and molybdenum, (c) a mixture of boron, carbon and titanium carbide, (d) a mixture of aluminum oxide and zirconium oxide, and (e) boron nitride. 4 figures.

  15. Silicon (100)/SiO2 by XPS

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, David S.; Kanyal, Supriya S.; Madaan, Nitesh; Vail, Michael A.; Dadson, Andrew; Engelhard, Mark H.; Linford, Matthew R.

    2013-09-25

    Silicon (100) wafers are ubiquitous in microfabrication and, accordingly, their surface characteristics are important. Herein, we report the analysis of Si (100) via X-ray photoelectron spectroscopy (XPS) using monochromatic Al K radiation. Survey scans show that the material is primarily silicon and oxygen, and the Si 2p region shows two peaks that correspond to elemental silicon and silicon dioxide. Using these peaks the thickness of the native oxide (SiO2) was estimated using the equation of Strohmeier.1 The oxygen peak is symmetric. The material shows small amounts of carbon, fluorine, and nitrogen contamination. These silicon wafers are used as the base material for subsequent growth of templated carbon nanotubes.

  16. Tin - an unlikely ally for silicon field effect transistors?

    KAUST Repository

    Hussain, Aftab M.; Fahad, Hossain M.; Singh, Nirpendra; Sevilla, Galo T.; Schwingenschlö gl, Udo; Hussain, Muhammad Mustafa

    2014-01-01

    We explore the effectiveness of tin (Sn), by alloying it with silicon, to use SiSn as a channel material to extend the performance of silicon based complementary metal oxide semiconductors. Our density functional theory based simulation shows

  17. Porous silicon carbide and aluminum oxide with unidirectional open porosity as model target materials for radioisotope beam production

    Science.gov (United States)

    Czapski, M.; Stora, T.; Tardivat, C.; Deville, S.; Santos Augusto, R.; Leloup, J.; Bouville, F.; Fernandes Luis, R.

    2013-12-01

    New silicon carbide (SiC) and aluminum oxide (Al2O3) of a tailor-made microstructure were produced using the ice-templating technique, which permits controlled pore formation conditions within the material. These prototypes will serve to verify aging of the new advanced target materials under irradiation with proton beams. Before this, the evaluation of their mechanical integrity was made based on the energy deposition spectra produced by FLUKA codes.

  18. Black silicon solar cells with black bus-bar strings

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of black silicon texturing and blackened bus-bar strings as a potential method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon was realized by maskless reactive ion etching resulting in total, average reflectance...... below 0.5% across a 156x156 mm2 silicon wafer. Four different methods to obtain blackened bus-bar strings were compared with respect to reflectance, and two of these methods (i.e., oxidized copper and etched solder) were used to fabricate functional allblack solar 9-cell panels. The black bus-bars (e.......g., by oxidized copper) have a reflectance below 3% in the entire visible wavelength range. The combination of black silicon cells and blackened bus-bars results in aesthetic, all-black panels based on conventional, front-contacted solar cells without compromising efficiency....

  19. Friction-induced nanofabrication on monocrystalline silicon

    International Nuclear Information System (INIS)

    Yu Bingjun; Qian Linmao; Yu Jiaxin; Zhou Zhongrong; Dong Hanshan; Chen Yunfei

    2009-01-01

    Fabrication of nanostructures has become a major concern as the scaling of device dimensions continues. In this paper, a friction-induced nanofabrication method is proposed to fabricate protrusive nanostructures on silicon. Without applying any voltage, the nanofabrication is completed by sliding an AFM diamond tip on a sample surface under a given normal load. Nanostructured patterns, such as linear nanostructures, nanodots or nanowords, can be fabricated on the target surface. The height of these nanostructures increases rapidly at first and then levels off with the increasing normal load or number of scratching cycles. TEM analyses suggest that the friction-induced hillock is composed of silicon oxide, amorphous silicon and deformed silicon structures. Compared to the tribochemical reaction, the amorphization and crystal defects induced by the mechanical interaction may have played a dominating role in the formation of the hillocks. Similar to other proximal probe methods, the proposed method enables fabrication at specified locations and facilitates measuring the dimensions of nanostructures with high precision. It is highlighted that the fabrication can also be realized on electrical insulators or oxide surfaces, such as quartz and glass. Therefore, the friction-induced method points out a new route in fabricating nanostructures on demand.

  20. Effect of TMAH Etching Duration on the Formation of Silicon Nano wire Transistor Patterned by AFM Nano lithography

    International Nuclear Information System (INIS)

    Hutagalung, S.D.; Lew, K.C.

    2012-01-01

    Atomic force microscopy (AFM) lithography was applied to produce nano scale pattern for silicon nano wire transistor fabrication. This technique takes advantage of imaging facility of AFM and the ability of probe movement controlling over the sample surface to create nano patterns. A conductive AFM tip was used to grow the silicon oxide nano patterns on silicon on insulator (SOI) wafer. The applied tip-sample voltage and writing speed were well controlled in order to form pre-designed silicon oxide nano wire transistor structures. The effect of tetra methyl ammonium hydroxide (TMAH) etching duration on the oxide covered silicon nano wire transistor structure has been investigated. A completed silicon nano wire transistor was obtained by removing the oxide layer via hydrofluoric acid etching process. The fabricated silicon nano wire transistor consists of a silicon nano wire that acts as a channel with source and drain pads. A lateral gate pad with a nano wire head was fabricated very close to the channel in the formation of transistor structures. (author)

  1. Optical study of planar waveguides based on oxidized porous silicon impregnated with laser dyes

    Energy Technology Data Exchange (ETDEWEB)

    Chouket, A. [Unite de recherche de Spectroscopie Raman, Departement de Physique, Faculte des Sciences de Tunis, Elmanar 2092, Tunis (Tunisia); Charrier, J. [Laboratoire d' Optronique CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT-6 rue de Kerampont, BP 80518, 22305 Lannion Cedex (France); Elhouichet, H. [Unite de recherche de Spectroscopie Raman, Departement de Physique, Faculte des Sciences de Tunis, Elmanar 2092, Tunis (Tunisia)], E-mail: habib.elhouichet@fst.rnu.tn; Oueslati, M. [Unite de recherche de Spectroscopie Raman, Departement de Physique, Faculte des Sciences de Tunis, Elmanar 2092, Tunis (Tunisia)

    2009-05-15

    Oxidized porous silicon optical planar waveguides were elaborated and impregnated with rhodamine B and rhodamine 6G. The waveguiding, absorption, and photoluminescence properties of these impregnated waveguides were studied. Successful impregnation of the structure with laser dyes is shown from photoluminescence and reflectivity measurements. Furthermore, the reflectivity spectra prove the homogenous incorporation of both dye molecules inside the pores of the matrices. The refractive indices of waveguide layers were determined before and after dye impregnation to indicate the conservation of guiding conditions. The optical losses in the visible wavelengths are studied as a function of dye concentration. The dye absorption is the main reason for these losses.

  2. Bond strength tests between silicon wafers and duran tubes (fusion bonded fluidic interconnects)

    NARCIS (Netherlands)

    Fazal, I.; Berenschot, Johan W.; de Boer, J.H.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    2005-01-01

    The fusion bond strength of glass tubes with standard silicon wafers is presented. Experiments with plain silicon wafers and those coated with silicon oxide and silicon nitride are presented. Results obtained are discussed in terms of homogeneity and strength of fusion bond. High pressure testing

  3. Vacuum-plasma-sprayed silicon coatings

    International Nuclear Information System (INIS)

    Varacalle, D.J. Jr.; Herman, H.; Bancke, G.A.; Burchell, T.D.; Romanoski, G.R.

    1991-01-01

    Vacuum plasma spraying produces well-bonded dense stress-free coatings for a variety of materials on a wide range of substrates. The process is used in many industries for the excellent wear, corrosion resistance and high temperature behavior of the fabricated coatings. In this study, silicon metal was deposited on graphite to study the feasibility of preventing corrosion and oxidation of graphite components for nuclear reactors. Operating parameters were varied in a Taguchi design of experiments to display the range of the plasma processing conditions and their effect on the measured coating characteristics. The coating attributes evaluated were thickness, porosity, microhardness and phase content. This paper discusses the influence of the processing parameters on as-sprayed coating qualities. The paper also discusses the effect of thermal cycling on silicon samples in an inert helium atmosphere. The diffraction spectrum for a sample that experienced a 1600degC temperature cycle indicated that more than 99% of the coating transformed to β-SiC. The silicon coatings protected the graphite substrates from oxidation in one experiment. (orig.)

  4. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  5. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  6. Self-assembly silicon/porous reduced graphene oxide composite film as a binder-free and flexible anode for lithium-ion batteries

    International Nuclear Information System (INIS)

    Tang, H.; Zhang, Y.J.; Xiong, Q.Q.; Cheng, J.D.; Zhang, Q.; Wang, X.L.; Gu, C.D.; Tu, J.P.

    2015-01-01

    A Si/porous reduced graphene oxide (rGO) composite film synthesized by evaporation and leavening method are developed as a high-performance anode material for lithium ion batteries. The porous structure as buffer base can effectively release the volume expansion of the silicon particles, increase the electrical conductivity and reduce the transfer resistance of Li ions. The Si/porous rGO composite film presents high specific capacity and good cycling stability (1261 mA h g −1 at 50 mA g −1 up to 70 cycles), as well as enhanced rate capability. This approach to prepare such a unique structure is a low-cost and facile route for the silicon-based anode materials

  7. Thermogravimetric analysis of silicon carbide-silicon nitride polycarbosilazane precursor during pyrolysis from ambient to 1000 C

    Science.gov (United States)

    Ledbetter, F. E., III; Daniels, J. G.; Clemons, J. M.; Hundley, N. H.; Penn, B. G.

    1984-01-01

    Thermogravimetric analysis data are presented on the unmeltable polycarbosilazane precursor of silicon carbide-silicon nitride fibers, over the room temperature-1000 C range in a nitrogen atmosphere, in order to establish the weight loss at various temperatures during the precursor's pyrolysis to the fiber material. The fibers obtained by this method are excellent candidates for use in applications where the oxidation of carbon fibers (above 400 C) renders them unsuitable.

  8. Surface effects in segmented silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kopsalis, Ioannis

    2017-05-15

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO{sub 2} layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO{sub 2} and at the Si-SiO{sub 2} interface. In this thesis the surface radiation damage of the Si-SiO{sub 2} system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO{sub 2} of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface

  9. Surface effects in segmented silicon sensors

    International Nuclear Information System (INIS)

    Kopsalis, Ioannis

    2017-05-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO 2 layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO 2 and at the Si-SiO 2 interface. In this thesis the surface radiation damage of the Si-SiO 2 system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO 2 of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO 2 ) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO 2 interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface radiation damage of silicon sensors.

  10. Novel processing of bioglass ceramics from silicone resins containing micro- and nano-sized oxide particle fillers.

    Science.gov (United States)

    Fiocco, L; Bernardo, E; Colombo, P; Cacciotti, I; Bianco, A; Bellucci, D; Sola, A; Cannillo, V

    2014-08-01

    Highly porous scaffolds with composition similar to those of 45S5 and 58S bioglasses were successfully produced by an innovative processing method based on preceramic polymers containing micro- and nano-sized fillers. Silica from the decomposition of the silicone resins reacted with the oxides deriving from the fillers, yielding glass ceramic components after heating at 1000°C. Despite the limited mechanical strength, the obtained samples possessed suitable porous architecture and promising biocompatibility and bioactivity characteristics, as testified by preliminary in vitro tests. © 2013 Wiley Periodicals, Inc.

  11. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  12. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  13. Doping profile measurements in silicon using terahertz time domain spectroscopy (THz-TDS) via electrochemical anodic oxidation

    Science.gov (United States)

    Tulsyan, Gaurav

    Doping profiles are engineered to manipulate device properties and to determine electrical performances of microelectronic devices frequently. To support engineering studies afterward, essential information is usually required from physically characterized doping profiles. Secondary Ion Mass Spectrometry (SIMS), Spreading Resistance Profiling (SRP) and Electrochemical Capacitance Voltage (ECV) profiling are standard techniques for now to map profile. SIMS yields a chemical doping profile via ion sputtering process and owns a better resolution, whereas ECV and SRP produce an electrical doping profile detecting free carriers in microelectronic devices. The major difference between electrical and chemical doping profiles is at heavily doped regions greater than 1020 atoms/cm3. At the profile region over the solubility limit, inactive dopants induce a flat plateau and detected by electrical measurements only. Destructive techniques are usually designed as stand-alone systems to study impurities. For an in-situ process control purpose, non-contact methods, such as ellipsometry and non-contact capacitance voltage (CV) techniques are current under development. In this theses work, terahertz time domain spectroscopy (THz-TDS) is utilized to achieve electrical doping profile in both destructive and non-contact manners. In recent years the Terahertz group at Rochester Institute Technology developed several techniques that use terahertz pulses to non-destructively map doping profiles. In this thesis, we study a destructive but potentially higher resolution version of the terahertz based approach to map the profile of activated dopants and augment the non-destructive approaches already developed. The basic idea of the profile mapping approach developed in this MS thesis is to anodize, and thus oxidize to silicon dioxide, thin layers (down to below 10 nm) of the wafer with the doping profile to be mapped. Since the dopants atoms and any free carriers in the silicon oxide thin

  14. Hot corrosion studies on nickel-based alloys containing silicon

    International Nuclear Information System (INIS)

    Kerr, T.W.; Simkovich, G.

    1976-01-01

    Alloys of Ni--Cr, Ni--Si and Ni--Cr--Si were oxidized and ''hot corroded'' in pure oxygen at 1000 0 C. In the oxidation experiments it was found that small amounts of either chromium or silicon in nickel increased the oxidation rates in comparison to pure nickel in accord with Wagner's parabolic oxidation theory. At high concentrations of the alloying elements the oxidation rates decreased due to the formation of oxide phases other than nickel oxide in the scale. Hot corrosion experiments were conducted on both binary and ternary alloys by oxidizing samples coated with 1.0 mg/cm 2 of Na 2 SO 4 in oxygen at 1000 0 C. In general it was found that high chromium and high silicon alloys displayed excellent resistance to the hot corrosion process gaining or losing less than 0.5 mg/cm 2 in 1800 min at temperature. Microprobe and x-ray diffraction studies of the alloy and the scale indicate that amorphous SiO 2 probably formed to aid in retarding both the oxidation and the hot corrosion process

  15. Method of fabricating porous silicon carbide (SiC)

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1995-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  16. Analysis of temporal evolution of quantum dot surface chemistry by surface-enhanced Raman scattering.

    Science.gov (United States)

    Doğan, İlker; Gresback, Ryan; Nozaki, Tomohiro; van de Sanden, Mauritius C M

    2016-07-08

    Temporal evolution of surface chemistry during oxidation of silicon quantum dot (Si-QD) surfaces were probed using surface-enhanced Raman scattering (SERS). A monolayer of hydrogen and chlorine terminated plasma-synthesized Si-QDs were spin-coated on silver oxide thin films. A clearly enhanced signal of surface modes, including Si-Clx and Si-Hx modes were observed from as-synthesized Si-QDs as a result of the plasmonic enhancement of the Raman signal at Si-QD/silver oxide interface. Upon oxidation, a gradual decrease of Si-Clx and Si-Hx modes, and an emergence of Si-Ox and Si-O-Hx modes have been observed. In addition, first, second and third transverse optical modes of Si-QDs were also observed in the SERS spectra, revealing information on the crystalline morphology of Si-QDs. An absence of any of the abovementioned spectral features, but only the first transverse optical mode of Si-QDs from thick Si-QD films validated that the spectral features observed from Si-QDs on silver oxide thin films are originated from the SERS effect. These results indicate that real-time SERS is a powerful diagnostic tool and a novel approach to probe the dynamic surface/interface chemistry of quantum dots, especially when they involve in oxidative, catalytic, and electrochemical surface/interface reactions.

  17. Studies of the dependence on oxidation thermal processes of effects on the electrical properties of silicon detectors by fast neutron radiation

    International Nuclear Information System (INIS)

    Li, Zheng; Kraner, H.W.

    1991-11-01

    High resistivity silicon detectors along with MOS capacitors made on five silicon dioxides with different thermal conditions (975 degree C to 1200 degree C) have been exposed to fast neutron irradiation up to the fluence of a few times 10 14 n/cm 2 . New measurement techniques such as capacitance-voltage (C-V) of MOS capacitors and current-voltage (I-V) of back to back diodes (p + -n - - p + if n - is not inverted to p) or resistors (p + -p-p + if inverted) have been introduced in this study in monitoring the possible type-inversion (n→p) under high neutron fluence. No type-inversion in the material underneath SiO 2 and the p + contact has been observed so far in this work for detectors made on the five oxides up to the neutron fluence of a few times 10 13 n/cm 2 . However, it has been found that detectors made on higher temperature oxides (T≤ 1100 degree C) exhibit less leakage current increase at high neutron fluence (φ ≤ 10 13 n/cm 2 )

  18. Tin (Sn) for enhancing performance in silicon CMOS

    KAUST Repository

    Hussain, Aftab M.; Fahad, Hossain M.; Singh, Nirpendra; Sevilla, Galo T.; Schwingenschlö gl, Udo; Hussain, Muhammad Mustafa

    2013-01-01

    We study a group IV element: tin (Sn) by integrating it into silicon lattice, to enhance the performance of silicon CMOS. We have evaluated the electrical properties of the SiSn lattice by performing simulations using First-principle studies, followed by experimental device fabrication and characterization. We fabricated high-κ/metal gate based Metal-Oxide-Semiconductor capacitors (MOSCAPs) using SiSn as channel material to study the impact of Sn integration into silicon. © 2013 IEEE.

  19. Tin (Sn) for enhancing performance in silicon CMOS

    KAUST Repository

    Hussain, Aftab M.

    2013-10-01

    We study a group IV element: tin (Sn) by integrating it into silicon lattice, to enhance the performance of silicon CMOS. We have evaluated the electrical properties of the SiSn lattice by performing simulations using First-principle studies, followed by experimental device fabrication and characterization. We fabricated high-κ/metal gate based Metal-Oxide-Semiconductor capacitors (MOSCAPs) using SiSn as channel material to study the impact of Sn integration into silicon. © 2013 IEEE.

  20. Silicon heterojunction solar cell passivation in combination with nanocrystalline silicon oxide emitters

    NARCIS (Netherlands)

    Gatz, H.A.; Rath, J.K.; Verheijen, M.A.; Kessels, W.M.M.; Schropp, R.E.I.

    2016-01-01

    Silicon heterojunction solar cells (SHJ) are well known for their high efficiencies, enabled by their remarkably high open-circuit voltages (VOC). A key factor in achieving these values is a good passivation of the crystalline wafer interface. One of the restrictions during SHJ solar cell production

  1. Mechanochemical approaches to employ silicon as a lithium-ion battery anode

    International Nuclear Information System (INIS)

    Shimoi, Norihiro; Bahena-Garrido, Sharon; Tanaka, Yasumitsu; Qiwu, Zhang

    2015-01-01

    Silicon is essential as an active material in lithium-ion batteries because it provides both high-charge and optimal cycle characteristics. The authors attempted to realize a composite by a simple mechanochemical grinding approach of individual silicon (Si) particles and copper monoxide (CuO) particles to serve as an active material in the anode and optimize the charge-discharge characteristics of a lithium-ion battery. The composite with Si and CuO allowed for a homogenous dispersion with nano-scale Si grains, nano-scale copper-silicon alloy grains and silicon monoxide oxidized the oxide from CuO. The authors successfully achieved the synthesis of an active composite unites the structural features of an active material based on silicon composite as an anode in Li-ion battery with high capacity and cyclic reversible charge properties of 3256 mAh g −1 after 200 cycles

  2. Alternative method for steam generation for thermal oxidation of silicon

    Science.gov (United States)

    Spiegelman, Jeffrey J.

    2010-02-01

    Thermal oxidation of silicon is an important process step in MEMS device fabrication. Thicker oxide layers are often used as structural components and can take days or weeks to grow, causing high gas costs, maintenance issues, and a process bottleneck. Pyrolytic steam, which is generated from hydrogen and oxygen combustion, was the default process, but has serious drawbacks: cost, safety, particles, permitting, reduced growth rate, rapid hydrogen consumption, component breakdown and limited steam flow rates. Results from data collected over a 24 month period by a MEMS manufacturer supports replacement of pyrolytic torches with RASIRC Steamer technology to reduce process cycle time and enable expansion previously limited by local hydrogen permitting. Data was gathered to determine whether Steamers can meet or exceed pyrolytic torch performance. The RASIRC Steamer uses de-ionized water as its steam source, eliminating dependence on hydrogen and oxygen. A non-porous hydrophilic membrane selectively allows water vapor to pass. All other molecules are greatly restricted, so contaminants in water such as dissolved gases, ions, total organic compounds (TOC), particles, and metals can be removed in the steam phase. The MEMS manufacturer improved growth rate by 7% over the growth range from 1μm to 3.5μm. Over a four month period, wafer uniformity, refractive index, wafer stress, and etch rate were tracked with no significant difference found. The elimination of hydrogen generated a four-month return on investment (ROI). Mean time between failure (MTBF) was increased from 3 weeks to 32 weeks based on three Steamers operating over eight months.

  3. High Temperature Corrosion of Silicon Carbide and Silicon Nitride in Water Vapor

    Science.gov (United States)

    Opila, E. J.; Robinson, Raymond C.; Cuy, Michael D.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    Silicon carbide (SiC) and silicon nitride (Si3N4) are proposed for applications in high temperature combustion environments containing water vapor. Both SiC and Si3N4 react with water vapor to form a silica (SiO2) scale. It is therefore important to understand the durability of SiC, Si3N4 and SiO2 in water vapor. Thermogravimetric analyses, furnace exposures and burner rig results were obtained for these materials in water vapor at temperatures between 1100 and 1450 C and water vapor partial pressures ranging from 0.1 to 3.1 atm. First, the oxidation of SiC and Si3N4 in water vapor is considered. The parabolic kinetic rate law, rate dependence on water vapor partial pressure, and oxidation mechanism are discussed. Second, the volatilization of silica to form Si(OH)4(g) is examined. Mass spectrometric results, the linear kinetic rate law and a volatilization model based on diffusion through a gas boundary layer are discussed. Finally, the combined oxidation and volatilization reactions, which occur when SiC or Si3N4 are exposed in a water vapor-containing environment, are presented. Both experimental evidence and a model for the paralinear kinetic rate law are shown for these simultaneous oxidation and volatilization reactions.

  4. Modified porous silicon for electrochemical sensor of para-nitrophenol

    International Nuclear Information System (INIS)

    Belhousse, S.; Belhaneche-Bensemra, N.; Lasmi, K.; Mezaache, I.; Sedrati, T.; Sam, S.; Tighilt, F.-Z.; Gabouze, N.

    2014-01-01

    Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10 −8 M to the 3 × 10 −4 M

  5. Preparation of silicon carbide-supported vanadium oxide and its application of removing NO by ammonia

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zi-Bo; Xu, Xu [Yangzhou University, College of Environmental Science and Engineering, Yangzhou, Jiangsu (China); Bai, Shu-Li [Wuyi University, College of Chemical and Environmental Engineering, Jiangmen, Guangdong (China); Guan, Yu-Jiang; Jiang, Sheng-Tao [Taizhou University, Environmental Engineering, Taizhou, Zhejiang (China)

    2017-03-15

    The aim of this work was to study the preparation of SiC-supported V{sub 2}O{sub 5} catalysts and the kinetics on selective catalytic reduction for NO with NH{sub 3} on the catalysts. Using incipient wetness impregnation methods, vanadium oxide was applied to silicon carbide to prepare a SiC-supported vanadium oxide. X-ray photoelectron spectroscopy analysis confirmed that V{sub 2}O{sub 5} existed in the prepared materials. Using the prepared materials as catalysts, selective catalytic reduction for NO by NH{sub 3} has been analyzed, and reaction kinetics on the catalysts was studied at 150-300 C. The obtained results showed that the reduction reaction on the catalysts is close to zero-order kinetics with respect to NH{sub 3}, first-order with respect to NO, and half-order to O{sub 2}. Apparent activation energy for the reduction reaction was found to be 38 kJ mol{sup -1}. The prepared materials are stable and reusable. (orig.)

  6. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  7. Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)

    2012-04-16

    Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.

  8. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  9. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  10. Ion beam induces nitridation of silicon

    International Nuclear Information System (INIS)

    Petravic, M.; Williams, J.S.; Conway, M.

    1998-01-01

    High dose ion bombardment of silicon with reactive species, such as oxygen and nitrogen, has attracted considerable interest due to possible applications of beam-induced chemical compounds with silicon. For example, high energy oxygen bombardment of Si is now routinely used to form buried oxide layers for device purposes, the so called SIMOX structures. On the other hand, Si nitrides, formed by low energy ( 100 keV) nitrogen beam bombardment of Si, are attractive as oxidation barriers or gate insulators, primarily due to the low diffusivity of many species in Si nitrides. However, little data exists on silicon nitride formation during bombardment and its angle dependence, in particular for N 2 + bombardment in the 10 keV range, which is of interest for analytical techniques such as SIMS. In SIMS, low energy oxygen ions are more commonly used as bombarding species, as oxygen provides stable ion yields and enhances the positive secondary ion yield. Therefore, a large body of data can be found in the literature on oxide formation during low energy oxygen bombardment. Nitrogen bombardment of Si may cause similar effects to oxygen bombardment, as nitrogen and oxygen have similar masses and ranges in Si, show similar sputtering effects and both have the ability to form chemical compounds with Si. In this work we explore this possibility in some detail. We compare oxide and nitride formation during oxygen and nitrogen ion bombardment of Si under similar conditions. Despite the expected similar behaviour, some large differences in compound formation were found. These differences are explained in terms of different atomic diffusivities in oxides and nitrides, film structural differences and thermodynamic properties. (author)

  11. Oxidation kinetics of CVD silicon carbide and silicon nitride

    Science.gov (United States)

    Fox, Dennis S.

    1992-01-01

    The long-term oxidation behavior of pure, monolithic CVD SiC and Si3N4 is studied, and the isothermal oxidation kinetics of these two materials are obtained for the case of 100 hrs at 1200-1500 C in flowing oxygen. Estimates are made of lifetimes at the various temperatures investigated. Parabolic rate constants for SiC are within an order of magnitude of shorter exposure time values reported in the literature. The resulting silica scales are in the form of cristobalite, with cracks visible after exposure. The oxidation protection afforded by silica for these materials is adequate for long service times under isothermal conditions in 1-atm dry oxygen.

  12. Porous silicon carbide and aluminum oxide with unidirectional open porosity as model target materials for radioisotope beam production

    CERN Document Server

    Czapski, M; Tardivat, C; Stora, T; Bouville, F; Leloup, J; Luis, R Fernandes; Augusto, R Santos

    2013-01-01

    New silicon carbide (SiC) and aluminum oxide (Al2O3) of a tailor-made microstructure were produced using the ice-templating technique, which permits controlled pore formation conditions within the material. These prototypes will serve to verify aging of the new advanced target materials under irradiation with proton beams. Before this, the evaluation of their mechanical integrity was made based on the energy deposition spectra produced by FLORA codes. (C) 2013 Elsevier B.V. All rights reserved.

  13. Stable Organic Monolayers on Oxide-Free Silicon/Germanium in a Supercritical Medium: A New Route to Molecular Electronics.

    Science.gov (United States)

    Puniredd, Sreenivasa Reddy; Jayaraman, Sundaramurthy; Yeong, Sai Hooi; Troadec, Cedric; Srinivasan, M P

    2013-05-02

    Oxide-free Si and Ge surfaces have been passivated and modified with organic molecules by forming covalent bonds between the surfaces and reactive end groups of linear alkanes and aromatic species using single-step deposition in supercritical carbon dioxide (SCCO2). The process is suitable for large-scale manufacturing due to short processing times, simplicity, and high resistance to oxidation. It also allows the formation of monolayers with varying reactive terminal groups, thus enabling formation of nanostructures engineered at the molecular level. Ballistic electron emission microscopy (BEEM) spectra performed on the organic monolayer on oxide-free silicon capped by a thin gold layer reveals for the first time an increase in transmission of the ballistic current through the interface of up to three times compared to a control device, in contrast to similar studies reported in the literature suggestive of oxide-free passivation in SCCO2. The SCCO2 process combined with the preliminary BEEM results opens up new avenues for interface engineering, leading to molecular electronic devices.

  14. Incorporation, diffusion and segregation of impurities in polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Deville, J.P.; Soltani, M.L. (Universite Louis Pasteur, 67 - Strasbourg (France)); Quesada, J. (Laboratoire de Metallurgie-Chimie des Materiaux, E.N.S.A.I.S., 67 - Strasbourg (France))

    1982-01-01

    We studied by means of X-Ray photoelectron Spectroscopy the nature, distribution and, when possible, the chemical bond of impurities at the surface of polycrystalline silicon samples grown on a carbon ribbon. Besides main impurities (carbon and oxygen), always present at concentrations around their limit of solubility in silicon, metal impurities have been found: their nature varies from one sample to another. Their spatial distribution is not random: some are strictly confined at the surface (sodium), whereas others are in the superficial oxidized layer (calcium, magnesium) or localized at the oxide-bulk silicon interface (iron). Metal impurities are coming from the carbon ribbon and are incorporated to silicon during the growth process. It is not yet possible to give a model of diffusion processes of impurities since they are too numerous and interact one with the other. However oxygen seems to play a leading role in the spatial distribution of metal impurities.

  15. Single-electron regime and Pauli spin blockade in a silicon metal-oxide-semiconductor double quantum dot

    Science.gov (United States)

    Rochette, Sophie; Ten Eyck, Gregory A.; Pluym, Tammy; Lilly, Michael P.; Carroll, Malcolm S.; Pioro-Ladrière, Michel

    2015-03-01

    Silicon quantum dots are promising candidates for quantum information processing as spin qubits with long coherence time. We present electrical transport measurements on a silicon metal-oxide-semiconductor (MOS) double quantum dot (DQD). First, Coulomb diamonds measurements demonstrate the one-electron regime at a relatively high temperature of 1.5 K. Then, the 8 mK stability diagram shows Pauli spin blockade with a large singlet-triplet separation of approximatively 0.40 meV, pointing towards a strong lifting of the valley degeneracy. Finally, numerical simulations indicate that by integrating a micro-magnet to those devices, we could achieve fast spin rotations of the order of 30 ns. Those results are part of the recent body of work demonstrating the potential of Si MOS DQD as reliable and long-lived spin qubits that could be ultimately integrated into modern electronic facilities. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. DOE's National Nuclear Security Administration under Contract DE-AC04-94AL85000.

  16. p-Type Transparent Conducting Oxide/n-Type Semiconductor Heterojunctions for Efficient and Stable Solar Water Oxidation.

    Science.gov (United States)

    Chen, Le; Yang, Jinhui; Klaus, Shannon; Lee, Lyman J; Woods-Robinson, Rachel; Ma, Jie; Lum, Yanwei; Cooper, Jason K; Toma, Francesca M; Wang, Lin-Wang; Sharp, Ian D; Bell, Alexis T; Ager, Joel W

    2015-08-05

    Achieving stable operation of photoanodes used as components of solar water splitting devices is critical to realizing the promise of this renewable energy technology. It is shown that p-type transparent conducting oxides (p-TCOs) can function both as a selective hole contact and corrosion protection layer for photoanodes used in light-driven water oxidation. Using NiCo2O4 as the p-TCO and n-type Si as a prototypical light absorber, a rectifying heterojunction capable of light driven water oxidation was created. By placing the charge separating junction in the Si using a np(+) structure and by incorporating a highly active heterogeneous Ni-Fe oxygen evolution catalyst, efficient light-driven water oxidation can be achieved. In this structure, oxygen evolution under AM1.5G illumination occurs at 0.95 V vs RHE, and the current density at the reversible potential for water oxidation (1.23 V vs RHE) is >25 mA cm(-2). Stable operation was confirmed by observing a constant current density over 72 h and by sensitive measurements of corrosion products in the electrolyte. In situ Raman spectroscopy was employed to investigate structural transformation of NiCo2O4 during electrochemical oxidation. The interface between the light absorber and p-TCO is crucial to produce selective hole conduction to the surface under illumination. For example, annealing to produce more crystalline NiCo2O4 produces only small changes in its hole conductivity, while a thicker SiOx layer is formed at the n-Si/p-NiCo2O4 interface, greatly reducing the PEC performance. The generality of the p-TCO protection approach is demonstrated by multihour, stable, water oxidation with n-InP/p-NiCo2O4 heterojunction photoanodes.

  17. Drug delivery via porous silicon: a focused patent review.

    Science.gov (United States)

    Kulyavtsev, Paulina A; Spencer, Roxanne P

    2017-03-01

    Although silicon is more commonly associated with computer chips than with drug delivery, with the discovery that porous silicon is a viable biocompatible material, mesoporous silicon with pores between 2 and 50 nm has been loaded with small molecule and biomolecule therapeutics and safely implanted for controlled release. As porous silicon is readily oxidized, porous silica must also be considered for drug delivery applications. Since 2010, only a limited number of US patents have been granted, primarily for ophthalmologic and immunotherapy applications, in contrast to the growing body of technical literature in this area.

  18. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  19. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  20. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  1. Stable electroluminescence from passivated nano-crystalline porous silicon using undecylenic acid

    Science.gov (United States)

    Gelloz, B.; Sano, H.; Boukherroub, R.; Wayner, D. D. M.; Lockwood, D. J.; Koshida, N.

    2005-06-01

    Stabilization of electroluminescence from nanocrystalline porous silicon diodes has been achieved by replacing silicon-hydrogen bonds terminating the surface of nanocrystalline silicon with more stable silicon-carbon (Si-C) bonds. Hydrosilylation of the surface of partially and anodically oxidized porous silicon samples was thermally induced at about 90 °C using various different organic molecules. Devices whose surface have been modified with stable covalent bonds shows no degradation in the EL efficiency and EL output intensity under DC operation for several hours. The enhanced stability can be attributed to the high chemical resistance of Si-C bonds against current-induced surface oxidation associated with the generation of nonradiative defects. Although devices treated with 1-decene exhibit reduced EL efficiency and brightness compared to untreatred devices, other molecules, such as ethyl-undecylenate and particularly undecylenic acid provide stable and more efficient visible electroluminescence at room temperature. Undecylenic acid provides EL brightness as high as that of an untreated device.

  2. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  3. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  4. Experimental study of nucleate pool boiling heat transfer of water on silicon oxide nanoparticle coated copper heating surface

    International Nuclear Information System (INIS)

    Das, Sudev; Kumar, D.S.; Bhaumik, Swapan

    2016-01-01

    Highlights: • EBPVD approach was employed for fabrication of well-ordered nanoparticle coated micro/nanostructure on metal surface. • Nucleate boiling heat transfer performance on nanoparticle coated micro/nanostructure surface was experimentally studied. • Stability of nanoparticle coated surface under boiling environment was systematically studied. • 58% enhancement of boiling heat transfer coefficient was found. • Present experimental results are validated with well known boiling correlations. - Abstract: Electron beam physical vapor deposition (EBPVD) coating approach was employed for fabrication of well-ordered of nanoparticle coated micronanostructures on metal surfaces. This paper reports the experimental study of augmentation of pool boiling heat transfer performance and stabilities of silicon oxide nanoparticle coated surfaces with water at atmospheric pressure. The surfaces were characterized with respect to dynamic contact angle, surface roughness, topography, and morphology. The results were found that there is a reduction of about 36% in the incipience superheat and 58% enhancement in heat transfer coefficient for silicon oxide coated surface over the untreated surface. This enhancement might be the reason of enhanced wettability, enhanced surface roughness and increased number of a small artificial cavity on a heating surface. The performance and stability of nanoparticle coated micro/nanostructure surfaces were examined and found that after three runs of experiment the heat transfer coefficient with heat flux almost remain constant.

  5. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  6. Electronic properties and morphology of copper oxide/n-type silicon heterostructures

    Science.gov (United States)

    Lindberg, P. F.; Gorantla, S. M.; Gunnæs, A. E.; Svensson, B. G.; Monakhov, E. V.

    2017-08-01

    Silicon-based tandem heterojunction solar cells utilizing cuprous oxide (Cu2O) as the top absorber layer show promise for high-efficiency conversion and low production cost. In the present study, single phase Cu2O films have been realized on n-type Si substrates by reactive magnetron sputtering at 400 °C. The obtained Cu2O/Si heterostructures have subsequently been heat treated at temperatures in the 400-700 °C range in Ar flow and extensively characterized by x-ray diffraction (XRD) measurements, transmission electron microscopy (TEM) imaging and electrical techniques. The Cu2O/Si heterojunction exhibits a current rectification of ~5 orders of magnitude between forward and reverse bias voltages. High resolution cross-sectional TEM-images show the presence of a ~2 nm thick interfacial SiO2 layer between Cu2O and the Si substrate. Heat treatments below 550 °C result in gradual improvement of crystallinity, indicated by XRD. At and above 550 °C, partial phase transition to cupric oxide (CuO) occurs followed by a complete transition at 700 °C. No increase or decrease of the SiO2 layer is observed after the heat treatment at 550 °C. Finally, a thin Cu-silicide layer (Cu3Si) emerges below the SiO2 layer upon annealing at 550 °C. This silicide layer influences the lateral current and voltage distributions, as evidenced by an increasing effective area of the heterojunction diodes.

  7. LSA Large Area Silicon Sheet Task Continuous Czochralski Process Development

    Science.gov (United States)

    Rea, S. N.

    1979-01-01

    A commercial Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a small, in-situ premelter with attendant silicon storage and transport mechanisms. Using a vertical, cylindrical graphite heater containing a small fused quartz test tube linear from which the molten silicon flowed out the bottom, approximately 83 cm of nominal 5 cm diamter crystal was grown with continuous melt addition furnished by the test tube premelter. High perfection crystal was not obtained, however, due primarily to particulate contamination of the melt. A major contributor to the particulate problem was severe silicon oxide buildup on the premelter which would ultimately drop into the primary melt. Elimination of this oxide buildup will require extensive study and experimentation and the ultimate success of continuous Czochralski depends on a successful solution to this problem. Economically, the continuous Czochralski meets near-term cost goals for silicon sheet material.

  8. Improved Optics in Monolithic Perovskite/Silicon Tandem Solar Cells with a Nanocrystalline Silicon Recombination Junction

    KAUST Repository

    Sahli, Florent

    2017-10-09

    Perovskite/silicon tandem solar cells are increasingly recognized as promi­sing candidates for next-generation photovoltaics with performance beyond the single-junction limit at potentially low production costs. Current designs for monolithic tandems rely on transparent conductive oxides as an intermediate recombination layer, which lead to optical losses and reduced shunt resistance. An improved recombination junction based on nanocrystalline silicon layers to mitigate these losses is demonstrated. When employed in monolithic perovskite/silicon heterojunction tandem cells with a planar front side, this junction is found to increase the bottom cell photocurrent by more than 1 mA cm−2. In combination with a cesium-based perovskite top cell, this leads to tandem cell power-conversion efficiencies of up to 22.7% obtained from J–V measurements and steady-state efficiencies of up to 22.0% during maximum power point tracking. Thanks to its low lateral conductivity, the nanocrystalline silicon recombination junction enables upscaling of monolithic perovskite/silicon heterojunction tandem cells, resulting in a 12.96 cm2 monolithic tandem cell with a steady-state efficiency of 18%.

  9. Improved Optics in Monolithic Perovskite/Silicon Tandem Solar Cells with a Nanocrystalline Silicon Recombination Junction

    KAUST Repository

    Sahli, Florent; Kamino, Brett A.; Werner, Jé ré mie; Brä uninger, Matthias; Paviet-Salomon, Bertrand; Barraud, Loris; Monnard, Raphaë l; Seif, Johannes Peter; Tomasi, Andrea; Jeangros, Quentin; Hessler-Wyser, Aï cha; De Wolf, Stefaan; Despeisse, Matthieu; Nicolay, Sylvain; Niesen, Bjoern; Ballif, Christophe

    2017-01-01

    Perovskite/silicon tandem solar cells are increasingly recognized as promi­sing candidates for next-generation photovoltaics with performance beyond the single-junction limit at potentially low production costs. Current designs for monolithic tandems rely on transparent conductive oxides as an intermediate recombination layer, which lead to optical losses and reduced shunt resistance. An improved recombination junction based on nanocrystalline silicon layers to mitigate these losses is demonstrated. When employed in monolithic perovskite/silicon heterojunction tandem cells with a planar front side, this junction is found to increase the bottom cell photocurrent by more than 1 mA cm−2. In combination with a cesium-based perovskite top cell, this leads to tandem cell power-conversion efficiencies of up to 22.7% obtained from J–V measurements and steady-state efficiencies of up to 22.0% during maximum power point tracking. Thanks to its low lateral conductivity, the nanocrystalline silicon recombination junction enables upscaling of monolithic perovskite/silicon heterojunction tandem cells, resulting in a 12.96 cm2 monolithic tandem cell with a steady-state efficiency of 18%.

  10. Ocular silicon distribution and clearance following intravitreal injection of porous silicon microparticles.

    Science.gov (United States)

    Nieto, Alejandra; Hou, Huiyuan; Sailor, Michael J; Freeman, William R; Cheng, Lingyun

    2013-11-01

    Porous silicon (pSi) microparticles have been investigated for intravitreal drug delivery and demonstrated good biocompatibility. With the appropriate surface chemistry, pSi can reside in vitreous for months or longer. However, ocular distribution and clearance pathway of its degradation product, silicic acid, are not well understood. In the current study, rabbit ocular tissue was collected at different time point following fresh pSi (day 1, 5, 9, 16, and 21) or oxidized pSi (day 3, 7, 14, 21, and 35) intravitreal injection. In addition, dual-probe simultaneous microdialysis of aqueous and vitreous humor was performed following a bolus intravitreal injection of 0.25 mL silicic acid (150 μg/mL) and six consecutive microdialysates were collected every 20 min. Silicon was quantified from the samples using inductively coupled plasma-optical emission spectroscopy. The study showed that following the intravitreal injection of oxidized pSi, free silicon was consistently higher in the aqueous than in the retina (8.1 ± 6.5 vs. 3.4 ± 3.9 μg/mL, p = 0.0031). The area under the concentration-time curve (AUC) of the retina was only about 24% that of the aqueous. The mean residence time was 16 days for aqueous, 13 days for vitreous, 6 days for retina, and 18 days for plasma. Similarly, following intravitreal fresh pSi, free silicon was also found higher in aqueous than in retina (7 ± 4.7 vs. 3.4 ± 4.1 μg/mL, p = 0.014). The AUC for the retina was about 50% of the AUC for the aqueous. The microdialysis revealed the terminal half-life of free silicon in the aqueous was 30 min and 92 min in the vitreous; the AUC for aqueous accounted for 38% of the AUC for vitreous. Our studies indicate that aqueous humor is a significant pathway for silicon egress from the eye following intravitreal injection of pSi crystals. Copyright © 2013 Elsevier Ltd. All rights reserved.

  11. Composition of silicon fibrous nanostructures synthesized using ultrafast laser pulses under ambient conditions

    Directory of Open Access Journals (Sweden)

    Sivakumar M.

    2015-01-01

    Full Text Available In this study the composition of nanostructures generated owing to ablation of crystalline silicon using high repletion rate femtosecond laser under ambient condition is investigated. The web-like silicon fibrous nanostructures are formed in and around the laser irradiated area. Electron Microscopy investigation revealed that the nanostructures are made of nanoparticles of size about 40 nm. In addition Micro-Raman analysis shows that the nanofibrous structures comprises a mixture of amorphous and polycrystalline silicon. X-ray photoelectron spectroscopy analysis reveals the oxidized and un-oxidized elemental states of silicon in the nanostructures. Moreover web-like fibrous nanostructures are generated due to condensation of super saturated vapour and subsequent nucleus growth in the laser induced plasma plume.

  12. Photoluminescence studies on porous silicon/polymer heterostructure

    International Nuclear Information System (INIS)

    Mishra, J.K.; Bhunia, S.; Banerjee, S.; Banerji, P.

    2008-01-01

    Hybrid devices formed by filling porous silicon with MEH-PPV or poly [2-methoxy-5(2-ethylhexyloxy-p-phenylenevinylene)] have been investigated in this work. Analyses of the structures by scanning electron microscopy (SEM) demonstrated that the porous silicon layer was filled by the polymer with no significant change of the structures except that the polymer was infiltrated in the pores. The photoluminescence (PL) of the structures at 300 K showed that the emission intensity was very high as compared with that of the MEH-PPV films on different substrates such as crystalline silicon (c-Si) and indium tin oxide (ITO). The PL peak in the MEH-PPV/porous silicon composite structure is found to be shifted towards higher energy in comparison with porous silicon PL. A number of possibilities are discussed to explain the observations

  13. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    Science.gov (United States)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  14. Black silicon with black bus-bar strings

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of black silicon texturing and blackened bus-bar strings as a potential method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon was realized by mask-less reactive ion etching resulting in total, average reflectance...... below 0.5% across a 156x156 mm2 silicon wafer. Black bus-bars were realized by oxidized copper resulting in reflectance below 3% in the entire visible wavelength range. The combination of these two technologies may result in aesthetic, all-black panels based on conventional, front-contacted solar cells...

  15. Modified porous silicon for electrochemical sensor of para-nitrophenol

    Energy Technology Data Exchange (ETDEWEB)

    Belhousse, S., E-mail: all_samia_b@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Belhaneche-Bensemra, N., E-mail: nbelhaneche@yahoo.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Lasmi, K., E-mail: kahinalasmi@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Mezaache, I., E-mail: lyeso_44@hotmail.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Sedrati, T., E-mail: tarek_1990m@hotmail.fr [Ecole Nationale Polytechnique (ENP), 10, Avenue Hassen Badi, B.P. 182, 16200, El Harrach, Algiers (Algeria); Sam, S., E-mail: Sabrina.sam@polytechnique.edu [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Tighilt, F.-Z., E-mail: mli_zola@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria); Gabouze, N., E-mail: ngabouze@yahoo.fr [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE), Division Thin Films-Surface and Interface, 2, Bd. Frantz Fanon, B.P. 140, Alger-7 merveilles, Algiers (Algeria)

    2014-11-15

    Highlights: • Hybrid device based on Porous silicon (PSi) and polythiophene (PTh) was prepared. • Three types of PSi/PTh hybrid structures were elaborated: PSi/PTh, oxide/PSi/PTh and Amino-propyltrimethoxysilane (APTMES)/oxide/PSi/PTh. • PTh was grafted on PSi using electrochemical polymerization. • The electrodetection of para-nitrophenol (p-NPh) was performed by cyclic voltammetry. • Oxide/PSi/PTh and APTMES/oxide/PSi/PTh, based electrochemical sensor showed a good response toward p-NPh. - Abstract: Hybrid structures based on polythiophene modified porous silicon was used for the electrochemical detection of para-nitrophenol, which is a toxic derivative of parathion insecticide and it is considered as a major toxic pollutant. The porous silicon was prepared by anodic etching in hydrofluodic acid. Polythiophene films were then grown by electropolymerisation of thiophene monomer on three different surfaces: hydrogenated PSi, oxidized PSi and amine-terminated PSi. The morphology of the obtained structures were observed by scanning electron microscopy and characterized by spectroscopy (FTIR). Cyclic voltammetry was used to study the electrochemical response of proposed structures to para-nitrophenol. The results show a high sensitivity of the sensor and a linearity of the electrochemical response in a large concentration interval ranging from 1.5 × 10{sup −8} M to the 3 × 10{sup −4}M.

  16. RBS and XRD analysis of silicon doped titanium diboride films

    International Nuclear Information System (INIS)

    Mollica, S.; Sood, D.K.; Ghantasala, M.K.; Kothari, R.

    1999-01-01

    Titanium diboride is a newly developed material suitable for protective coatings. Its high temperature oxidation resistance at temperatures of 700 deg C and beyond is limited due to its poor oxidative behaviour. This paper presents a novel approach to improving the coatings' oxidative characteristics at temperatures of 700 deg C by doping with silicon. Titanium diboride films were deposited onto Si(100) wafer substrates using a DC magnetron sputtering system. Films were deposited in two different compositions, one at pure TiB 2 and the other with 20 % Si doping. These samples were vacuum annealed at 700 deg C at 1x10 -6 Torr to investigate the anaerobic behaviour of the material at elevated temperatures and to ensure that they were crystalline. Samples were then oxidised in air at 700 deg C to investigate their oxidation resistance. Annealing the films at 700 deg C in air results in the oxidation of the film as titanium and boron form TiO 2 and B 2 O 3 . Annealing is seen to produce only minor changes in the films. There is some silicon diffusion from the substrate at elevated temperatures, which is related to the porous nature of the deposited film and the high temperature heat treatments. However, silicon doped films showed relatively less oxidation characteristics after annealing in air compared with the pure TiB 2 samples

  17. Characteristics of fracture during the approach process and wear mechanism of a silicon AFM tip

    International Nuclear Information System (INIS)

    Chung, Koo-Hyun; Lee, Yong-Ha; Kim, Dae-Eun

    2005-01-01

    The wear of an atomic force microscope (AFM) tip is one of the crucial issues in AFM as well as in other probe-based applications. In this work, wear tests under extremely low normal load using an AFM were conducted. Also, in order to understand the nature of silicon tip wear, the wear characteristics of crystal silicon and amorphous silicon oxide layer were investigated by a high-resolution transmission electron microscope (HRTEM). It was found that fracture of the tip readily occurred due to impact during the approach process. Experimental results showed that the impact should be below 0.1 nN s to avoid significant fracture of the tip. Also, it was observed that wear of the amorphous layer, formed at the end of the tip, occurred at the initial stage of the silicon tip damage process. Based on Archard's wear law, the wear coefficient of the amorphous layer was in the range of 0.009-0.014. As for the wear characteristics of the silicon tip, it was shown that wear occurred gradually under light normal load and the wear rate decreased with increase in the sliding distance. As for the wear mechanism of the silicon tip, oxidation wear was identified to be the most significant. It was shown that the degree of oxidation was higher under high normal load and in a nitrogen environment, oxidation of the silicon tip was reduced

  18. Superparamagnetic iron oxide nanoparticle attachment on array of micro test tubes and microbeakers formed on p-type silicon substrate for biosensor applications

    Directory of Open Access Journals (Sweden)

    Raja Sufi

    2011-01-01

    Full Text Available Abstract A uniformly distributed array of micro test tubes and microbeakers is formed on a p-type silicon substrate with tunable cross-section and distance of separation by anodic etching of the silicon wafer in N, N-dimethylformamide and hydrofluoric acid, which essentially leads to the formation of macroporous silicon templates. A reasonable control over the dimensions of the structures could be achieved by tailoring the formation parameters, primarily the wafer resistivity. For a micro test tube, the cross-section (i.e., the pore size as well as the distance of separation between two adjacent test tubes (i.e., inter-pore distance is typically approximately 1 μm, whereas, for a microbeaker the pore size exceeds 1.5 μm and the inter-pore distance could be less than 100 nm. We successfully synthesized superparamagnetic iron oxide nanoparticles (SPIONs, with average particle size approximately 20 nm and attached them on the porous silicon chip surface as well as on the pore walls. Such SPION-coated arrays of micro test tubes and microbeakers are potential candidates for biosensors because of the biocompatibility of both silicon and SPIONs. As acquisition of data via microarray is an essential attribute of high throughput bio-sensing, the proposed nanostructured array may be a promising step in this direction.

  19. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  20. Towards nanometer-spaced silicon contacts to proteins

    Science.gov (United States)

    Schukfeh, Muhammed I.; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc

    2016-03-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.

  1. Towards nanometer-spaced silicon contacts to proteins

    International Nuclear Information System (INIS)

    Schukfeh, Muhammed I; Behr, Pascal; Tornow, Marc; Sepunaru, Lior; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David

    2016-01-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO_2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p"+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current–voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si–protein–Si configuration. (paper)

  2. Microarc Oxidation of the High-Silicon Aluminum AK12D Alloy

    Directory of Open Access Journals (Sweden)

    S. K. Kiseleva

    2015-01-01

    Full Text Available The aim of work is to study how the high-silicon aluminum AK12D alloy microstructure and MAO-process modes influence on characteristics (microhardness, porosity and thickness of the oxide layer of formed surface layer.Experimental methods of study:1 MAO processing of AK12D alloy disc-shaped samples. MAO modes features are concentration of electrolyte components – soluble water glass Na2SiO3 and potassium hydroxide (KOH. The content of two components both the soluble water glass and the potassium hydroxide was changed at once, with their concentration ratio remaining constant;2 metallographic analysis of AK12D alloy structure using an optical microscope «Olympus GX51»;3 image analysis of the system "alloy AK12D - MAO - layer" using a scanning electron microscope «JEOL JSM 6490LV»;4 hardness evaluation of the MAO-layers using a micro-hardness tester «Struers Duramin».The porosity, microhardness and thickness of MAO-layer formed on samples with different initial structures are analyzed in detail. Attention is paid to the influence of MAO process modes on the quality layer.It has been proved that the MAO processing allows reaching quality coverage with high microhardness values of 1200-1300HV and thickness up to 114 μm on high-silicon aluminum alloy. It has been found that the initial microstructure of alloy greatly affects the thickness of the MAO - layer. The paper explains the observed effect using the physical principles of MAO process and the nature of silicon particles distribution in the billet volume.It has been shown that increasing concentration of sodium silicate and potassium hydroxide in the electrolyte results in thicker coating and high microhardness.It has been revealed that high microhardness is observed in the thicker MAO-layers.Conclusions:1 The microstructure of aluminum AK12D alloy and concentration of electrolyte components - liquid glass Na2SiO3 and potassium hydroxide affect the quality of coating resulted from MAO

  3. Spiral silicon drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.; Longoni, A.; Sampietro, M.; Holl, P.; Lutz, G.; Kemmer, J.; Prechtel, U.; Ziemann, T.

    1988-01-01

    An advanced large area silicon photodiode (and x-ray detector), called Spiral Drift Detector, was designed, produced and tested. The Spiral Detector belongs to the family of silicon drift detectors and is an improvement of the well known Cylindrical Drift Detector. In both detectors, signal electrons created in silicon by fast charged particles or photons are drifting toward a practically point-like collection anode. The capacitance of the anode is therefore kept at the minimum (0.1pF). The concentric rings of the cylindrical detector are replaced by a continuous spiral in the new detector. The spiral geometry detector design leads to a decrease of the detector leakage current. In the spiral detector all electrons generated at the silicon-silicon oxide interface are collected on a guard sink rather than contributing to the detector leakage current. The decrease of the leakage current reduces the parallel noise of the detector. This decrease of the leakage current and the very small capacities of the detector anode with a capacitively matched preamplifier may improve the energy resolution of Spiral Drift Detectors operating at room temperature down to about 50 electrons rms. This resolution is in the range attainable at present only by cooled semiconductor detectors. 5 refs., 10 figs

  4. Synthesis of porous silicon nano-wires and the emission of red luminescence

    International Nuclear Information System (INIS)

    Congli, Sun; Hao, Hu; Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao; Xiaosong, Sun

    2013-01-01

    This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO 3 solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO 3 solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H 2 O 2 - treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.

  5. Synthesis of porous silicon nano-wires and the emission of red luminescence

    Energy Technology Data Exchange (ETDEWEB)

    Congli, Sun [School of Materials Science and Engineering, Sichuan University (China); Hao, Hu [National Engineering Research Center for Biomaterials, Sichuan University, Chengdu 610064, Sichuan (China); Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao [School of Materials Science and Engineering, Sichuan University (China); Xiaosong, Sun, E-mail: sunxs@scu.edu.cn [School of Materials Science and Engineering, Sichuan University (China)

    2013-10-01

    This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO{sub 3} solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO{sub 3} solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H{sub 2}O{sub 2}- treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.

  6. Low-temperature grown indium oxide nanowire-based antireflection coatings for multi-crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yu-Cian; Chen, Chih-Yao; Chen, I Chen [Institute of Materials Science and Engineering, National Central University, Taoyuan (China); Kuo, Cheng-Wen; Kuan, Ta-Ming; Yu, Cheng-Yeh [TSEC Corporation, Hsinchu (China)

    2016-08-15

    Light harvesting by indium oxide nanowires (InO NWs) as an antireflection layer on multi-crystalline silicon (mc-Si) solar cells has been investigated. The low-temperature growth of InO NWs was performed in electron cyclotron resonance (ECR) plasma with an O{sub 2}-Ar system using indium nanocrystals as seed particles via the self-catalyzed growth mechanism. The size-dependence of antireflection properties of InO NWs was studied. A considerable enhancement in short-circuit current (from 35.39 to 38.33 mA cm{sup -2}) without deterioration of other performance parameters is observed for mc-Si solar cells coated with InO NWs. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Treatment of transparent conductive oxides by laser processes for the development of Silicon photovoltaic cells

    International Nuclear Information System (INIS)

    Canteli Perez-Caballero, D.

    2015-01-01

    Transparent conductive oxides (TCOs) are heavily doped oxides with high transparency in the visible range of the spectrum and a very low sheet resistance, making them very attractive for applications in optoelectronic devices. TCOs are widely found in many different areas such as low emissivity windows, electric contacts in computers, televisions or portable devices, and, specially, in the photovoltaic (PV) industry. PV industry is mainly based on mono- and multicrystalline silicon, where TCOs are used as anti-reflective coatings, but the search for cheaper, alternative technologies has led to the development of thin film PV technologies, where TCOs are used as transparent contacts. With the maturation of the thin film PV industry, laser sources have become an essential tool, allowing the improvement of some industrial processes and the development of new ones. Because of the interest on a deeper understanding of the interaction processes between laser light and TCOs, the laser ablation of three of the most important TCOs has been studied in depth in the present work. (Author)

  8. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  9. Surface Area, and Oxidation Effects on Nitridation Kinetics of Silicon Powder Compacts

    Science.gov (United States)

    Bhatt, R. T.; Palczer, A. R.

    1998-01-01

    Commercially available silicon powders were wet-attrition-milled from 2 to 48 hr to achieve surface areas (SA's) ranging from 1.3 to 70 sq m/g. The surface area effects on the nitridation kinetics of silicon powder compacts were determined at 1250 or 1350 C for 4 hr. In addition, the influence of nitridation environment, and preoxidation on nitridation kinetics of a silicon powder of high surface area (approximately equals 63 sq m/g) was investigated. As the surface area increased, so did the percentage nitridation after 4 hr in N2 at 1250 or 1350 C. Silicon powders of high surface area (greater than 40 sq m/g) can be nitrided to greater than 70% at 1250 C in 4 hr. The nitridation kinetics of the high-surface-area powder compacts were significantly delayed by preoxidation treatment. Conversely, the nitridation environment had no significant influence on the nitridation kinetics of the same powder. Impurities present in the starting powder, and those accumulated during attrition milling, appeared to react with the silica layer on the surface of silicon particles to form a molten silicate layer, which provided a path for rapid diffusion of nitrogen and enhanced the nitridation kinetics of high surface area silicon powder.

  10. Stable electroluminescence from passivated nano-crystalline porous silicon using undecylenic acid

    Energy Technology Data Exchange (ETDEWEB)

    Gelloz, B.; Sano, H.; Koshida, N. [Dept. Elec. and Elec. Eng., Tokyo Univ. of A and T, Koganei, Tokyo 184-8588 (Japan); Boukherroub, R. [Laboratoire de Physique de la Matiere Condensee, Ecole Polytechnique, Route de Saclay, 91128 Palaiseau (France); Wayner, D.D.M.; Lockwood, D.J. [National Research Council, Ottawa (Canada)

    2005-06-01

    Stabilization of electroluminescence from nanocrystalline porous silicon diodes has been achieved by replacing silicon-hydrogen bonds terminating the surface of nanocrystalline silicon with more stable silicon-carbon (Si-C) bonds. Hydrosilylation of the surface of partially and anodically oxidized porous silicon samples was thermally induced at about 90 C using various different organic molecules. Devices whose surface have been modified with stable covalent bonds shows no degradation in the EL efficiency and EL output intensity under DC operation for several hours. The enhanced stability can be attributed to the high chemical resistance of Si-C bonds against current-induced surface oxidation associated with the generation of nonradiative defects. Although devices treated with 1-decene exhibit reduced EL efficiency and brightness compared to untreated devices, other molecules, such as ethyl-undecylenate and particularly undecylenic acid provide stable and more efficient visible electroluminescence at room temperature. Undecylenic acid provides EL brightness as high as that of an untreated device. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Size Control of Porous Silicon-Based Nanoparticles via Pore-Wall Thinning.

    Science.gov (United States)

    Secret, Emilie; Leonard, Camille; Kelly, Stefan J; Uhl, Amanda; Cozzan, Clayton; Andrew, Jennifer S

    2016-02-02

    Photoluminescent silicon nanocrystals are very attractive for biomedical and electronic applications. Here a new process is presented to synthesize photoluminescent silicon nanocrystals with diameters smaller than 6 nm from a porous silicon template. These nanoparticles are formed using a pore-wall thinning approach, where the as-etched porous silicon layer is partially oxidized to silica, which is dissolved by a hydrofluoric acid solution, decreasing the pore-wall thickness. This decrease in pore-wall thickness leads to a corresponding decrease in the size of the nanocrystals that make up the pore walls, resulting in the formation of smaller nanoparticles during sonication of the porous silicon. Particle diameters were measured using dynamic light scattering, and these values were compared with the nanocrystallite size within the pore wall as determined from X-ray diffraction. Additionally, an increase in the quantum confinement effect is observed for these particles through an increase in the photoluminescence intensity of the nanoparticles compared with the as-etched nanoparticles, without the need for a further activation step by oxidation after synthesis.

  12. Electrical effects of transient neutron irradiation of silicon devices

    International Nuclear Information System (INIS)

    Hjalmarson, H.P.; Pease, R.L.; Van Ginhoven, R.M.; Schultz, P.A.; Modine, N.A.

    2007-01-01

    The key effects of combined transient neutron and ionizing radiation on silicon diodes and bipolar junctions transistors are described. The results show that interstitial defect reactions dominate the annealing effects in the first stage of annealing for certain devices. Furthermore, the results show that oxide trapped charge can influence the effects of bulk silicon displacement damage for particular devices

  13. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Hydrogenation of the ``new oxygen donor'' traps in silicon

    Science.gov (United States)

    Hölzlein, K.; Pensl, G.; Schulz, M.; Johnson, N. M.

    1986-04-01

    Hydrogenation was performed at moderate temperatures (≤300 °C) on Czochralski-grown Si samples that contained high concentrations of the oxygen-related ``new donor'' (ND) traps. From deep level transient spectroscopy, a comparison of spectra from untreated reference and hydrogenated material reveals that two different types of defect states contribute to the continuous energy distribution of the ND traps. The experimental and theoretical results further establish the ``SiOx interface'' model for the ND defects.

  15. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    Science.gov (United States)

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  16. Silicon dioxide obtained by Polymeric Precursor Method

    International Nuclear Information System (INIS)

    Oliveira, C.T.; Granado, S.R.; Lopes, S.A.; Cavalheiro, A.A.

    2011-01-01

    The Polymeric Precursor Method is able for obtaining several oxide material types with high surface area even obtained in particle form. Several MO 2 oxide types such as titanium, silicon and zirconium ones can be obtained by this methodology. In this work, the synthesis of silicon oxide was monitored by thermal analysis, XRD and surface area analysis in order to demonstrate the influence of the several synthesis and calcining parameters. Surface area values as higher as 370m2/g and increasing in the micropore volume nm were obtained when the material was synthesized by using ethylene glycol as polymerizing agent. XRD analysis showed that the material is amorphous when calcinated at 600°C in despite of the time of calcining, but the material morphology is strongly influenced by the polymeric resin composition. Using Glycerol as polymerizing agent, the pore size increase and the surface area goes down with the increasing in decomposition time, when compared to ethylene glycol. (author)

  17. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  18. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  19. Origin of interface states and oxide charges generated by ionizing radiation

    International Nuclear Information System (INIS)

    Sah, C.T.

    1976-01-01

    The randomly located trivalent silicon atoms are shown to account for the thermally generated interface states at the SiO 2 -Si interface. The interface state density is greatly reduced in water containing ambients at low temperatures (450 0 C) by forming trivalent silicon hydroxide bonds. Interface states are regenerated when the /triple bond/Si-OH bonds are broken by ionizing radiation and the OH ions are drifted away. In the bulk of the oxide film, the trivalent silicon and the interstitial oxygen donor centers are shown to be responsible for the heat and radiation generated positive space charge build-up (oxide charge) in thermally grown silicon oxide

  20. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  1. Silicon technologies ion implantation and thermal treatment

    CERN Document Server

    Baudrant, Annie

    2013-01-01

    The main purpose of this book is to remind new engineers in silicon foundry, the fundamental physical and chemical rules in major Front end treatments: oxidation, epitaxy, ion implantation and impurities diffusion.

  2. Holographic tracking of quantized intra-film segments during interferometric laser processing of SiOx thin films(Conference Presentation)

    Science.gov (United States)

    Ho, Stephen; Domke, Matthias; Huber, Heinz P.; Herman, Peter P.

    2017-03-01

    Interferometric femtosecond laser processing of thin dielectric films has recently opened the novel approach for quantized nanostructuring from inside the film, driven by the rapid formation of periodic thin nanoscale plasma disks of 20 to 45 nm width, separated on half-wavelength, λ/2nfilm, spacing (refractive index, nfilm). The nano-disk explosions enable intra-film cleaving of subwavelength cavities at single or multiple periodic depths, enabling the formation of intra-film blisters with nanocavities and the digital ejection at fractional film depths with quantized-depth thickness defined by the laser wavelength. For this paper, the physical mechanisms and ablation dynamics underlying the intra-film cleavage of SiOx thin films were investigated by laser pump-probe microscopy with high temporal dynamic range recorded in a wide time-frame between 100 fs and 10 μs. The long time scales revealed a new observation method as Newton's Rings (observed 50 ns) of the laser-ablated film fragments. For the first time to our knowledge, the holographic tracking reveals the clustering of large mechanically ejected nano-film planes into distinct speed groups according to the multiple of λ/2nfilm in the film. The observation verifies a new `quantized' form of photo-mechanical laser "lift-off".

  3. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  4. Thermal oxidation effect on structural and optical properties of heavily doped phosphorus polycrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Birouk, B.; Madi, D. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Cite Ouled Aissa, BP 98, Jijel (Algeria)

    2011-08-15

    The study reported in this paper contributes to better understanding the thermal oxidation effect on structural and optical properties of polycrystalline silicon heavily in situ P-LPCVD films. The deposits, doped at levels 3 x 10{sup 19} and 1.6 x 10{sup 20} cm{sup -3}, have been elaborated from silane decomposition (400 mTorrs, 605 C) on monosilicon substrate oriented left angle 111 right angle. The thermal oxidation was performed at temperatures: 850 C during 1 hour, 1000, 1050, and 1100 C during 15 minutes. The XRD spectra analysis pointed out significant left angle 111 right angle texture evolution, while in the case of left angle 220 right angle and left angle 311 right angle textures, the intensities are practically invariant (variations fall in the uncertainty intervals). The optical characterizations showed that refractive index and absorption coefficient are very sensitive to the oxidation treatment, mainly when the doping level is not very high. We think that atomic oxygen acts as defects passivating agent leading to carriers' concentration increasing. Besides, the optical behavior is modeled in visible and near infrared, by a seven-term polynomial function n {sup 2}=f({lambda} {sup 2}), with alternate signs, instead of theoretically unlimited terms number from Drude's model. It has been shown that fitting parameters fall on Gaussian curves like they do in the theoretical model. (orig.)

  5. Thermo-Optic Characterization of Silicon Nitride Resonators for Cryogenic Photonic Circuits

    NARCIS (Netherlands)

    Elshaari, A.W.A.; Esmaeil Zadeh, I.; Jöns, K.D.; Zwiller, Val

    2016-01-01

    In this paper, we characterize the Thermo-optic properties of silicon nitride ring resonators between 18 and 300 K. The Thermo-optic coefficients of the silicon nitride core and the oxide cladding are measured by studying the temperature dependence of the resonance wavelengths. The resonant modes

  6. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    Directory of Open Access Journals (Sweden)

    Wen-Jeng Ho

    2017-06-01

    Full Text Available This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2, indium tin oxide (ITO, and a hybrid layer of SiO2/ITO applied using Radio frequency (RF sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52% exceeded that of cells with a SiO2 antireflective coating (21.92%. Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating.

  7. Oxidation and Tribological Behavior of Ti-B-C-N-Si Nanocomposite Films Deposited by Pulsed Unbalanced Magnetron Sputtering.

    Science.gov (United States)

    Jang, Jaeho; Heo, Sungbo; Kim, Wang Ryeol; Kim, Jun-Ho; Nam, Dae-Geun; Kim, Kwang Ho; Park, Ikmin; Park, In-Wook

    2018-03-01

    Quinary Ti-B-C-N-Si nanocomposite films were deposited onto AISI 304 substrates using a pulsed d.c. magnetron sputtering system. The quinary Ti-B-C-N-Si (5 at.%) film showed excellent tribological and wear properties compared with those of the Ti-B-C-N films. The steady friction coefficient of 0.151 and a wear rate of 2 × 10-6 mm3N-1m-1 were measured for the Ti-B-C-N-Si films. The oxidation behavior of Ti-B-C-N-Si nanocomposite films was systematically investigated using X-ray diffraction (XRD), and thermal analyzer with differential scanning calorimetry (DSC) and thermogravimetric analysis (TGA). It is concluded that the addition of Si into the Ti-B-C-N film improved the tribological properties and oxidation resistance of the Ti-B-C-N-Si films. The improvements are due to the formation of an amorphous SiOx phase, which plays a major role in the self-lubricant tribo-layers and oxidation barrier on the film surface or in the grain boundaries, respectively.

  8. Optimization of time–temperature schedule for nitridation of silicon ...

    Indian Academy of Sciences (India)

    pact was optimized by kinetic study of the reaction, 3Si + 2N2 = Si3N4 at four different temperatures (1250°C,. 1300°C, 1350°C and 1400°C). ... Reaction sintered silicon nitride; nitridation; reaction kinetics. 1. Introduction. Formation of ..... cation of silica layer resulted in active oxidation of silicon at high temperature to ...

  9. Freestanding silicon quantum dots: origin of red and blue luminescence.

    Science.gov (United States)

    Gupta, Anoop; Wiggers, Hartmut

    2011-02-04

    In this paper, we studied the behavior of silicon quantum dots (Si-QDs) after etching and surface oxidation by means of photoluminescence (PL) measurements, Fourier transform infrared spectroscopy (FTIR) and electron paramagnetic resonance spectroscopy (EPR). We observed that etching of red luminescing Si-QDs with HF acid drastically reduces the concentration of defects and significantly enhances their PL intensity together with a small shift in the emission spectrum. Additionally, we observed the emergence of blue luminescence from Si-QDs during the re-oxidation of freshly etched particles. Our results indicate that the red emission is related to the quantum confinement effect, while the blue emission from Si-QDs is related to defect states at the newly formed silicon oxide surface.

  10. Waveguide silicon nitride grating coupler

    Science.gov (United States)

    Litvik, Jan; Dolnak, Ivan; Dado, Milan

    2016-12-01

    Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.

  11. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  12. Damage induced by high energy multiply charged oxygen ions in oxide coated silicon

    Energy Technology Data Exchange (ETDEWEB)

    Dhole, S.D. [Department of Physics, University of Pune, Pune 411 007 (India)]. E-mail: sanjay@physics.unipune.ernet.in; Dahiwale, S.S. [Department of Physics, University of Pune, Pune 411 007 (India); Kulkarni, V.R. [Department of Physics, University of Pune, Pune 411 007 (India); Bogle, K.A. [Department of Physics, University of Pune, Pune 411 007 (India); Shinde, N.S. [Ecotopia Science Institute, Division of Energy Science, Nagoya University, Nagoya (Japan); Bhoraskar, V.N. [Department of Physics, University of Pune, Pune 411 007 (India)

    2006-03-15

    P-type oxide coated silicon samples of resistivity 120 {omega} cm were irradiated with 60 MeV oxygen ions of fixed charge states 4{sup +}, 5{sup +}, 6{sup +} and 7{sup +} at an equal fluence of, {phi}, {approx}10{sup 13} ions/cm{sup 2}. The induced damage was estimated by Hall voltage, Hall coefficient, carrier concentration and lifetime of minority carriers. The results indicate that Hall voltage (V {sub H}) and Hall coefficient (R {sub H}) increases, while carrier concentration (n) decreases with the charge state of impinging oxygen ions. The V {sub H} increases from 22 mV to 76.5 mV at typical current of 0.5 mA, R {sub H} from 0.42 x 10{sup 5} cm{sup 3}/C to 2.16 x 10{sup 5} cm{sup 3}/C and n decreases from 9 x 10{sup 13} cm{sup -3} to 2.88 x 10{sup 13} cm{sup -3} for the different charge states. This fact is an evidence that the oxygen ions with an individual fixed charge state passing through very thin 40 A layer of silicon dioxide, induces significant damage at the SiO{sub 2}-Si interface through the mechanism of electronic stopping power. The lifetime of minority charge carriers, {tau} (bulk property), remains constant at around 6 {mu}s for all the charge states of the 60 MeV energy oxygen ion irradiated samples at a constant fluence of, {phi}, 10{sup 13} ions/cm{sup 2}.

  13. Accelerated life test of an ONO stacked insulator film for a silicon micro-strip detector

    International Nuclear Information System (INIS)

    Okuno, Shoji; Ikeda, Hirokazu; Saitoh, Yutaka

    1996-01-01

    We have used to acquire the signal through an integrated capacitor for a silicon micro-strip detector. When we have been using a double-sided silicon micro-strip detector, we have required a long-term stability and a high feasibility for the integrated capacitor. An oxide-nitride-oxide (ONO) insulator film was theoretically expected to have a superior nature in terms of long term reliability. In order to test long term reliability for integrated capacitor of a silicon micro-strip detector, we made a multi-channel measuring system for capacitors

  14. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  15. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  16. Deimanto tipo anglies nanokompozicinių (SiOx turinčių deimanto tipo anglies plėvelių struktūros, cheminės sudėties, savybių ir taikymo sričių apžvalga

    Directory of Open Access Journals (Sweden)

    Šarūnas MEŠKINIS

    2011-11-01

    Full Text Available Straipsnyje apžvelgiama dabartinė būklė nanokompozicinių deimanto tipo anglies plėvelių (SiOx turinčių deimanto tipo anglies dangų tyrimų srityje. Aptariami įvairūs šių dangų formavimo metodai bei sintezei naudojamos medžiagos. Įvairiais būdais ir įvairiomis sąlygomis užaugintų deimanto tipo anglies nanokompozicinių plėvelių cheminė sudėtis nagrinėta atsižvelgiant į tyrimų duomenis, gautus taikant skirtingus analizės metodus (rentgeno spindulių fotoelektronų spektroskopiją, infraraudonųjų spindulių spektroskopiją. Taip pat aprašyti skirtingų autorių siūlomi nanokompozicinių deimanto tipo anglies plėvelių struktūros modeliai. Apžvelgtos SiOx turinčių DTA plėvelių, suformuotų įvairiais būdais, mechaninės, optinės, elektrinės savybės bei plėvelių paviršiaus energija. Straipsnio pabaigoje aprašomos nanokompozicinių deimanto tipo anglies plėvelių dabartinės naudojimo sritys ir ateities perspektyvos.http://dx.doi.org/10.5755/j01.ms.17.4.770

  17. Superconductive silicon nanowires using gallium beam lithography.

    Energy Technology Data Exchange (ETDEWEB)

    Henry, Michael David; Jarecki, Robert Leo,

    2014-01-01

    This work was an early career LDRD investigating the idea of using a focused ion beam (FIB) to implant Ga into silicon to create embedded nanowires and/or fully suspended nanowires. The embedded Ga nanowires demonstrated electrical resistivity of 5 m-cm, conductivity down to 4 K, and acts as an Ohmic silicon contact. The suspended nanowires achieved dimensions down to 20 nm x 30 nm x 10 m with large sensitivity to pressure. These structures then performed well as Pirani gauges. Sputtered niobium was also developed in this research for use as a superconductive coating on the nanowire. Oxidation characteristics of Nb were detailed and a technique to place the Nb under tensile stress resulted in the Nb resisting bulk atmospheric oxidation for up to years.

  18. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  19. Hydrogen interactions with silicon-on-insulator materials

    OpenAIRE

    Rivera de Mena, A.J.

    2003-01-01

    The booming of microelectronics in recent decades has been made possible by the excellent properties of the Si/SiO2 interface in oxide on silicon systems.. This semiconductor/insulator combination has proven to be of great value for the semiconductor industry. It has made it possible to continuously increase the number of transistors per chip until the physical limit of integration is now almost reached. Silicon-on-insulator (SOI) materials were early on seen as a step in the logical evolutio...

  20. LASER ABLATION OF MONOCRYSTALLINE SILICON UNDER PULSED-FREQUENCY FIBER LASER

    Directory of Open Access Journals (Sweden)

    V. P. Veiko

    2015-05-01

    Full Text Available Subject of research. The paper deals with research of the surface ablation for single-crystal silicon wafers and properties of materials obtained in response to silicon ablation while scanning beam radiation of pulse fiber ytterbium laser with a wavelenght λ = 1062 nm in view of variation of radiation power and scanning modes. Method. Wafers of commercial p-type conductivity silicon doped with boron (111, n-type conductivity silicon doped with phosphorus (100 have been under research with a layer of intrinsical silicon oxide having the thickness equal to several 10 s of nanometers and SiO2 layer thickness from 120 to 300 nm grown by thermal oxidation method. The learning system comprises pulse fiber ytterbium laser with a wavelenght λ = 1062 nm. The laser rated-power output is equal to 20 W, pulse length is 100 ns. Pulses frequency is in the range from 20 kHz to 100 kHz. Rated energy in the pulse is equal to 1.0 mJ. Scanning has been carried out by means of two axial scanning device driven by VM2500+ and controlled by personal computer with «SinMarkТМ» software package. Scanning velocity is in the range from 10 mm/s to 4000 mm/s, the covering varies from 100 lines per mm to 3000 lines per mm. Control of samples has been carried out by means of Axio Imager A1m optical microscope Carl Zeiss production with a high definition digital video camera. All experiments have been carried out in the mode of focused laser beam with a radiation spot diameter at the substrate equal to 50 μm. The change of temperature and its distribution along the surface have been evaluated by FLIR IR imager of SC7000 series. Main results. It is shown that ablation occurs without silicon melting and with plasma torch origination. The particles of ejected silicon take part in formation of silicon ions plasma and atmosphere gases supporting the plasmo-chemical growth of SiO2. The range of beam scanning modes is determined where the growth of SiO2 layer is observed

  1. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  2. Strain-induced generation of silicon nanopillars

    International Nuclear Information System (INIS)

    Bollani, Monica; Osmond, Johann; Nicotra, Giuseppe; Spinella, Corrado; Narducci, Dario

    2013-01-01

    Silicon metal-assisted chemical etching (MACE) is a nanostructuring technique exploiting the enhancement of the silicon etch rate at some metal–silicon interfaces. Compared to more traditional approaches, MACE is a high-throughput technique, and it is one of the few that enables the growth of vertical 1D structures of virtually unlimited length. As such, it has already found relevant technological applications in fields ranging from energy conversion to biosensing. Yet, its implementation has always required metal patterning to obtain nanopillars. Here, we report how MACE may lead to the formation of porous silicon nanopillars even in the absence of gold patterning. We show how the use of inhomogeneous yet continuous gold layers leads to the generation of a stress field causing spontaneous local delamination of the metal—and to the formation of silicon nanopillars where the metal disruption occurs. We observed the spontaneous formation of nanopillars with diameters ranging from 40 to 65 nm and heights up to 1 μm. Strain-controlled generation of nanopillars is consistent with a mechanism of silicon oxidation by hole injection through the metal layer. Spontaneous nanopillar formation could enable applications of this method to contexts where ordered distributions of nanopillars are not required, while patterning by high-resolution techniques is either impractical or unaffordable. (paper)

  3. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  4. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  5. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  6. Ultra thin buried oxide layers formed by low dose Simox process

    Energy Technology Data Exchange (ETDEWEB)

    Aspar, B.; Pudda, C.; Papon, A.M. [CEA Centre d`Etudes de Grenoble, 38 (France). Lab. d`Electronique et d`Instrumentation; Auberton Herve, A.J.; Lamure, J.M. [SOITEC, 38 - Grenoble (France)

    1994-12-31

    Oxygen low dose implantation is studied for two implantation energies. For 190 keV, a continuous buried oxide layer is obtained with a high dislocation density in the top silicon layer due to SiO{sub 2} precipitates. For 120 keV, this silicon layer is free of SiO{sub 2} precipitate and has a low dislocation density. Low density of pin-holes is observed in the buried oxide. The influence of silicon islands in the buried oxide on the breakdown electric fields is discussed. (authors). 6 refs., 5 figs.

  7. Ultra thin buried oxide layers formed by low dose Simox process

    International Nuclear Information System (INIS)

    Aspar, B.; Pudda, C.; Papon, A.M.

    1994-01-01

    Oxygen low dose implantation is studied for two implantation energies. For 190 keV, a continuous buried oxide layer is obtained with a high dislocation density in the top silicon layer due to SiO 2 precipitates. For 120 keV, this silicon layer is free of SiO 2 precipitate and has a low dislocation density. Low density of pin-holes is observed in the buried oxide. The influence of silicon islands in the buried oxide on the breakdown electric fields is discussed. (authors). 6 refs., 5 figs

  8. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  9. Regularities of radiation defects build up on oxide materials surface

    International Nuclear Information System (INIS)

    Bitenbaev, M.I.; Polyakov, A.I.; Tuseev, T.

    2005-01-01

    Analysis of experimental data by radiation defects study on different oxide elements (silicon, beryllium, aluminium, rare earth elements) irradiated by the photo-, gamma-, neutron-, alpha- radiation, protons and helium ions show, that gas adsorption process on the surface centers and radiation defects build up in metal oxide correlated between themselves. These processes were described by the equivalent kinetic equations for analysis of radiation defects build up in the different metal oxides. It was revealed in the result of the analysis: number of radiation defects are droningly increasing up to limit value with the treatment temperature growth. Constant of radicals death at ionizing radiation increases as well. Amount of surface defects in different oxides defining absorbing activity of these materials looks as: silicon oxide→beryllium oxide→aluminium oxide. So it was found, that most optimal material for absorbing system preparation is silicon oxide by it power intensity and berylium oxide by it adsorption efficiency

  10. Processing development for ceramic structural components: the influence of a presintering of silicon on the final properties of reaction bonded silicon nitride. Final technical report

    Energy Technology Data Exchange (ETDEWEB)

    1982-03-01

    The influence of a presintering of silicon on the final properties of reaction bonded silicon nitride has been studied using scanning electron and optical microscopy, x-ray diffraction analysis, 4 pt. bend test, and mecury intrusion porosimetry. It has been shown that presintering at 1050/sup 0/C will not affect the final nitrided properties. At 1200/sup 0/C, the oxide layer is removed, promoting the formation of B-phase silicon nitride. Presintering at 1200/sup 0/C also results in compact weight loss due to the volatilization of silicon, and the formation of large pores which severely reduce nitrided strength. The development of the structure of sintered silicon compacts appears to involve a temperature gradient, with greater sintering observed near the surface.

  11. Research Update: Phonon engineering of nanocrystalline silicon thermoelectrics

    Directory of Open Access Journals (Sweden)

    Junichiro Shiomi

    2016-10-01

    Full Text Available Nanocrystalline silicon thermoelectrics can be a solution to improve the cost-effectiveness of thermoelectric technology from both material and integration viewpoints. While their figure-of-merit is still developing, recent advances in theoretical/numerical calculations, property measurements, and structural synthesis/fabrication have opened up possibilities to develop the materials based on fundamental physics of phonon transport. Here, this is demonstrated by reviewing a series of works on nanocrystalline silicon materials using calculations of multiscale phonon transport, measurements of interfacial heat conduction, and synthesis from nanoparticles. Integration of these approaches allows us to engineer phonon transport to improve the thermoelectric performance by introducing local silicon-oxide structures.

  12. Influence of silicon dangling bonds on germanium thermal diffusion within SiO{sub 2} glass

    Energy Technology Data Exchange (ETDEWEB)

    Barba, D.; Martin, F.; Ross, G. G. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Cai, R. S.; Wang, Y. Q. [The Cultivation Base for State Key Laboratory, Qingdao University, Qingdao 266071 (China); Demarche, J.; Terwagne, G. [LARN, Centre de Recherche en Physique de la Matière et du Rayonnement (PMR), University of Namur (FUNDP), B-5000 Namur (Belgium); Rosei, F. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Center for Self-Assembled Chemical Structures, McGill University, Montreal, Quebec H3A 2K6 (Canada)

    2014-03-17

    We study the influence of silicon dangling bonds on germanium thermal diffusion within silicon oxide and fused silica substrates heated to high temperatures. By using scanning electron microscopy and Rutherford backscattering spectroscopy, we determine that the lower mobility of Ge found within SiO{sub 2}/Si films can be associated with the presence of unsaturated SiO{sub x} chemical bonds. Comparative measurements obtained by x-ray photoelectron spectroscopy show that 10% of silicon dangling bonds can reduce Ge desorption by 80%. Thus, the decrease of the silicon oxidation state yields a greater thermal stability of Ge inside SiO{sub 2} glass, which could enable to considerably extend the performance of Ge-based devices above 1300 K.

  13. Effects of keV electron irradiation on the avalanche-electron generation rates of three donors on oxidized silicon

    International Nuclear Information System (INIS)

    Sah, C.; Sun, J.Y.; Tzou, J.J.

    1983-01-01

    After keV electron beam irradiation of oxidized silicon, the avalanche-electron-injection generation rates and densities of the bulk compensating donor, the interface states, and the turnaround trap all increase. Heating at 200 0 C can anneal out these three donor-like traps, however, it cannot restore the generation rates back to their original and lower pre-keV electron irradiation values. The experimental results also indicate that all three traps may be related to the same mobile impurity species whose bonds are loosened by the keV electrons and then broken or released by the avalanche injected electrons

  14. The origin of traps and the effect of nitrogen plasma in oxide-nitride-oxide structures for non-volatile memories

    International Nuclear Information System (INIS)

    Kim, W. S.; Kwak, D. W.; Oh, J. S.; Lee, D. W.; Cho, H. Y.

    2010-01-01

    Ultrathin oxide-nitride-oxide (ONO) dielectric stacked layers are fundamental structures of silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory devices in which information is known to be stored as charges trapped in silicon nitride. Deep-level transient spectroscopy (DLTS) and a capacitance-voltage (CV) analysis were introduced to observe the trap behavior related to the memory effect in memory devices. The DLTS results verified that the nitride-related traps were a dominant factor in the memory effect. The energy of hole traps was 0.307 eV above the balance band. To improve the memory effects of the non-volatile memory devices with ONO structures, we introduced a nitrogen plasma treatment. After the N-plasma treatment, the flat-band voltage shift (ΔV FB ) was increased by about 1.5 times. The program and the erase (P-E) characteristics were also shown to be better than those for the as-ONO structure. In addition, the retention characteristics were improved by over 2.4 times.

  15. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films.

    Science.gov (United States)

    Sun, Ke; Saadi, Fadl H; Lichterman, Michael F; Hale, William G; Wang, Hsin-Ping; Zhou, Xinghao; Plymale, Noah T; Omelchenko, Stefan T; He, Jr-Hau; Papadantonakis, Kimberly M; Brunschwig, Bruce S; Lewis, Nathan S

    2015-03-24

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g).

  16. High-performance lithium battery anodes using silicon nanowires.

    Science.gov (United States)

    Chan, Candace K; Peng, Hailin; Liu, Gao; McIlwrath, Kevin; Zhang, Xiao Feng; Huggins, Robert A; Cui, Yi

    2008-01-01

    There is great interest in developing rechargeable lithium batteries with higher energy capacity and longer cycle life for applications in portable electronic devices, electric vehicles and implantable medical devices. Silicon is an attractive anode material for lithium batteries because it has a low discharge potential and the highest known theoretical charge capacity (4,200 mAh g(-1); ref. 2). Although this is more than ten times higher than existing graphite anodes and much larger than various nitride and oxide materials, silicon anodes have limited applications because silicon's volume changes by 400% upon insertion and extraction of lithium which results in pulverization and capacity fading. Here, we show that silicon nanowire battery electrodes circumvent these issues as they can accommodate large strain without pulverization, provide good electronic contact and conduction, and display short lithium insertion distances. We achieved the theoretical charge capacity for silicon anodes and maintained a discharge capacity close to 75% of this maximum, with little fading during cycling.

  17. Magneto-optical non-reciprocal devices in silicon photonics

    Directory of Open Access Journals (Sweden)

    Yuya Shoji

    2014-01-01

    Full Text Available Silicon waveguide optical non-reciprocal devices based on the magneto-optical effect are reviewed. The non-reciprocal phase shift caused by the first-order magneto-optical effect is effective in realizing optical non-reciprocal devices in silicon waveguide platforms. In a silicon-on-insulator waveguide, the low refractive index of the buried oxide layer enhances the magneto-optical phase shift, which reduces the device footprints. A surface activated direct bonding technique was developed to integrate a magneto-optical garnet crystal on the silicon waveguides. A silicon waveguide optical isolator based on the magneto-optical phase shift was demonstrated with an optical isolation of 30 dB and insertion loss of 13 dB at a wavelength of 1548 nm. Furthermore, a four port optical circulator was demonstrated with maximum isolations of 15.3 and 9.3 dB in cross and bar ports, respectively, at a wavelength of 1531 nm.

  18. Electrical behavior of free-standing porous silicon layers

    International Nuclear Information System (INIS)

    Bazrafkan, I.; Dariani, R.S.

    2009-01-01

    The electrical behavior of porous silicon (PS) layers has been investigated on one side of p-type silicon with various anodization currents and electrolytes. The two contact I-V characteristic is assigned by the metal/porous silicon rectifying interface, whereas, by using the van der Pauw technique, a nonlinear dependence of the current vs voltage was found. By using Dimethylformamide (DMF) in electrolyte, regular structures and columns were formed and porosity increased. Our results showed that by using DMF, surface resistivity of PS samples increased and became double for free-standing porous silicon (FPS). The reason could be due to increasing surface area and adsorbing some more gas molecules. Activation energy of PS samples was also increased from 0.31 to 0.34 eV and became 0.35 eV for FPS. The changes induced by storage are attributed to the oxidation process of the internal surface of free-standing porous silicon layers.

  19. Study of porous silicon morphologies for electron transport

    International Nuclear Information System (INIS)

    Pang, Y.; Demroff, H.P.; Elliott, T.S.; Lee, B.; Lu, J.; Madduri, V.B.; Mazumdar, T.K.; McIntyre, P.M.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Field emitter devices are being developed for the gigatron, a high-efficiency, high frequency and high power microwave source. One approach being investigated is porous silicon, where a dense matrix of nanoscopic pores are galvanically etched into a silicon surface. In the present paper pore morphologies were used to characterize these materials. Using of Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) images of both N-type and P-type porous layers, it is found that pores propagate along the crystallographic direction, perpendicular to the surface of (100) silicon. Distinct morphologies were observed systematically near the surface, in the main bulk and near the bottom of N-type (100) silicon lift-off samples. It is seen that the pores are not cylindrical but exhibit more or less approximately square cross sections. X-ray diffraction spectra and electron diffraction patterns verified that bulk porous silicon is still a single crystal. In addition, a Scanning Tunnelling Microscope (STM) and an Atomic Force Microscope (AFM) were successfully applied to image the 40 angstrom gold film structure which was coated upon a cooled porous silicon layer. By associating the morphology study with the measured emitting current density of the Oxidized Porous Silicon Field Emission Triode (OPSFET), techniques for the surface treatment of porous silicon will be optimized

  20. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  1. An all-silicon passive optical diode.

    Science.gov (United States)

    Fan, Li; Wang, Jian; Varghese, Leo T; Shen, Hao; Niu, Ben; Xuan, Yi; Weiner, Andrew M; Qi, Minghao

    2012-01-27

    A passive optical diode effect would be useful for on-chip optical information processing but has been difficult to achieve. Using a method based on optical nonlinearity, we demonstrate a forward-backward transmission ratio of up to 28 decibels within telecommunication wavelengths. Our device, which uses two silicon rings 5 micrometers in radius, is passive yet maintains optical nonreciprocity for a broad range of input power levels, and it performs equally well even if the backward input power is higher than the forward input. The silicon optical diode is ultracompact and is compatible with current complementary metal-oxide semiconductor processing.

  2. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  3. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  4. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  5. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  6. AZO-Ag-AZO transparent electrode for amorphous silicon solar cells

    International Nuclear Information System (INIS)

    Theuring, Martin; Vehse, Martin; Maydell, Karsten von; Agert, Carsten

    2014-01-01

    Metal-based transparent electrodes can be fabricated at low temperatures, which is crucial for various substrate materials and solar cells. In this work, an oxide-metal-oxide (OMO) transparent electrode based on aluminum zinc oxide (AZO) and silver is compared to AZO layers, fabricated at different temperatures and indium tin oxides. With the OMO structure, a sheet resistance of 7.1/square and a transparency above 80% for almost the entire visible spectrum were achieved. The possible application of such electrodes on a textured solar cell was demonstrated on the example of a rough ZnO substrate. An OMO structure is benchmarked in a n-i-p amorphous silicon solar cell against an AZO front contact fabricated at 200 °C. In the experiment, the OMO electrode shows a superior performance with an efficiency gain of 30%. - Highlights: • Multilayer transparent electrode based on aluminum zinc oxide (AZO) and Ag • Comparison of AZO-Ag-AZO transparent electrode to AZO and indium tin oxide • Performance of AZO-Ag-AZO transparent electrodes on textured surfaces • Comparison of amorphous silicon solar cells with different transparent electrodes

  7. Asymmetric band offsets in silicon heterojunction solar cells: Impact on device performance

    Energy Technology Data Exchange (ETDEWEB)

    Seif, Johannes Peter, E-mail: johannes.seif@alumni.epfl.ch; Ballif, Christophe; De Wolf, Stefaan [Photovoltaics and Thin-Film Electronics Laboratory, Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2002 Neuchâtel (Switzerland); Menda, Deneb; Özdemir, Orhan [Department of Physics, Yıldız Technical University, Davutpasa Campus, TR-34210 Esenler, Istanbul (Turkey); Descoeudres, Antoine; Barraud, Loris [CSEM, PV-Center, Jaquet-Droz 1, CH-2002 Neuchâtel (Switzerland)

    2016-08-07

    Amorphous/crystalline silicon interfaces feature considerably larger valence than conduction band offsets. In this article, we analyze the impact of such band offset asymmetry on the performance of silicon heterojunction solar cells. To this end, we use silicon suboxides as passivation layers—inserted between substrate and (front or rear) contacts—since such layers enable intentionally exacerbated band-offset asymmetry. Investigating all topologically possible passivation layer permutations and focussing on light and dark current-voltage characteristics, we confirm that to avoid fill factor losses, wider-bandgap silicon oxide films (of at least several nanometer thin) should be avoided in hole-collecting contacts. As a consequence, device implementation of such films as window layers—without degraded carrier collection—demands electron collection at the front and hole collection at the rear. Furthermore, at elevated operating temperatures, once possible carrier transport barriers are overcome by thermionic (field) emission, the device performance is mainly dictated by the passivation of its surfaces. In this context, compared to the standard amorphous silicon layers, the wide-bandgap oxide layers applied here passivate remarkably better at these temperatures, which may represent an additional benefit under practical operation conditions.

  8. Rate equation modelling of erbium luminescence dynamics in erbium-doped silicon-rich-silicon-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Shah, Miraj, E-mail: m.shah@ee.ucl.ac.uk [Department of Electronic and Electrical Engineering, UCL, Torrington Place, London WC1E 7JE (United Kingdom); Wojdak, Maciej; Kenyon, Anthony J. [Department of Electronic and Electrical Engineering, UCL, Torrington Place, London WC1E 7JE (United Kingdom); Halsall, Matthew P.; Li, Hang; Crowe, Iain F. [Photon Science Institute and School of Electrical and Electronic Engineering, University of Manchester, Sackville St Building, Manchester M13 9PL (United Kingdom)

    2012-12-15

    Erbium doped silicon-rich silica offers broad band and very efficient excitation of erbium photoluminescence (PL) due to a sensitization effect attributed to silicon nanocrystals (Si-nc), which grow during thermal treatment. PL decay lifetime measurements of sensitised Er{sup 3+} ions are usually reported to be stretched or multi exponential, very different to those that are directly excited, which usually show a single exponential decay component. In this paper, we report on SiO{sub 2} thin films doped with Si-nc's and erbium. Time resolved PL measurements reveal two distinct 1.54 {mu}m Er decay components; a fast microsecond component, and a relatively long lifetime component (10 ms). We also study the structural properties of these samples through TEM measurements, and reveal the formation of Er clusters. We propose that these Er clusters are responsible for the fast {mu}s decay component, and we develop rate equation models that reproduce the experimental transient observations, and can explain some of the reported transient behaviour in previously published literature.

  9. Transmission Electron Microscopy Studies of Electron-Selective Titanium Oxide Contacts in Silicon Solar Cells

    KAUST Repository

    Ali, Haider

    2017-08-15

    In this study, the cross-section of electron-selective titanium oxide (TiO2) contacts for n-type crystalline silicon solar cells were investigated by transmission electron microscopy. It was revealed that the excellent cell efficiency of 21.6% obtained on n-type cells, featuring SiO2/TiO2/Al rear contacts and after forming gas annealing (FGA) at 350°C, is due to strong surface passivation of SiO2/TiO2 stack as well as low contact resistivity at the Si/SiO2/TiO2 heterojunction. This can be attributed to the transformation of amorphous TiO2 to a conducting TiO2-x phase. Conversely, the low efficiency (9.8%) obtained on cells featuring an a-Si:H/TiO2/Al rear contact is due to severe degradation of passivation of the a-Si:H upon FGA.

  10. Selective growth of carbon nanotube on silicon substrates

    Institute of Scientific and Technical Information of China (English)

    ZOU Xiao-ping; H. ABE; T. SHIMIZU; A. ANDO; H. TOKUMOT; ZHU Shen-ming; ZHOU Hao-shen

    2006-01-01

    The carbon nanotube (CNT) growth of iron oxide-deposited trench-patterns and the locally-ordered CNT arrays on silicon substrate were achieved by simple thermal chemical vapor deposition(STCVD) of ethanol vapor. The CNTs were uniformly synthesized with good selectivity on trench-patterned silicon substrates. This fabrication process is compatible with currently used semiconductor-processing technologies,and the carbon-nanotube fabrication process can be widely applied for the development of electronic devices using carbon-nanotube field emitters as cold cathodes and can revolutionize the area of field-emitting electronic devices. The site-selective growth of CNT from an iron oxide nanoparticle catalyst patterned were also achieved by drying-mediated self-assembly technique. The present method offers a simple and cost-effective method to grow carbon nanotubes with self-assembled patterns.

  11. Tunnel oxide passivated rear contact for large area n-type front junction silicon solar cells providing excellent carrier selectivity

    Directory of Open Access Journals (Sweden)

    Yuguo Tao

    2016-01-01

    Full Text Available Carrier-selective contact with low minority carrier recombination and efficient majority carrier transport is mandatory to eliminate metal-induced recombination for higher energy conversion efficiency for silicon (Si solar cells. In the present study, the carrier-selective contact consists of an ultra-thin tunnel oxide and a phosphorus-doped polycrystalline Si (poly-Si thin film formed by plasma enhanced chemical vapor deposition (PECVD and subsequent thermal crystallization. It is shown that the poly-Si film properties (doping level, crystallization and dopant activation anneal temperature are crucial for achieving excellent contact passivation quality. It is also demonstrated quantitatively that the tunnel oxide plays a critical role in this tunnel oxide passivated contact (TOPCON scheme to realize desired carrier selectivity. Presence of tunnel oxide increases the implied Voc (iVoc by ~ 125 mV. The iVoc value as high as 728 mV is achieved on symmetric structure with TOPCON on both sides. Large area (239 cm2 n-type Czochralski (Cz Si solar cells are fabricated with homogeneous implanted boron emitter and screen-printed contact on the front and TOPCON on the back, achieving 21.2% cell efficiency. Detailed analysis shows that the performance of these cells is mainly limited by boron emitter recombination on the front side.

  12. Coated silicon comprising material for protection against environmental corrosion

    Science.gov (United States)

    Hazel, Brian Thomas (Inventor)

    2009-01-01

    In accordance with an embodiment of the invention, an article is disclosed. The article comprises a gas turbine engine component substrate comprising a silicon material; and an environmental barrier coating overlying the substrate, wherein the environmental barrier coating comprises cerium oxide, and the cerium oxide reduces formation of silicate glass on the substrate upon exposure to corrodant sulfates.

  13. Al transmon qubits on silicon-on-insulator for quantum device integration

    Science.gov (United States)

    Keller, Andrew J.; Dieterle, Paul B.; Fang, Michael; Berger, Brett; Fink, Johannes M.; Painter, Oskar

    2017-07-01

    We present the fabrication and characterization of an aluminum transmon qubit on a silicon-on-insulator substrate. Key to the qubit fabrication is the use of an anhydrous hydrofluoric vapor process which selectively removes the lossy silicon oxide buried underneath the silicon device layer. For a 5.6 GHz qubit measured dispersively by a 7.1 GHz resonator, we find T1 = 3.5 μs and T2* = 2.2 μs. This process in principle permits the co-fabrication of silicon photonic and mechanical elements, providing a route towards chip-scale integration of electro-opto-mechanical transducers for quantum networking of superconducting microwave quantum circuits. The additional processing steps are compatible with established fabrication techniques for aluminum transmon qubits on silicon.

  14. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  15. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad Mustafa

    2016-01-01

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  16. Stable cycling of double-walled silicon nanotube battery anodes through solid–electrolyte interphase control

    KAUST Repository

    Wu, Hui

    2012-03-25

    Although the performance of lithium ion-batteries continues to improve, their energy density and cycle life remain insufficient for applications in consumer electronics, transport and large-scale renewable energy storage 1-5. Silicon has a large charge storage capacity and this makes it an attractive anode material, but pulverization during cycling and an unstable solid-electrolyte interphase has limited the cycle life of silicon anodes to hundreds of cycles 6-11. Here, we show that anodes consisting of an active silicon nanotube surrounded by an ion-permeable silicon oxide shell can cycle over 6,000 times in half cells while retaining more than 85% of their initial capacity. The outer surface of the silicon nanotube is prevented from expansion by the oxide shell, and the expanding inner surface is not exposed to the electrolyte, resulting in a stable solid-electrolyte interphase. Batteries containing these double-walled silicon nanotube anodes exhibit charge capacities approximately eight times larger than conventional carbon anodes and charging rates of up to 20C (a rate of 1C corresponds to complete charge or discharge in one hour). © 2012 Macmillan Publishers Limited. All rights reserved.

  17. Electrochemically etched nanoporous silicon membrane for separation of biological molecules in mixture

    Science.gov (United States)

    Burham, Norhafizah; Azlan Hamzah, Azrul; Yunas, Jumril; Yeop Majlis, Burhanuddin

    2017-07-01

    This paper presents a technique for separating biological molecules in mixture using nanoporous silicon membrane. Nanopores were formed using electrochemical etching process (ECE) by etching a prefabricated silicon membrane in hydrofluoric acid (HF) and ethanol, and then directly bonding it with PDMS to form a complete filtration system for separating biological molecules. Tygon S3™ tubings were used as fluid interconnection between PDMS molds and silicon membrane during testing. Electrochemical etching parameters were manipulated to control pore structure and size. In this work, nanopores with sizes of less than 50 nm, embedded on top of columnar structures have been fabricated using high current densities and variable HF concentrations. Zinc oxide was diluted with deionized (DI) water and mixed with biological molecules and non-biological particles, namely protein standard, serum albumin and sodium chloride. Zinc oxide particles were trapped on the nanoporous silicon surface, while biological molecules of sizes up to 12 nm penetrated the nanoporous silicon membrane. The filtered particles were inspected using a Zetasizer Nano SP for particle size measurement and count. The Zetasizer Nano SP results revealed that more than 95% of the biological molecules in the mixture were filtered out by the nanoporous silicon membrane. The nanoporous silicon membrane fabricated in this work is integratable into bio-MEMS and Lab-on-Chip components to separate two or more types of biomolecules at once. The membrane is especially useful for the development of artificial kidney.

  18. Intravitreal properties of porous silicon photonic crystals

    Science.gov (United States)

    Cheng, L; Anglin, E; Cunin, F; Kim, D; Sailor, M J; Falkenstein, I; Tammewar, A; Freeman, W R

    2009-01-01

    Aim To determine the suitability of porous silicon photonic crystals for intraocular drug-delivery. Methods A rugate structure was electrochemically etched into a highly doped p-type silicon substrate to create a porous silicon film that was subsequently removed and ultrasonically fractured into particles. To stabilise the particles in aqueous media, the silicon particles were modified by surface alkylation (using thermal hydrosilylation) or by thermal oxidation. Unmodified particles, hydrosilylated particles and oxidised particles were injected into rabbit vitreous. The stability and toxicity of each type of particle were studied by indirect ophthalmoscopy, biomicroscopy, tonometry, electroretinography (ERG) and histology. Results No toxicity was observed with any type of the particles during a period of >4 months. Surface alkylation led to dramatically increased intravitreal stability and slow degradation. The estimated vitreous half-life increased from 1 week (fresh particles) to 5 weeks (oxidised particles) and to 16 weeks (hydrosilylated particles). Conclusion The porous silicon photonic crystals showed good biocompatibility and may be used as an intraocular drug-delivery system. The intravitreal injectable porous silicon photonic crystals may be engineered to host a variety of therapeutics and achieve controlled drug release over long periods of time to treat chronic vitreoretinal diseases. PMID:18441177

  19. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films

    KAUST Repository

    Sun, Ke

    2015-03-11

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g). © 2015, National Academy of Sciences. All rights reserved.

  20. Emerging heterogeneous integrated photonic platforms on silicon

    Directory of Open Access Journals (Sweden)

    Fathpour Sasan

    2015-05-01

    Full Text Available Silicon photonics has been established as a mature and promising technology for optoelectronic integrated circuits, mostly based on the silicon-on-insulator (SOI waveguide platform. However, not all optical functionalities can be satisfactorily achieved merely based on silicon, in general, and on the SOI platform, in particular. Long-known shortcomings of silicon-based integrated photonics are optical absorption (in the telecommunication wavelengths and feasibility of electrically-injected lasers (at least at room temperature. More recently, high two-photon and free-carrier absorptions required at high optical intensities for third-order optical nonlinear effects, inherent lack of second-order optical nonlinearity, low extinction ratio of modulators based on the free-carrier plasma effect, and the loss of the buried oxide layer of the SOI waveguides at mid-infrared wavelengths have been recognized as other shortcomings. Accordingly, several novel waveguide platforms have been developing to address these shortcomings of the SOI platform. Most of these emerging platforms are based on heterogeneous integration of other material systems on silicon substrates, and in some cases silicon is integrated on other substrates. Germanium and its binary alloys with silicon, III–V compound semiconductors, silicon nitride, tantalum pentoxide and other high-index dielectric or glass materials, as well as lithium niobate are some of the materials heterogeneously integrated on silicon substrates. The materials are typically integrated by a variety of epitaxial growth, bonding, ion implantation and slicing, etch back, spin-on-glass or other techniques. These wide range of efforts are reviewed here holistically to stress that there is no pure silicon or even group IV photonics per se. Rather, the future of the field of integrated photonics appears to be one of heterogenization, where a variety of different materials and waveguide platforms will be used for