WorldWideScience

Sample records for silicon nanowire samples

  1. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  2. Silicon based ultrafast optical waveform sampling

    DEFF Research Database (Denmark)

    Ji, Hua; Galili, Michael; Pu, Minhao

    2010-01-01

    A 300 nmx450 nmx5 mm silicon nanowire is designed and fabricated for a four wave mixing based non-linear optical gate. Based on this silicon nanowire, an ultra-fast optical sampling system is successfully demonstrated using a free-running fiber laser with a carbon nanotube-based mode-locker as th......A 300 nmx450 nmx5 mm silicon nanowire is designed and fabricated for a four wave mixing based non-linear optical gate. Based on this silicon nanowire, an ultra-fast optical sampling system is successfully demonstrated using a free-running fiber laser with a carbon nanotube-based mode......-locker as the sampling source. A clear eye-diagram of a 320 Gbit/s data signal is obtained. The temporal resolution of the sampling system is estimated to 360 fs....

  3. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  4. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  5. Semiconducting silicon nanowires for biomedical applications

    CERN Document Server

    Coffer, JL

    2014-01-01

    Biomedical applications have benefited greatly from the increasing interest and research into semiconducting silicon nanowires. Semiconducting Silicon Nanowires for Biomedical Applications reviews the fabrication, properties, and applications of this emerging material. The book begins by reviewing the basics, as well as the growth, characterization, biocompatibility, and surface modification, of semiconducting silicon nanowires. It goes on to focus on silicon nanowires for tissue engineering and delivery applications, including cellular binding and internalization, orthopedic tissue scaffol

  6. Silicon nanowire-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S [Institute of Photonic Technology, Albert-Einstein-Strasse 9, D-07745 Jena (Germany)], E-mail: thomas.stelzner@ipht-jena.de

    2008-07-23

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm{sup 2} open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm{sup -2} were obtained.

  7. Silicon nanowire-based solar cells

    International Nuclear Information System (INIS)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S

    2008-01-01

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm 2 open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm -2 were obtained

  8. Optical waveform sampling and error-free demultiplexing of 1.28 Tbit/s serial data in a silicon nanowire

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Galili, Michael

    2010-01-01

    We experimentally demonstrate 640 Gbit/s and 1.28 Tbit/s serial data optical waveform sampling and 640-to-10 Gbit/s and 1.28 Tbit/s-to-10 Gbit/s error-free demultiplexing using four-wave mixing in a 300nm$$450nm$$5mm silicon nanowire.......We experimentally demonstrate 640 Gbit/s and 1.28 Tbit/s serial data optical waveform sampling and 640-to-10 Gbit/s and 1.28 Tbit/s-to-10 Gbit/s error-free demultiplexing using four-wave mixing in a 300nm$$450nm$$5mm silicon nanowire....

  9. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    Directory of Open Access Journals (Sweden)

    Zahra Ostadmahmoodi Do

    2016-06-01

    Full Text Available Nanowires (NWs are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW, is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method for producing nanowires of the same substrate material. The process conditions are adjusted to find the best quality of Si NWs. Morphology of Si NWs is studied using a field emission scanning electron microscopic technique. An energy dispersive X-Ray analyzer is also used to provide elemental identification and quantitative compositional information. Subsequently, Schottky type solar cell samples are fabricated on Si and Si NWs using ITO and Ag contacts. The junction properties are calculated using I-V curves in dark condition and the solar cell I-V characteristics are obtained under incident of the standardized light of AM1.5. The results for the two mentioned Schottky solar cell samples are compared and discussed. An improvement in short circuit current and efficiency of Schottky solar cell is found when Si nanowires are employed.

  10. Structural and optical properties of silicon-carbide nanowires produced by the high-temperature carbonization of silicon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pavlikov, A. V., E-mail: pavlikov@physics.msu.ru [Moscow State University, Faculty of Physics (Russian Federation); Latukhina, N. V.; Chepurnov, V. I. [Samara National Researh University (Russian Federation); Timoshenko, V. Yu. [Moscow State University, Faculty of Physics (Russian Federation)

    2017-03-15

    Silicon-carbide (SiC) nanowire structures 40–50 nm in diameter are produced by the high-temperature carbonization of porous silicon and silicon nanowires. The SiC nanowires are studied by scanning electron microscopy, X-ray diffraction analysis, Raman spectroscopy, and infrared reflectance spectroscopy. The X-ray structural and Raman data suggest that the cubic 3C-SiC polytype is dominant in the samples under study. The shape of the infrared reflectance spectrum in the region of the reststrahlen band 800–900 cm{sup –1} is indicative of the presence of free charge carriers. The possibility of using SiC nanowires in microelectronic, photonic, and gas-sensing devices is discussed.

  11. Flexible integration of free-standing nanowires into silicon photonics.

    Science.gov (United States)

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  12. A silicon nanowire heater and thermometer

    Science.gov (United States)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  13. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  14. Silicon Nanowire Field-effect Chemical Sensor

    NARCIS (Netherlands)

    Chen, S.

    2011-01-01

    This thesis describes the work that has been done on the project “Design and optimization of silicon nanowire for chemical sensing‿, including Si-NW fabrication, electrical/electrochemical modeling, the application as ISFET, and the build-up of Si- NW/LOC system for automatic sample delivery. A

  15. Silicon nanowires: structure and properties

    International Nuclear Information System (INIS)

    Nezhdanov, A.V.; Mashin, A.I.; Razuvaev, A.G.; Ershov, A.V.; Ignatov, S.K.

    2006-01-01

    An attempt to grow silicon nanowires has been made by electron beam evaporation on highly oriented pyrolytic substrate. Needle-like objects are located along the normal to a substrate (density 2 x 10 11 cm -2 ). For modeling quasi-one-dimensional objects calculations of nuclear structure and energy spectra have been accomplished. A fullerene-like structure Si 24 is proposed as a basic atomic configuration of silicon nanowires [ru

  16. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  17. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  18. Ultra-low reflection porous silicon nanowires for solar cell applications

    OpenAIRE

    Najar , Adel; Charrier , Joël; Pirasteh , Parastesh; Sougrat , R.

    2012-01-01

    International audience; High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measuremen...

  19. Study of optical absorbance in porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Charrier, Joë l; Najar, Adel; Pirasteh, Parastesh

    2013-01-01

    Porous silicon nanowires (PSiNWs) layers fabrication was reported. Reflectance spectra were measured as a function of the nanowire length and were inferior to 0.1% and a strong photoluminescence (PL) signal was measured from samples. Models based

  20. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  1. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  2. Study of optical absorbance in porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Charrier, Joël

    2013-10-01

    Porous silicon nanowires (PSiNWs) layers fabrication was reported. Reflectance spectra were measured as a function of the nanowire length and were inferior to 0.1% and a strong photoluminescence (PL) signal was measured from samples. Models based on cone shape of nanowires located in circular and rectangular bases were used to calculate the reflectance using the transfer matrix formalism (TMF) of PSiNWs layer. The modeling of the reflectance permits to explain this value by taking account into the shape of the nanowires and its porosity. Optical absorbance and transmission were also theoretically studied. The absorbance was superior to that obtained with silicon nanowires and the ultimate efficiency was about equal to 25% for normal incidence angle. These results could be applied to the potential application in low-cost and high efficiency PSiNWs based solar cells. © 2013 Elsevier B.V. All rights reserved.

  3. Piezoresistive effect in top-down fabricated silicon nanowires

    DEFF Research Database (Denmark)

    Reck, Kasper; Richter, Jacob; Hansen, Ole

    2008-01-01

    We have designed and fabricated silicon test chips to investigate the piezoresistive properties of both crystalline and polycrystalline nanowires using a top-down approach, in order to comply with conventional fabrication techniques. The test chip consists of 5 silicon nanowires and a reference...

  4. Superconductive silicon nanowires using gallium beam lithography.

    Energy Technology Data Exchange (ETDEWEB)

    Henry, Michael David; Jarecki, Robert Leo,

    2014-01-01

    This work was an early career LDRD investigating the idea of using a focused ion beam (FIB) to implant Ga into silicon to create embedded nanowires and/or fully suspended nanowires. The embedded Ga nanowires demonstrated electrical resistivity of 5 m-cm, conductivity down to 4 K, and acts as an Ohmic silicon contact. The suspended nanowires achieved dimensions down to 20 nm x 30 nm x 10 m with large sensitivity to pressure. These structures then performed well as Pirani gauges. Sputtered niobium was also developed in this research for use as a superconductive coating on the nanowire. Oxidation characteristics of Nb were detailed and a technique to place the Nb under tensile stress resulted in the Nb resisting bulk atmospheric oxidation for up to years.

  5. Silicon nanowire transistors

    CERN Document Server

    Bindal, Ahmet

    2016-01-01

    This book describes the n and p-channel Silicon Nanowire Transistor (SNT) designs with single and dual-work functions, emphasizing low static and dynamic power consumption. The authors describe a process flow for fabrication and generate SPICE models for building various digital and analog circuits. These include an SRAM, a baseband spread spectrum transmitter, a neuron cell and a Field Programmable Gate Array (FPGA) platform in the digital domain, as well as high bandwidth single-stage and operational amplifiers, RF communication circuits in the analog domain, in order to show this technology’s true potential for the next generation VLSI. Describes Silicon Nanowire (SNW) Transistors, as vertically constructed MOS n and p-channel transistors, with low static and dynamic power consumption and small layout footprint; Targets System-on-Chip (SoC) design, supporting very high transistor count (ULSI), minimal power consumption requiring inexpensive substrates for packaging; Enables fabrication of different types...

  6. Investigation of functionalized silicon nanowires by self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Hemed, Nofar Mintz [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); Convertino, Annalisa [Istituto per la Microelettronica e i Microsistemi C.N.R.-Area della Ricerca di Roma, via del Fosso del Cavaliere 100, I-00133 Roma (Italy); Shacham-Diamand, Yosi [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); The Department of Applied Chemistry, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2016-03-30

    Graphical abstract: - Highlights: • We characterize and verify the existence of self-assembled monolayer (SAM) on silicon nanowires and α-Si:H. • We define the term “electrical coverage” and find the formula for both cases. • The SAM's electrical coverage on silicon nanowires is found to be ∼63%. • The SAM's electrical coverage on α-Si:H is found to be ∼65 ± 3%. • The amount of SAM on the SiNWs is sufficient and it can serve as a linker to biological molecules. - Abstract: The functionalization using self assembled monolayer (SAM) of silicon nanowires (SiNW) fabricated by plasma enhanced chemical vapor deposition (PECVD) is reported here. The SAM is being utilized as the first building block in the functionalization process. The morphology of the SiNW comprises a polycrystalline core wrapped by an hydrogenated amorphous silicon (α-Si:H) shell. Since most of the available methods for SAM verification and characterization are suitable only for flat substrates; therefore, in addition to the SiNW α-Si:H on flat samples were produced in the same system as the SiNWs. First we confirmed the SAM's presence on the flat α-Si:H samples using the following methods: contact angle measurement to determine the change in surface energy; atomic force microscopy (AFM) to determine uniformity and molecular coverage. Spectroscopic ellipsometry and X-ray reflectivity (XRR) were performed to measure SAM layer thickness and density. X-ray photoelectron spectroscopy (XPS) was applied to study the chemical states of the surface. Next, SiNW/SAM were tested by electrochemical impedance spectroscopy (EIS), and the results were compared to α-Si:H/SAM. The SAM electrical coverage on SiNW and α-Si:H was found to be ∼37% and ∼65 ± 3%, respectively. A model, based on transmission line theory for the nanowires is presented to explain the disparity in results between the nanowires and flat surface of the same materials.

  7. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  8. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.; Patel, Reken N.; O’ Connell, Michael J.; Korgel, Brian A.; Cui, Yi

    2010-01-01

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires

  9. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Hainey, Mel F.; Redwing, Joan M. [Department of Materials Science and Engineering, Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-12-15

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis on methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.

  10. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  11. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  12. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  13. Quantitative measurements of C-reactive protein using silicon nanowire arrays

    Directory of Open Access Journals (Sweden)

    Min-Ho Lee

    2008-03-01

    Full Text Available Min-Ho Lee, Kuk-Nyung Lee, Suk-Won Jung, Won-Hyo Kim, Kyu-Sik Shin, Woo-Kyeong SeongKorea Electronics Technology Institute, Gyeonggi, KoreaAbstract: A silicon nanowire-based sensor for biological application showed highly desirable electrical responses to either pH changes or receptor-ligand interactions such as protein disease markers, viruses, and DNA hybridization. Furthermore, because the silicon nanowire can display results in real-time, it may possess superior characteristics for biosensing than those demonstrated in previously studied methods. However, despite its promising potential and advantages, certain process-related limitations of the device, due to its size and material characteristics, need to be addressed. In this article, we suggest possible solutions. We fabricated silicon nanowire using a top-down and low cost micromachining method, and evaluate the sensing of molecules after transfer and surface modifications. Our newly designed method can be used to attach highly ordered nanowires to various substrates, to form a nanowire array device, which needs to follow a series of repetitive steps in conventional fabrication technology based on a vapor-liquid-solid (VLS method. For evaluation, we demonstrated that our newly fabricated silicon nanowire arrays could detect pH changes as well as streptavidin-biotin binding events. As well as the initial proof-of-principle studies, C-reactive protein binding was measured: electrical signals were changed in a linear fashion with the concentration (1 fM to 1 nM in PBS containing 1.37 mM of salts. Finally, to address the effects of Debye length, silicon nanowires coupled with antigen proteins underwent electrical signal changes as the salt concentration changed.Keywords: silicon nanowire array, C-reactive protein, vapor-liquid-solid method

  14. Silicon Nanowire Field-effect Chemical Sensor

    OpenAIRE

    Chen, S.

    2011-01-01

    This thesis describes the work that has been done on the project “Design and optimization of silicon nanowire for chemical sensing‿, including Si-NW fabrication, electrical/electrochemical modeling, the application as ISFET, and the build-up of Si- NW/LOC system for automatic sample delivery. A novel top-down fabrication technique was presented for single-crystal Si-NW fabrication realized with conventional microfabrication technique. High quality triangular Si-NWs were made with high wafer-s...

  15. Increasing the efficiency of polymer solar cells by silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Eisenhawer, B; Sivakov, V; Pietsch, M; Andrae, G; Falk, F [Institute of Photonic Technology, Albert-Einstein-Strasse 9, 07743 Jena (Germany); Sensfuss, S, E-mail: bjoern.eisenhawer@ipht-jena.de [Thuringian Institute for Textile and Plastics Research, Breitscheidstrasse 97, 07407 Rudolstadt (Germany)

    2011-08-05

    Silicon nanowires have been introduced into P3HT:[60]PCBM solar cells, resulting in hybrid organic/inorganic solar cells. A cell efficiency of 4.2% has been achieved, which is a relative improvement of 10% compared to a reference cell produced without nanowires. This increase in cell performance is possibly due to an enhancement of the electron transport properties imposed by the silicon nanowires. In this paper, we present a novel approach for introducing the nanowires by mixing them into the polymer blend and subsequently coating the polymer/nanowire blend onto a substrate. This new onset may represent a viable pathway to producing nanowire-enhanced polymer solar cells in a reel to reel process.

  16. Increasing the efficiency of polymer solar cells by silicon nanowires

    International Nuclear Information System (INIS)

    Eisenhawer, B; Sivakov, V; Pietsch, M; Andrae, G; Falk, F; Sensfuss, S

    2011-01-01

    Silicon nanowires have been introduced into P3HT:[60]PCBM solar cells, resulting in hybrid organic/inorganic solar cells. A cell efficiency of 4.2% has been achieved, which is a relative improvement of 10% compared to a reference cell produced without nanowires. This increase in cell performance is possibly due to an enhancement of the electron transport properties imposed by the silicon nanowires. In this paper, we present a novel approach for introducing the nanowires by mixing them into the polymer blend and subsequently coating the polymer/nanowire blend onto a substrate. This new onset may represent a viable pathway to producing nanowire-enhanced polymer solar cells in a reel to reel process.

  17. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  18. Silicon nanowires for ultra-fast and ultrabroadband optical signal processing

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Pu, Minhao

    2015-01-01

    In this paper, we present recent research on silicon nanowires for ultra-fast and ultra-broadband optical signal processing at DTU Fotonik. The advantages and limitations of using silicon nanowires for optical signal processing are revealed through experimental demonstrations of various optical...

  19. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    Science.gov (United States)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  20. Synthesis of silicon nanowires and novel nano-dendrite structures

    International Nuclear Information System (INIS)

    Sinha, Saion; Gao Bo; Zhou, Otto

    2004-01-01

    We report a study on the effects of various parameters on the synthesis of silicon nanowires (5--50 nm in diameter) by pulsed laser ablation. A novel silicon nanodendrite structure is observed by changing some of the growth parameters abruptly. This growth mechanism is explained by a qualitative model. These nanodendrites show a promise of being used as a template in fabricating nanocircuits. Thermal quantum confinement effects were also observed on the silicon nanowires and have been reported

  1. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    Energy Technology Data Exchange (ETDEWEB)

    Martineau, F; Namur, K; Mallet, J; Delavoie, F; Troyon, M; Molinari, M [Laboratoire de Microscopies et d' Etude de Nanostructures (LMEN EA3799), Universite de Reims Champagne Ardennes (URCA), Reims Cedex 2 (France); Endres, F, E-mail: michael.molinari@univ-reims.fr [Institute of Particle Technology, Chair of Interface Processes, Clausthal University of Technology, D-36678 Clausthal-Zellerfeld (Germany)

    2009-11-15

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P{sub 1,4}) containing SiCl{sub 4} as Si source or GeCl{sub 4} as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  2. Ultra-low reflection porous silicon nanowires for solar cell applications

    KAUST Repository

    Najar, Adel

    2012-01-01

    High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measurements show that the 35% reflectivity of the starting silicon wafer drops to 0.1% recorded for more than 10 μm long PSiNWs. Models based on cone shape of nanowires located in a circular and rectangular bases were used to calculate the reflectance employing the Transfert Matrix Formalism (TMF) of the PSiNWs layer. Using TMF, the Bruggeman model was used to calculate the refractive index of PSiNWs layer. The calculated reflectance using circular cone shape fits better the measured reflectance for PSiNWs. The remarkable decrease in optical reflectivity indicates that PSiNWs is a good antireflective layer and have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection. ©2012 Optical Society of America.

  3. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  4. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.

  5. Silicon nanowire structures as high-sensitive pH-sensors

    International Nuclear Information System (INIS)

    Belostotskaya, S O; Chuyko, O V; Kuznetsov, A E; Kuznetsov, E V; Rybachek, E N

    2012-01-01

    Sensitive elements for pH-sensors created on silicon nanostructures were researched. Silicon nanostructures have been used as ion-sensitive field effect transistor (ISFET) for the measurement of solution pH. Silicon nanostructures have been fabricated by 'top-down' approach and have been studied as pH sensitive elements. Nanowires have the higher sensitivity. It was shown, that sensitive element, which is made of 'one-dimensional' silicon nanostructure have bigger pH-sensitivity as compared with 'two-dimensional' structure. Integrated element formed from two p- and n-type nanowire ISFET ('inverter') can be used as high sensitivity sensor for local relative change [H+] concentration in very small volume.

  6. Ballistic Spin Field Effect Transistor Based on Silicon Nanowires

    Science.gov (United States)

    Osintsev, Dmitri; Sverdlov, Viktor; Stanojevic, Zlatan; Selberherr, Siegfried

    2011-03-01

    We investigate the properties of ballistic spin field-effect transistors build on silicon nanowires. An accurate description of the conduction band based on the k . p} model is necessary in thin and narrow silicon nanostructures. The subband effective mass and subband splitting dependence on the nanowire dimensions is analyzed and used in the transport calculations. The spin transistor is formed by sandwiching the nanowire between two ferromagnetic metallic contacts. Delta-function barriers at the interfaces between the contacts and the silicon channel are introduced. The major contribution to the electric field-dependent spin-orbit interaction in confined silicon systems is due to the interface-induced inversion asymmetry which is of the Dresselhaus type. We study the current and conductance through the system for the contacts being in parallel and anti-parallel configurations. Differences between the [100] and [110] orientated structures are investigated in details. This work is supported by the European Research Council through the grant #247056 MOSILSPIN.

  7. Broadband Nonlinear Signal Processing in Silicon Nanowires

    DEFF Research Database (Denmark)

    Yvind, Kresten; Pu, Minhao; Hvam, Jørn Märcher

    The fast non-linearity of silicon allows Tbit/s optical signal processing. By choosing suitable dimensions of silicon nanowires their dispersion can be tailored to ensure a high nonlinearity at power levels low enough to avoid significant two-photon abso We have fabricated low insertion...

  8. Nonlinear Optical Functions in Crystalline and Amorphous Silicon-on-Insulator Nanowires

    DEFF Research Database (Denmark)

    Baets, R.; Kuyken, B.; Liu, X.

    2012-01-01

    Silicon-on-Insulator nanowires provide an excellent platform for nonlinear optical functions in spite of the two-photon absorption at telecom wavelengths. Work on both crystalline and amorphous silicon nanowires is reviewed, in the wavelength range of 1.5 to 2.5 µm....

  9. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  10. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  11. Global optimization of silicon nanowires for efficient parametric processes

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Xu, Jing; Mørk, Jesper

    2013-01-01

    We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions.......We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions....

  12. Silicon nanowires nanogenerator based on the piezoelectricity of alpha-quartz.

    Science.gov (United States)

    Yin, Kui; Lin, Haiyang; Cai, Qian; Zhao, Yi; Lee, Shuit-Tong; Hu, Fei; Shao, Mingwang

    2013-12-21

    Silicon nanowires are important semiconductor with core/shell structure. In this work, the piezoelectric material alpha-quartz was grown in the interface of silicon nanowires by thermal treatment at 600 °C for 0.5 h. These nanowires were employed as starting materials to fabricate piezoelectric nanogenerators, which could convert kinetic energy into electrical one, exhibiting an output voltage of 36.5 V and a response current of 1.4 μA under a free-falling object of 300 g at a height of 30 cm.

  13. A silicon-nanowire memory driven by optical gradient force induced bistability

    Energy Technology Data Exchange (ETDEWEB)

    Dong, B. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), Singapore 117685 (Singapore); Cai, H., E-mail: caih@ime.a-star.edu.sg; Gu, Y. D.; Kwong, D. L. [Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), Singapore 117685 (Singapore); Chin, L. K.; Ng, G. I.; Ser, W. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Huang, J. G. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), Singapore 117685 (Singapore); School of Mechanical Engineering, Xi' an Jiaotong University, Xi' an 710049 (China); Yang, Z. C. [School of Electronics Engineering and Computer Science, Peking University, Beijing 100871 (China); Liu, A. Q., E-mail: eaqliu@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); School of Electronics Engineering and Computer Science, Peking University, Beijing 100871 (China)

    2015-12-28

    In this paper, a bistable optical-driven silicon-nanowire memory is demonstrated, which employs ring resonator to generate optical gradient force over a doubly clamped silicon-nanowire. Two stable deformation positions of a doubly clamped silicon-nanowire represent two memory states (“0” and “1”) and can be set/reset by modulating the light intensity (<3 mW) based on the optical force induced bistability. The time response of the optical-driven memory is less than 250 ns. It has applications in the fields of all optical communication, quantum computing, and optomechanical circuits.

  14. Coaxial-structured ZnO/silicon nanowires extended-gate field-effect transistor as pH sensor

    International Nuclear Information System (INIS)

    Li, Hung-Hsien; Yang, Chi-En; Kei, Chi-Chung; Su, Chung-Yi; Dai, Wei-Syuan; Tseng, Jung-Kuei; Yang, Po-Yu; Chou, Jung-Chuan; Cheng, Huang-Chung

    2013-01-01

    An extended-gate field-effect transistor (EGFET) of coaxial-structured ZnO/silicon nanowires as pH sensor was demonstrated in this paper. The oriented 1-μm-long silicon nanowires with the diameter of about 50 nm were vertically synthesized by the electroless metal deposition method at room temperature and were sequentially capped with the ZnO films using atomic layer deposition at 50 °C. The transfer characteristics (I DS –V REF ) of such ZnO/silicon nanowire EGFET sensor exhibited the sensitivity and linearity of 46.25 mV/pH and 0.9902, respectively for the different pH solutions (pH 1–pH 13). In contrast to the ZnO thin-film ones, the ZnO/silicon nanowire EGFET sensor achieved much better sensitivity and superior linearity. It was attributed to a high surface-to-volume ratio of the nanowire structures, reflecting a larger effective sensing area. The output voltage and time characteristics were also measured to indicate good reliability and durability for the ZnO/silicon nanowires sensor. Furthermore, the hysteresis was 9.74 mV after the solution was changed as pH 7 → pH 3 → pH 7 → pH 11 → pH 7. - Highlights: ► Coaxial-structured ZnO/silicon nanowire EGFET was demonstrated as pH sensor. ► EMD and ALD methods were proposed to fabricate ZnO/silicon nanowires. ► ZnO/silicon nanowire EGFET sensor achieved better sensitivity and linearity. ► ZnO/silicon nanowire EGFET sensor had good reliability and durability

  15. Synthesis of porous silicon nano-wires and the emission of red luminescence

    International Nuclear Information System (INIS)

    Congli, Sun; Hao, Hu; Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao; Xiaosong, Sun

    2013-01-01

    This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO 3 solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO 3 solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H 2 O 2 - treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.

  16. Synthesis of porous silicon nano-wires and the emission of red luminescence

    Energy Technology Data Exchange (ETDEWEB)

    Congli, Sun [School of Materials Science and Engineering, Sichuan University (China); Hao, Hu [National Engineering Research Center for Biomaterials, Sichuan University, Chengdu 610064, Sichuan (China); Huanhuan, Feng; Jingjing, Xu; Yu, Chen; Yong, Jin; Zhifeng, Jiao [School of Materials Science and Engineering, Sichuan University (China); Xiaosong, Sun, E-mail: sunxs@scu.edu.cn [School of Materials Science and Engineering, Sichuan University (China)

    2013-10-01

    This very paper is focusing on the characterization of porous silicon nano-wires prepared via a two-step route, the electroless chemical etching and the following post-treatment of HF/HNO{sub 3} solution. Hence, scanning electron microscopy, transmission electron microscopy and confocal fluorescence microscopy are employed for this purpose. From the results of experiments, one can find that the as-prepared silicon nano-wire is of smooth surface and that no visible photo-luminescence emission could be seen. However, the porous structure can be found in the silicon nano-wire treated with HF/HNO{sub 3} solution, and the clear photo-luminescence emission of 630 nm can be recorded with a confocal fluorescence microscope. The transmission electron microscopy test tells that the porous silicon nano-wire is made up of a porous crystalline silicon nano-core and a rough coating of silicon oxide. Besides, based on the post-HF- and -H{sub 2}O{sub 2}- treatments, the emission mechanism of the red luminescence has been discussed and could be attributed to the quantum confinement/luminescence center model which could be simply concluded as that the electron–hole pairs are mainly excited inside the porous silicon nano-core and then tunneling out and recombining at the silicon oxide coating.

  17. Silicon-on-Insulator Nanowire Based Optical Waveguide Biosensors

    International Nuclear Information System (INIS)

    Li, Mingyu; Liu, Yong; Chen, Yangqing; He, Jian-Jun

    2016-01-01

    Optical waveguide biosensors based on silicon-on-insulator (SOI) nanowire have been developed for label free molecular detection. This paper reviews our work on the design, fabrication and measurement of SOI nanowire based high-sensitivity biosensors employing Vernier effect. Biosensing experiments using cascaded double-ring sensor and Mach-Zehnder- ring sensor integrated with microfluidic channels are demonstrated (paper)

  18. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  19. Carbon−Silicon Core−Shell Nanowires as High Capacity Electrode for Lithium Ion Batteries

    KAUST Repository

    Cui, Li-Feng; Yang, Yuan; Hsu, Ching-Mei; Cui, Yi

    2009-01-01

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires

  20. Solution-grown silicon nanowires for lithium-ion battery anodes.

    Science.gov (United States)

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  1. Solution-Grown Silicon Nanowires for Lithium-Ion Battery Anodes

    KAUST Repository

    Chan, Candace K.

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles. © 2010 American Chemical Society.

  2. Carbon−Silicon Core−Shell Nanowires as High Capacity Electrode for Lithium Ion Batteries

    KAUST Repository

    Cui, Li-Feng

    2009-09-09

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires showed great performance as anode material. Since carbon has a much smaller capacity compared to silicon, the carbon core experiences less structural stress or damage during lithium cycling and can function as a mechanical support and an efficient electron conducting pathway. These nanowires have a high charge storage capacity of ∼2000 mAh/g and good cycling life. They also have a high Coulmbic efficiency of 90% for the first cycle and 98-99.6% for the following cycles. A full cell composed of LiCoO2 cathode and carbon-silicon core-shell nanowire anode is also demonstrated. Significantly, using these core-shell nanowires we have obtained high mass loading and an area capacity of ∼4 mAh/cm2, which is comparable to commercial battery values. © 2009 American Chemical Society.

  3. Room temperature NO2 gas sensing of Au-loaded tungsten oxide nanowires/porous silicon hybrid structure

    International Nuclear Information System (INIS)

    Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming

    2016-01-01

    In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)

  4. Preparation of silicon carbide nanowires via a rapid heating process

    International Nuclear Information System (INIS)

    Li Xintong; Chen Xiaohong; Song Huaihe

    2011-01-01

    Silicon carbide (SiC) nanowires were fabricated in a large quantity by a rapid heating carbothermal reduction of a novel resorcinol-formaldehyde (RF)/SiO 2 hybrid aerogel in this study. SiC nanowires were grown at 1500 deg. C for 2 h in an argon atmosphere without any catalyst via vapor-solid (V-S) process. The β-SiC nanowires were characterized by field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD), transmission electron microscope (TEM), high-resolution transmission electron microscope (HRTEM) equipped with energy dispersive X-ray (EDX) facility, Fourier transformed infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA). The analysis results show that the aspect ratio of the SiC nanowires via the rapid heating process is much larger than that of the sample produced via gradual heating process. The SiC nanowires are single crystalline β-SiC phase with diameters of about 20-80 nm and lengths of about several tens of micrometers, growing along the [1 1 1] direction with a fringe spacing of 0.25 nm. The role of the interpenetrating network of RF/SiO 2 hybrid aerogel in the carbothermal reduction was discussed and the possible growth mechanism of the nanowires is analyzed.

  5. A III-V nanowire channel on silicon for high-performance vertical transistors.

    Science.gov (United States)

    Tomioka, Katsuhiro; Yoshimura, Masatoshi; Fukui, Takashi

    2012-08-09

    Silicon transistors are expected to have new gate architectures, channel materials and switching mechanisms in ten years' time. The trend in transistor scaling has already led to a change in gate structure from two dimensions to three, used in fin field-effect transistors, to avoid problems inherent in miniaturization such as high off-state leakage current and the short-channel effect. At present, planar and fin architectures using III-V materials, specifically InGaAs, are being explored as alternative fast channels on silicon because of their high electron mobility and high-quality interface with gate dielectrics. The idea of surrounding-gate transistors, in which the gate is wrapped around a nanowire channel to provide the best possible electrostatic gate control, using InGaAs channels on silicon, however, has been less well investigated because of difficulties in integrating free-standing InGaAs nanostructures on silicon. Here we report the position-controlled growth of vertical InGaAs nanowires on silicon without any buffering technique and demonstrate surrounding-gate transistors using InGaAs nanowires and InGaAs/InP/InAlAs/InGaAs core-multishell nanowires as channels. Surrounding-gate transistors using core-multishell nanowire channels with a six-sided, high-electron-mobility transistor structure greatly enhance the on-state current and transconductance while keeping good gate controllability. These devices provide a route to making vertically oriented transistors for the next generation of field-effect transistors and may be useful as building blocks for wireless networks on silicon platforms.

  6. Polarization Insensitive One-to-Six WDM Multicasting in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Peucheret, Christophe

    2012-01-01

    We present polarization insensitive one-to-six WDM multicasting based on nondegenerate four-wave mixing in a silicon nanowire with angled-pump scheme. Bit-error rate measurements are performed and error-free operation is achieved.......We present polarization insensitive one-to-six WDM multicasting based on nondegenerate four-wave mixing in a silicon nanowire with angled-pump scheme. Bit-error rate measurements are performed and error-free operation is achieved....

  7. Modulation of thermal conductivity in kinked silicon nanowires: phonon interchanging and pinching effects.

    Science.gov (United States)

    Jiang, Jin-Wu; Yang, Nuo; Wang, Bing-Shen; Rabczuk, Timon

    2013-04-10

    We perform molecular dynamics simulations to investigate the reduction of the thermal conductivity by kinks in silicon nanowires. The reduction percentage can be as high as 70% at room temperature. The temperature dependence of the reduction is also calculated. By calculating phonon polarization vectors, two mechanisms are found to be responsible for the reduced thermal conductivity: (1) the interchanging effect between the longitudinal and transverse phonon modes and (2) the pinching effect, that is, a new type of localization, for the twisting and transverse phonon modes in the kinked silicon nanowires. Our work demonstrates that the phonon interchanging and pinching effects, induced by kinking, are brand-new and effective ways in modulating heat transfer in nanowires, which enables the kinked silicon nanowires to be a promising candidate for thermoelectric materials.

  8. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  9. An innovative large scale integration of silicon nanowire-based field effect transistors

    Science.gov (United States)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  10. Structural and electrochemical study of the reaction of lithium with silicon nanowires

    KAUST Repository

    Chan, Candace K.

    2009-04-01

    The structural transformations of silicon nanowires when cycled against lithium were evaluated using electrochemical potential spectroscopy and galvanostatic cycling. During the charge, the nanowires alloy with lithium to form an amorphous LixSi compound. At potentials <50 mV, a structural transformation occurs. In studies on micron-sized particles previously reported in the literature, this transformation is a crystallization to a metastable Li15Si4 phase. X-ray diffraction measurements on the Si nanowires, however, show that they are amorphous, suggesting that a different amorphous phase (LiySi) is formed. Lithium is removed from this phase in the discharge to form amorphous silicon. We have found that limiting the voltage in the charge to 70 mV results in improved efficiency and cyclability compared to charging to 10 mV. This improvement is due to the suppression of the transformation at low potentials, which alloys for reversible cycling of amorphous silicon nanowires. © 2008 Elsevier B.V. All rights reserved.

  11. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  12. Scattering cross section of metal catalyst atoms in silicon nanowires

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Cartoixa, X.

    2010-01-01

    A common technique to fabricate silicon nanowires is to use metal particles (e.g., Au, Ag, Cu, Al) to catalyze the growth reaction. As a consequence, the fabricated nanowires contain small concentrations of these metals as impurities. In this work we investigate the effect of the metallic impurit...

  13. Silicon nanowires for photovoltaic solar energy conversion.

    Science.gov (United States)

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  14. Surface functionalization of HF-treated silicon nanowires

    Indian Academy of Sciences (India)

    Administrator

    place when silicon nanowires reacted with 2,2,2-trifluoroethyl acrylate, and reductive deposition reaction occurred in the ... detection of fM level of protein. 14 and DNA. 15 ... surfaces can be easily modified to act as both elec- tron-transfer ...

  15. Structural and electrochemical study of the reaction of lithium with silicon nanowires

    KAUST Repository

    Chan, Candace K.; Ruffo, Riccardo; Hong, Seung Sae; Huggins, Robert A.; Cui, Yi

    2009-01-01

    The structural transformations of silicon nanowires when cycled against lithium were evaluated using electrochemical potential spectroscopy and galvanostatic cycling. During the charge, the nanowires alloy with lithium to form an amorphous Lix

  16. Silicon nanowire based high brightness, pulsed relativistic electron source

    Directory of Open Access Journals (Sweden)

    Deep Sarkar

    2017-06-01

    Full Text Available We demonstrate that silicon nanowire arrays efficiently emit relativistic electron pulses under irradiation by a high-intensity, femtosecond, and near-infrared laser (∼1018 W/cm2, 25 fs, 800 nm. The nanowire array yields fluxes and charge per bunch that are 40 times higher than those emitted by an optically flat surface, in the energy range of 0.2–0.5 MeV. The flux and charge yields for the nanowires are observed to be directional in nature unlike that for planar silicon. Particle-in-cell simulations establish that such large emission is caused by the enhancement of the local electric fields around a nanowire, which consequently leads to an enhanced absorption of laser energy. We show that the high-intensity contrast (ratio of picosecond pedestal to femtosecond peak of the laser pulse (10−9 is crucial to this large yield. We extend the notion of surface local-field enhancement, normally invoked in low-order nonlinear optical processes like second harmonic generation, optical limiting, etc., to ultrahigh laser intensities. These electron pulses, expectedly femtosecond in duration, have potential application in imaging, material modification, ultrafast dynamics, terahertz generation, and fast ion sources.

  17. IC Compatible Wafer Level Fabrication of Silicon Nanowire Field Effect Transistors for Biosensing Applications

    NARCIS (Netherlands)

    Moh, T.S.Y.

    2013-01-01

    In biosensing, nano-devices such as Silicon Nanowire Field Effect Transistors (SiNW FETs) are promising components/sensors for ultra-high sensitive detection, especially when samples are low in concentration or a limited volume is available. Current processing of SiNW FETs often relies on expensive

  18. Assessment on thermoelectric power factor in silicon nanowire networks

    Energy Technology Data Exchange (ETDEWEB)

    Lohn, Andrew J.; Kobayashi, Nobuhiko P. [Baskin School of Engineering, University of California Santa Cruz, CA (United States); Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California Santa Cruz, NASA Ames Research Center, Moffett Field, CA (United States); Coleman, Elane; Tompa, Gary S. [Structured Materials Industries, Inc., Piscataway, NJ (United States)

    2012-01-15

    Thermoelectric devices based on three-dimensional networks of highly interconnected silicon nanowires were fabricated and the parameters that contribute to the power factor, namely the Seebeck coefficient and electrical conductivity were assessed. The large area (2 cm x 2 cm) devices were fabricated at low cost utilizing a highly scalable process involving silicon nanowires grown on steel substrates. Temperature dependence of the Seebeck coefficient was found to be weak over the range of 20-80 C at approximately -400 {mu}V/K for unintentionally doped devices and {+-}50 {mu}V/K for p-type and n-type devices, respectively. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Metal-Catalyst-Free Synthesis and Characterization of Single-Crystalline Silicon Oxynitride Nanowires

    Directory of Open Access Journals (Sweden)

    Shuang Xi

    2012-01-01

    Full Text Available Large quantities of single-crystal silicon oxynitride nanowires with high N concentration have been synthesized directly on silicon substrate at 1200°C without using any metal catalyst. The diameter of these ternary nanowires is ranging from 10 to 180 nm with log-normal distribution, and the length of these nanowires varies from a few hundreds of micrometers to several millimeters. A vapor-solid mechanism was proposed to explain the growth of the nanowires. These nanowires are grown to form a disordered mat with an ultrabright white nonspecular appearance. The mat demonstrates highly diffusive reflectivity with the optical reflectivity of around 80% over the whole visible wavelength, which is comparable to the most brilliant white beetle scales found in nature. The whiteness might be resulted from the strong multiscattering of a large fraction of incident light on the disordered nanowire mat. These ultra-bright white nanowires could form as reflecting surface to meet the stringent requirements of bright-white light-emitting-diode lighting for higher optical efficiency. They can also find applications in diverse fields such as sensors, cosmetics, paints, and tooth whitening.

  20. First-principles study of structural & electronic properties of pyramidal silicon nanowire

    Energy Technology Data Exchange (ETDEWEB)

    Jariwala, Pinank; Thakor, P. B. [Department of Physics, Veer Narmad South Gujarat University, Surat 395 007, Gujarat (India); Singh, Deobrat; Sonvane, Y. A., E-mail: yasonvane@gmail.com [Department of Applied Physics, S. V. National Institute of Technology, Surat 395 007 (India); Gupta, Sanjeev K. [Department of Physics, St. Xavier’s College, Ahmedabad 38 0009 (India)

    2016-05-23

    We have investigated the stable structural and electronic properties of Silicon (Si) nanowires having different cross-sections with 5-7 Si atoms per unit cell. These properties of the studied Si nanowires were significantly changed from those of diamond bulk Si structure. The binding energy increases as increasing atoms number per unit cell in different SiNWs structures. All the nanowires structures are behave like metallic rather than semiconductor in bulk systems. In general, the number of conduction channels increases when the nanowire becomes thicker. The density of charge revealed delocalized metallic bonding for all studied Si nanowires.

  1. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    International Nuclear Information System (INIS)

    Anguita, J V; Sharma, P; Henley, S J; Silva, S R P

    2009-01-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  2. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    Science.gov (United States)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  3. Silicon nanowire networks for multi-stage thermoelectric modules

    International Nuclear Information System (INIS)

    Norris, Kate J.; Garrett, Matthew P.; Zhang, Junce; Coleman, Elane; Tompa, Gary S.; Kobayashi, Nobuhiko P.

    2015-01-01

    Highlights: • Fabricated flexible single, double, and quadruple stacked Si thermoelectric modules. • Measured an enhanced power production of 27%, showing vertical stacking is scalable. • Vertically scalable thermoelectric module design of semiconducting nanowires. • Design can utilize either p or n-type semiconductors, both types are not required. • ΔT increases with thickness therefore power/area can increase as modules are stacked. - Abstract: We present the fabrication and characterization of single, double, and quadruple stacked flexible silicon nanowire network based thermoelectric modules. From double to quadruple stacked modules, power production increased 27%, demonstrating that stacking multiple nanowire thermoelectric devices in series is a scalable method to generate power by supplying larger temperature gradient. We present a vertically scalable multi-stage thermoelectric module design using semiconducting nanowires, eliminating the need for both n-type and p-type semiconductors for modules

  4. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  5. Organophosphonate functionalized silicon nanowires for DNA hybridization studies

    Energy Technology Data Exchange (ETDEWEB)

    Pedone, Daniel; Cattani Scholz, Anna; Birner, Stefan; Abstreiter, Gerhard [WSI, TU Muenchen (Germany); Dubey, Manish; Schwartz, Jeffrey [Princeton University, NJ (United States); Tornow, Marc [IHT, TU Braunschweig (Germany)

    2007-07-01

    Semiconductor nanowire field effect devices have great appeal for label-free sensing applications due to their sensitivity to surface potential changes that may originate from charged adsorbates. In addition to requiring high sensitivity, suitable passivation and functionalization of the semiconductor surface is obligatory. We have fabricated both freely suspended and oxide-supported silicon nanowires from Silicon-on-Insulator substrates using standard nanopatterning methods (EBL, RIE) and sacrificial oxide layer etching. Subsequent to nanofabrication, the devices were first coated with an hydroxyalkylphosphonate monolayer and then bound via bifunctional linker groups to single stranded DNA or PNA oligonucleotides, respectively. We investigated DNA hybridization on such functionalized nanowires using a difference resistance setup, where subtracting the reference signal from a second wire could be used to exclude most non-specific effects. A net change in surface potential on the order of a few mV could be detected upon addition of the complementary DNA strand. This surface potential change corresponds to the hybridization of about 10{sup 10}cm{sup -2} probe strands according to our model calculations that takes into account the entire hybrid system in electrolyte solution.

  6. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  7. The electronic structure of radial p-n junction silicon nanowires

    Science.gov (United States)

    Chiou, Shan-Haw; Grossman, Jeffrey

    2007-03-01

    Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism.

  8. Silicon Nanowires for Solar Thermal Energy Harvesting: an Experimental Evaluation on the Trade-off Effects of the Spectral Optical Properties.

    Science.gov (United States)

    Sekone, Abdoul Karim; Chen, Yu-Bin; Lu, Ming-Chang; Chen, Wen-Kai; Liu, Chia-An; Lee, Ming-Tsang

    2016-12-01

    Silicon nanowire possesses great potential as the material for renewable energy harvesting and conversion. The significantly reduced spectral reflectivity of silicon nanowire to visible light makes it even more attractive in solar energy applications. However, the benefit of its use for solar thermal energy harvesting remains to be investigated and has so far not been clearly reported. The purpose of this study is to provide practical information and insight into the performance of silicon nanowires in solar thermal energy conversion systems. Spectral hemispherical reflectivity and transmissivity of the black silicon nanowire array on silicon wafer substrate were measured. It was observed that the reflectivity is lower in the visible range but higher in the infrared range compared to the plain silicon wafer. A drying experiment and a theoretical calculation were carried out to directly evaluate the effects of the trade-off between scattering properties at different wavelengths. It is clearly seen that silicon nanowires can improve the solar thermal energy harnessing. The results showed that a 17.8 % increase in the harvest and utilization of solar thermal energy could be achieved using a silicon nanowire array on silicon substrate as compared to that obtained with a plain silicon wafer.

  9. Polarization Insensitive Wavelength Conversion Based on Four-Wave Mixing in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Peucheret, Christophe

    2012-01-01

    We experimentally demonstrate, for the first time, polarization-insensitive wavelength conversion of a 10 Gb/s NRZ-OOK data signal based on four-wave mixing in a silicon nanowire with bit-error rate measurements.......We experimentally demonstrate, for the first time, polarization-insensitive wavelength conversion of a 10 Gb/s NRZ-OOK data signal based on four-wave mixing in a silicon nanowire with bit-error rate measurements....

  10. CMOS-compatible fabrication of top-gated field-effect transistor silicon nanowire-based biosensors

    International Nuclear Information System (INIS)

    Ginet, Patrick; Akiyama, Sho; Takama, Nobuyuki; Fujita, Hiroyuki; Kim, Beomjoon

    2011-01-01

    Field-effect transistor (FET) nanowire-based biosensors are very promising tools for medical diagnosis. In this paper, we introduce a simple method to fabricate FET silicon nanowires using only standard microelectromechanical system (MEMS) processes. The key steps of our fabrication process were a local oxidation of silicon (LOCOS) and anisotropic KOH etchings that enabled us to reduce the width of the initial silicon structures from 10 µm to 170 nm. To turn the nanowires into a FET, a top-gate electrode was patterned in gold next to them in order to apply the gate voltage directly through the investigated liquid environment. An electrical characterization demonstrated the p-type behaviour of the nanowires. Preliminary chemical sensing tested the sensitivity to pH of our device. The effect of the binding of streptavidin on biotinylated nanowires was monitored in order to evaluate their biosensing ability. In this way, streptavidin was detected down to a 100 ng mL −1 concentration in phosphate buffered saline by applying a gate voltage less than 1.2 V. The use of a top-gate electrode enabled the detection of biological species with only very low voltages that were compatible with future handheld-requiring applications. We thus demonstrated the potential of our devices and their fabrication as a solution for the mass production of efficient and reliable FET nanowire-based biological sensors

  11. Silicon nanowire arrays as learning chemical vapour classifiers

    International Nuclear Information System (INIS)

    Niskanen, A O; Colli, A; White, R; Li, H W; Spigone, E; Kivioja, J M

    2011-01-01

    Nanowire field-effect transistors are a promising class of devices for various sensing applications. Apart from detecting individual chemical or biological analytes, it is especially interesting to use multiple selective sensors to look at their collective response in order to perform classification into predetermined categories. We show that non-functionalised silicon nanowire arrays can be used to robustly classify different chemical vapours using simple statistical machine learning methods. We were able to distinguish between acetone, ethanol and water with 100% accuracy while methanol, ethanol and 2-propanol were classified with 96% accuracy in ambient conditions.

  12. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    Science.gov (United States)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  13. Creating New VLS Silicon Nanowire Contact Geometries by Controlling Catalyst Migration

    DEFF Research Database (Denmark)

    Alam, Sardar Bilal; Panciera, Federico; Hansen, Ole

    2015-01-01

    The formation of self-assembled contacts between vapor-liquid-solid grown silicon nanowires and flat silicon surfaces was imaged in situ using electron microscopy. By measuring the structural evolution of the contact formation process, we demonstrate how different contact geometries are created b...

  14. Comparison of confinement characters between porous silicon and silicon nanowires

    International Nuclear Information System (INIS)

    Tit, Nacir; Yamani, Zain H.; Pizzi, Giovanni; Virgilio, Michele

    2011-01-01

    Confinement character and its effects on photoluminescence (PL) properties are theoretically investigated and compared between porous silicon (p-Si) and silicon nanowires (Si-NWs). The method is based on the application of the tight-binding technique using the minimal sp 3 -basis set, including the second-nearest-neighbor interactions. The results show that the quantum confinement (QC) is not entirely controlled by the porosity, rather it is mainly affected by the average distance between pores (d). The p-Si is found to exhibit weaker confinement character than Si-NWs. The confinement energy of charge carriers decays against d exponentially for p-Si and via a power-law for Si-NWs. This latter type of QC is much stronger and is somewhat similar to the case of a single particle in a quantum box. The excellent fit to the PL data demonstrates that the experimental samples of p-Si do exhibit strong QC character and thus reveals the possibility of silicon clustering into nano-crystals and/or nanowires. Furthermore, the results show that the passivation of the surface dangling bonds by the hydrogen atoms plays an essential role in preventing the appearance of gap states and consequently enhances the optical qualities of the produced structures. The oscillator strength (OS) is found to increase exponentially with energy in Si-NWs confirming the strong confinement character of carriers. Our theoretical findings suggest the existence of Si nanocrystals (Si-NCs) of sizes 1-3 nm and/or Si-NWs of cross-sectional sizes in the 1-3 nm range inside the experimental p-Si samples. The experimentally-observed strong photoluminescence from p-Si should be in favor of an exhibition of 3D-confinement character. The favorable comparison of our theoretical results with the experimental data consolidates our above claims. -- Highlights: → Tight-binding is used to study quantum-confinement (QC) effects in p-Si and Si-NWs. → QC is not entirely controlled by the porosity but also by the d

  15. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy

    2012-08-20

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  16. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy; Centeno, Anthony; Mendis, Budhika G.; Reehal, H. S.; Alford, Neil

    2012-01-01

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  17. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  18. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  19. Nanofabrication of Arrays of Silicon Field Emitters with Vertical Silicon Nanowire Current Limiters and Self-Aligned Gates

    Science.gov (United States)

    2016-08-19

    limiters, MEMS, NEMS, field emission, cold cathodes (Some figures may appear in colour only in the online journal) 1. Introduction Dense arrays of silicon... attention has been given to densely packed, highly ordered, top-down fabricated, single crystal vertical silicon nanowire devices that are embedded

  20. Novel epoxy-silicone thermolytic transparent packaging adhesives chemical modified by ZnO nanowires for HB LEDs

    International Nuclear Information System (INIS)

    He Ying; Wang Junan; Pei Changlong; Song Jizhong; Zhu Di; Chen Jie

    2010-01-01

    A novel high transparent thermolytic epoxy-silicone for high-brightness light-emitting diode (HB-LED) is introduced, which was synthesized by polymerization using silicone matrix via diglycidyl ether bisphenol-A epoxy resin (DGEBA) as reinforcing agent, and filling ZnO nanowires to modify thermal conductivity and control refractive index of the hybrid material. The interactions of ZnO nanowires with polymers are mediated by the ligands attached to the nanoparticles. Thus, the ligands markedly influence the properties of ZnO nanowires/epoxy-silicone composites. The refractive indices of the prepared hybrid adhesives can be tuned by the ZnO nanowires from 1.4711 to 1.5605. Light transmittance can be increased by 20% from 80 to 95%. The thermal conductivity of the transparent packaging adhesives is 0.89-0.90 W/mK.

  1. Specific and selective target detection of supra-genome 21 Mers Salmonella via silicon nanowires biosensor

    Science.gov (United States)

    Mustafa, Mohammad Razif Bin; Dhahi, Th S.; Ehfaed, Nuri. A. K. H.; Adam, Tijjani; Hashim, U.; Azizah, N.; Mohammed, Mohammed; Noriman, N. Z.

    2017-09-01

    The nano structure based on silicon can be surface modified to be used as label-free biosensors that allow real-time measurements. The silicon nanowire surface was functionalized using 3-aminopropyltrimethoxysilane (APTES), which functions as a facilitator to immobilize biomolecules on the silicon nanowire surface. The process is simple, economical; this will pave the way for point-of-care applications. However, the surface modification and subsequent detection mechanism still not clear. Thus, study proposed step by step process of silicon nano surface modification and its possible in specific and selective target detection of Supra-genome 21 Mers Salmonella. The device captured the molecule with precisely; the approach took the advantages of strong binding chemistry created between APTES and biomolecule. The results indicated how modifications of the nanowires provide sensing capability with strong surface chemistries that can lead to specific and selective target detection.

  2. Impedance Analysis of Silicon Nanowire Lithium Ion Battery Anodes

    KAUST Repository

    Ruffo, Riccardo; Hong, Seung Sae; Chan, Candace K.; Huggins, Robert A.; Cui, Yi

    2009-01-01

    The impedance behavior of silicon nanowire electrodes has been investigated to understand the electrochemical process kinetics that influences the performance when used as a high-capacity anode in a lithium ion battery. The ac response was measured

  3. Modeling of Temperature-Dependent Noise in Silicon Nanowire FETs including Self-Heating Effects

    Directory of Open Access Journals (Sweden)

    P. Anandan

    2014-01-01

    Full Text Available Silicon nanowires are leading the CMOS era towards the downsizing limit and its nature will be effectively suppress the short channel effects. Accurate modeling of thermal noise in nanowires is crucial for RF applications of nano-CMOS emerging technologies. In this work, a perfect temperature-dependent model for silicon nanowires including the self-heating effects has been derived and its effects on device parameters have been observed. The power spectral density as a function of thermal resistance shows significant improvement as the channel length decreases. The effects of thermal noise including self-heating of the device are explored. Moreover, significant reduction in noise with respect to channel thermal resistance, gate length, and biasing is analyzed.

  4. Imaging, structural, and chemical analysis of silicon nanowires

    International Nuclear Information System (INIS)

    Barsotti, R.J. Jr.; Fischer, J.E.; Lee, C.H.; Mahmood, J.; Adu, C.K.W.; Eklund, P.C.

    2002-01-01

    Laser ablation has been used to grow silicon nanowires with an average silicon crystal core diameter of 6.7 nm±2.9 nm surrounded by an amorphous SiO x sheath of 1-2 nm, the smallest silicon wires reported in the literature. Imaging, chemical, and structural analysis of these wires are reported. Due to the growth temperature and the presence of calcium impurities and trace oxygen, two distinct types of wires are found. They appear to grow by two different processes. One requires a metal catalyst, the other is catalyzed by oxygen. Suggestions for controlled synthesis based on these growth mechanisms are made

  5. Ab initio design of nanostructures for solar energy conversion: a case study on silicon nitride nanowire.

    Science.gov (United States)

    Pan, Hui

    2014-01-01

    Design of novel materials for efficient solar energy conversion is critical to the development of green energy technology. In this work, we present a first-principles study on the design of nanostructures for solar energy harvesting on the basis of the density functional theory. We show that the indirect band structure of bulk silicon nitride is transferred to direct bandgap in nanowire. We find that intermediate bands can be created by doping, leading to enhancement of sunlight absorption. We further show that codoping not only reduces the bandgap and introduces intermediate bands but also enhances the solubility of dopants in silicon nitride nanowires due to reduced formation energy of substitution. Importantly, the codoped nanowire is ferromagnetic, leading to the improvement of carrier mobility. The silicon nitride nanowires with direct bandgap, intermediate bands, and ferromagnetism may be applicable to solar energy harvesting.

  6. Broadband infrared photoluminescence in silicon nanowires with high density stacking faults.

    Science.gov (United States)

    Li, Yang; Liu, Zhihong; Lu, Xiaoxiang; Su, Zhihua; Wang, Yanan; Liu, Rui; Wang, Dunwei; Jian, Jie; Lee, Joon Hwan; Wang, Haiyan; Yu, Qingkai; Bao, Jiming

    2015-02-07

    Making silicon an efficient light-emitting material is an important goal of silicon photonics. Here we report the observation of broadband sub-bandgap photoluminescence in silicon nanowires with a high density of stacking faults. The photoluminescence becomes stronger and exhibits a blue shift under higher laser powers. The super-linear dependence on excitation intensity indicates a strong competition between radiative and defect-related non-radiative channels, and the spectral blue shift is ascribed to the band filling effect in the heterostructures of wurtzite silicon and cubic silicon created by stacking faults.

  7. Vertically aligned nanowires on flexible silicone using a supported alumina template prepared by pulsed anodization

    DEFF Research Database (Denmark)

    Mátéfi-Tempfli, Stefan; Mátéfi-Tempfli, M.

    2009-01-01

    Carpets of vertically aligned nanowires on flexible substrates are successfully realized by a template method. Applying special pulsed anodization conditions, defect-free nanoporous alumina structures supported on polydimethylsiloxane (PDMS), a flexible silicone elastomer, are created. By using...... this template with nanopores ending on a conducting underlayer, a high-density nanowire array can be simply grown by direct DCelectrodeposition on the top of the silicone rubber....

  8. Synthesis and investigation of silicon carbide nanowires by HFCVD ...

    Indian Academy of Sciences (India)

    Silicon carbide (SiC) nanowire has been fabricated by hot filament chemical vapour .... −5. Torr by mechanical and dif- fusion vacuum pumps, then high purity H2 gas was fed into it. ... to standard PDF card numbers of 01-074-2307 and 01-.

  9. Scaling theory put into practice: First-principles modeling of transport in doped silicon nanowires

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Jauho, Antti-Pekka

    2007-01-01

    We combine the ideas of scaling theory and universal conductance fluctuations with density-functional theory to analyze the conductance properties of doped silicon nanowires. Specifically, we study the crossover from ballistic to diffusive transport in boron or phosphorus doped Si nanowires...

  10. Quantum efficiency of InAs/InP nanowire heterostructures grown on silicon substrates

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2013-01-01

    Photoluminescence (PL) quantum efficiency (QE) is experimentally investigated, using an integrating sphere, as a function of excitation power on both InAs/InP quantum rod nanowires (QRod-NWs) and radial quantum well nanowires (QWell-NWs) grown on silicon substrates. The measured values of the QE are compared with those of the planar analogues such as quantum dash and quantum well samples, and found to be comparable for the quantum well structures at relatively low power density. Further studies reveal that the values of QE of the QRod-NWs and QWell-NWs are limited by the low quality of the InP NW structure and the quality of radial quantum well, respectively. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  12. One-step synthesis of lightly doped porous silicon nanowires in HF/AgNO3/H2O2 solution at room temperature

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Song, Dandan; Yu, Hang; Jiang, Bing; Li, Yingfeng

    2012-01-01

    One-step synthesis of lightly doped porous silicon nanowire arrays was achieved by etching the silicon wafer in HF/AgNO 3 /H 2 O 2 solution at room temperature. The lightly doped porous silicon nanowires (pNWs) have circular nanopores on the sidewall, which can emit strong green fluorescence. The surface morphologies of these nanowires could be controlled by simply adjusting the concentration of H 2 O 2 , which influences the distribution of silver nanoparticles (Ag NPs) along the nanowire axis. A mechanism based on Ag NPs-induced lateral etching of nanowires was proposed to explain the formation of pNWs. The controllable and widely applicable synthesis of pNWs will open their potential application to nanoscale photoluminescence devices. - Graphical abstract: The one-step synthesis of porous silicon nanowire arrays is achieved by chemical etching of the lightly doped p-type Si (100) wafer at room temperature. These nanowires exhibit strong green photoluminescence. SEM, TEM, HRTEM and photoluminescence images of pNWs. The scale bars of SEM, TEM HRTEM and photoluminescence are 10 μm, 20 nm, 10 nm, and 1 μm, respectively. Highlights: ► Simple one-step synthesis of lightly doped porous silicon nanowire arrays is achieved at RT. ► Etching process and mechanism are illustrated with etching model from a novel standpoint. ► As-prepared porous silicon nanowire emits strong green fluorescence, proving unique property.

  13. Crystalline-Amorphous Core−Shell Silicon Nanowires for High Capacity and High Current Battery Electrodes

    KAUST Repository

    Cui, Li-Feng

    2009-01-14

    Silicon is an attractive alloy-type anode material for lithium ion batteries because of its highest known capacity (4200 mAh/g). However silicon\\'s large volume change upon lithium insertion and extraction, which causes pulverization and capacity fading, has limited its applications. Designing nanoscale hierarchical structures is a novel approach to address the issues associated with the large volume changes. In this letter, we introduce a core-shell design of silicon nanowires for highpower and long-life lithium battery electrodes. Silicon crystalline- amorphous core-shell nanowires were grown directly on stainless steel current collectors by a simple one-step synthesis. Amorphous Si shells instead of crystalline Si cores can be selected to be electrochemically active due to the difference of their lithiation potentials. Therefore, crystalline Si cores function as a stable mechanical support and an efficient electrical conducting pathway while amorphous shells store Li ions. We demonstrate here that these core-shell nanowires have high charge storage capacity (̃1000 mAh/g, 3 times of carbon) with ̃90% capacity retention over 100 cycles. They also show excellent electrochemical performance at high rate charging and discharging (6.8 A/g, ̃20 times of carbon at 1 h rate). © 2009 American Chemical Society.

  14. High-performance lithium battery anodes using silicon nanowires.

    Science.gov (United States)

    Chan, Candace K; Peng, Hailin; Liu, Gao; McIlwrath, Kevin; Zhang, Xiao Feng; Huggins, Robert A; Cui, Yi

    2008-01-01

    There is great interest in developing rechargeable lithium batteries with higher energy capacity and longer cycle life for applications in portable electronic devices, electric vehicles and implantable medical devices. Silicon is an attractive anode material for lithium batteries because it has a low discharge potential and the highest known theoretical charge capacity (4,200 mAh g(-1); ref. 2). Although this is more than ten times higher than existing graphite anodes and much larger than various nitride and oxide materials, silicon anodes have limited applications because silicon's volume changes by 400% upon insertion and extraction of lithium which results in pulverization and capacity fading. Here, we show that silicon nanowire battery electrodes circumvent these issues as they can accommodate large strain without pulverization, provide good electronic contact and conduction, and display short lithium insertion distances. We achieved the theoretical charge capacity for silicon anodes and maintained a discharge capacity close to 75% of this maximum, with little fading during cycling.

  15. Monolithic electrically injected nanowire array edge-emitting laser on (001) silicon

    KAUST Repository

    Frost, Thomas; Jahangir, Shafat; Stark, Ethan; Deshpande, Saniya; Hazari, Arnab Shashi; Zhao, Chao; Ooi, Boon S.; Bhattacharya, Pallab K.

    2014-01-01

    A silicon-based laser, preferably electrically pumped, has long been a scientific and engineering goal. We demonstrate here, for the first time, an edge-emitting InGaN/GaN disk-in-nanowire array electrically pumped laser emitting in the green (λ = 533 nm) on (001) silicon substrate. The devices display excellent dc and dynamic characteristics with values of threshold current density, differential gain, T0 and small signal modulation bandwidth equal to 1.76 kA/cm2, 3 × 10-17 cm2, 232 K, and 5.8 GHz respectively under continuous wave operation. Preliminary reliability measurements indicate a lifetime of 7000 h. The emission wavelength can be tuned by varying the alloy composition in the quantum disks. The monolithic nanowire laser on (001)Si can therefore address wide-ranging applications such as solid state lighting, displays, plastic fiber communication, medical diagnostics, and silicon photonics. © 2014 American Chemical Society.

  16. Monolithic electrically injected nanowire array edge-emitting laser on (001) silicon

    KAUST Repository

    Frost, Thomas

    2014-08-13

    A silicon-based laser, preferably electrically pumped, has long been a scientific and engineering goal. We demonstrate here, for the first time, an edge-emitting InGaN/GaN disk-in-nanowire array electrically pumped laser emitting in the green (λ = 533 nm) on (001) silicon substrate. The devices display excellent dc and dynamic characteristics with values of threshold current density, differential gain, T0 and small signal modulation bandwidth equal to 1.76 kA/cm2, 3 × 10-17 cm2, 232 K, and 5.8 GHz respectively under continuous wave operation. Preliminary reliability measurements indicate a lifetime of 7000 h. The emission wavelength can be tuned by varying the alloy composition in the quantum disks. The monolithic nanowire laser on (001)Si can therefore address wide-ranging applications such as solid state lighting, displays, plastic fiber communication, medical diagnostics, and silicon photonics. © 2014 American Chemical Society.

  17. Strain and thermal conductivity in ultrathin suspended silicon nanowires

    Science.gov (United States)

    Fan, Daniel; Sigg, Hans; Spolenak, Ralph; Ekinci, Yasin

    2017-09-01

    We report on the uniaxial strain and thermal conductivity of well-ordered, suspended silicon nanowire arrays between 10 to 20 nm width and 22 nm half-pitch, fabricated by extreme-ultraviolet (UV) interference lithography. Laser-power-dependent Raman spectroscopy showed that nanowires connected monolithically to the bulk had a consistent strain of ˜0.1 % , whereas nanowires clamped by metal exhibited variability and high strain of up to 2.3%, having implications in strain engineering of nanowires. The thermal conductivity at room temperature was measured to be ˜1 W /m K for smooth nanowires and ˜0.1 W /m K for rougher ones, similar to results by other investigators. We found no modification of the bulk properties in terms of intrinsic scattering, and therefore, the decrease in thermal conductivity is mainly due to boundary scattering. Different types of surface roughness, such as constrictions and line-edge roughness, may play roles in the scattering of phonons of different wavelengths. Such low thermal conductivities would allow for very efficient thermal energy harvesting, approaching and passing values achieved by state-of-the-art thermoelectric materials.

  18. Synthesis and investigation of silicon carbide nanowires by HFCVD

    Indian Academy of Sciences (India)

    We found that increasing substrate temperature increases silicon and oxygen doping amount. We also found that electrical resistivity and surface roughness increased by increasing substrate temperature. This study showed that SiC nanowires with high density grew on the free catalyst glass substrate, and the alignment of ...

  19. Electronic transport mechanisms in scaled gate-all-around silicon nanowire transistor arrays

    Energy Technology Data Exchange (ETDEWEB)

    Clément, N., E-mail: nicolas.clement@iemn.univ-lille1.fr, E-mail: guilhem.larrieu@laas.fr; Han, X. L. [Institute of Electronics, Microelectronics and Nanotechnology, CNRS, Avenue Poincaré, 59652 Villeneuve d' Ascq (France); Larrieu, G., E-mail: nicolas.clement@iemn.univ-lille1.fr, E-mail: guilhem.larrieu@laas.fr [Laboratory for Analysis and Architecture of Systems (LAAS), CNRS, Universite de Toulouse, 7 Avenue Colonel Roche, 31077 Toulouse (France)

    2013-12-23

    Low-frequency noise is used to study the electronic transport in arrays of 14 nm gate length vertical silicon nanowire devices. We demonstrate that, even at such scaling, the electrostatic control of the gate-all-around is sufficient in the sub-threshold voltage region to confine charges in the heart of the wire, and the extremely low noise level is comparable to that of high quality epitaxial layers. Although contact noise can already be a source of poor transistor operation above threshold voltage for few nanowires, nanowire parallelization drastically reduces its impact.

  20. Layered structure in core–shell silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Van Tuan, Pham [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam); Anh Tuan, Chu; Thanh Thuy, Tran; Binh Nam, Vu [Institute of Materials Science (IMS), Vietnamese Academy of Science and Technology (VAST), 18 Hoang Quoc Viet Street, Hanoi 10000 (Viet Nam); Toan Thang, Pham [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam); Hong Duong, Pham, E-mail: duongphamhong@yahoo.com [Institute of Materials Science (IMS), Vietnamese Academy of Science and Technology (VAST), 18 Hoang Quoc Viet Street, Hanoi 10000 (Viet Nam); Thanh Huy, Pham, E-mail: huy.phamthanh@hust.edu.vn [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam)

    2014-10-15

    Silicon nanowires (NWs) with core–shell structures were prepared using the Vapor–Liquid–Solid (VLS) method. The wires have lengths of several hundreds of nanometers and diameters in the range of 30–50 nm. Generally, these wires are too large to exhibit the quantum confinement effect of excitons in Si nanocrystals. However, the photoluminescence (PL) and Raman spectra are similar to those of nanocrystalline silicon embedded in a SiO{sub 2} matrix, in which the recombination of quantum-confined excitons plays an important role. This effect occurs only when the average size of the silicon nanocrystals is smaller than 5 nm. To understand this discrepancy, TEM images of nanowires were obtained and analyzed. The results revealed that the cores of wires have a layered Si/SiO{sub 2} structure, in which the thickness of each layer is much smaller than its diameter. The temperature dependence of the PL intensity was recorded from 11 to 300 K; the result is in good agreement with a model that takes into account the energy splitting between the excitonic singlet and triplet levels. - Highlights: • The cores of the Si NWs have a layered Si/SiO{sub 2} structure. • The Si NWs were formed due to the phase separation of Si and SiO{sub 2} and the partial oxidization by residual oxygen. • Two processes, the reaction of Si and oxygen atoms and the combination between Si atoms, occur simultaneously. • The formation of the layered structures is associated with the self-limiting oxidation phenomenon in Si nanostructures.

  1. Growth and properties of In(Ga)As nanowires on silicon

    International Nuclear Information System (INIS)

    Hertenberger, Simon

    2012-01-01

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO x -masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO 2 masked Si(111) substrates is demonstrated which is needed for ultimate control of nanowire

  2. Growth and properties of In(Ga)As nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hertenberger, Simon

    2012-10-15

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO{sub x}-masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO{sub 2} masked Si(111) substrates is demonstrated which is needed for ultimate control of

  3. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    Science.gov (United States)

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  4. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel; Al-Jabr, Ahmad; Alsunaidi, Mohammad; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET

  5. Potential of silicon nanowires structures as nanoscale piezoresistors in mechanical sensors

    International Nuclear Information System (INIS)

    Messina, M; Njuguna, J

    2012-01-01

    This paper presents the design of a single square millimeter 3-axial accelerometer for bio-mechanics measurements that exploit the potential of silicon nanowires structures as nanoscale piezoresistors. The main requirements of this application are miniaturization and high measurement accuracy. Nanowires as nanoscale piezoresistive devices have been chosen as sensing element, due to their high sensitivity and miniaturization achievable. By exploiting the electro-mechanical features of nanowires as nanoscale piezoresistors, the nominal sensor sensitivity is overall boosted by more than 30 times. This approach allows significant higher accuracy and resolution with smaller sensing element in comparison with conventional devices without the need of signal amplification.

  6. Wavelength conversion of 80 Gb/s RZ-DPSK Pol-MUX signals in a silicon nanowire

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Peucheret, Christophe; Oxenløwe, Leif Katsuo

    2014-01-01

    All-optical wavelength conversion of 80 Gb/s RZ-DPSK polarization multiplexed signals is demonstrated in a silicon nanowire using an angled-pump scheme. The quality of the converted signal is characterized through BER measurements for the first time.......All-optical wavelength conversion of 80 Gb/s RZ-DPSK polarization multiplexed signals is demonstrated in a silicon nanowire using an angled-pump scheme. The quality of the converted signal is characterized through BER measurements for the first time....

  7. All-Optical Wavelength Conversion of a High-Speed RZ-OOK Signal in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Hu, Hao; Ji, Hua; Galili, Michael

    2011-01-01

    All-optical wavelength conversion of a 320 Gb/s line-rate RZ-OOK signal is demonstrated based on four-wave mixing in a 3.6 mm long silicon nanowire. Bit error rate measurements validate the performance within FEC limits.......All-optical wavelength conversion of a 320 Gb/s line-rate RZ-OOK signal is demonstrated based on four-wave mixing in a 3.6 mm long silicon nanowire. Bit error rate measurements validate the performance within FEC limits....

  8. Translating silicon nanowire BioFET sensor-technology to embedded point-of-care medical diagnostics

    DEFF Research Database (Denmark)

    Pfreundt, Andrea; Zulfiqar, Azeem; Patou, François

    2013-01-01

    Silicon nanowire and nanoribbon biosensors have shown great promise in the detection of biomarkers at very low concentrations. Their high sensitivity makes them ideal candidates for use in early-stage medical diagnostics and further disease monitoring where low amounts of biomarkers need to be de......Silicon nanowire and nanoribbon biosensors have shown great promise in the detection of biomarkers at very low concentrations. Their high sensitivity makes them ideal candidates for use in early-stage medical diagnostics and further disease monitoring where low amounts of biomarkers need...... to be detected. However, in order to translate this technology from the bench to the bedside, a number of key issues need to be taken into consideration: Integrating nanobiosensors-based technology requires to overcome the difficult tradeoff between imperatives for high device reproducibilty and associated...... rising fabrication costs. Also the translation of nano-scale sensor technology into daily-use point-of-care devices requires acknowledgement of the end-user requirements, making device portability and human-interfacing a focus point in device development. Sample handling or purification for instance...

  9. Crosstalk analysis of silicon-on-insulator nanowire-arrayed waveguide grating

    International Nuclear Information System (INIS)

    Li Kai-Li; An Jun-Ming; Zhang Jia-Shun; Wang Yue; Wang Liang-Liang; Li Jian-Guang; Wu Yuan-Da; Yin Xiao-Jie; Hu Xiong-Wei

    2016-01-01

    The factors influencing the crosstalk of silicon-on-insulator (SOI) nanowire arrayed waveguide grating (AWG) are analyzed using the transfer function method. The analysis shows that wider and thicker arrayed waveguides, outsider fracture of arrayed waveguide, and larger channel space, could mitigate the deterioration of crosstalk. The SOI nanowire AWGs with different arrayed waveguide widths are fabricated by using deep ultraviolet lithography (DUV) and inductively coupled plasma etching (ICP) technology. The measurement results show that the crosstalk performance is improved by about 7 dB through adopting 800 nm arrayed waveguide width. (paper)

  10. Broadband Polarization-Insensitive Wavelength Conversion Based on Non-Degenerate Four-Wave Mixing in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    We experimentally demonstrate broadband polarization-insensitive one-to-two wavelength conversion of a 10-Gb/s DPSK data signal based on non-degenerate four-wave mixing in a silicon nanowire with bit-error rate measurements.......We experimentally demonstrate broadband polarization-insensitive one-to-two wavelength conversion of a 10-Gb/s DPSK data signal based on non-degenerate four-wave mixing in a silicon nanowire with bit-error rate measurements....

  11. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    Science.gov (United States)

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  12. Synthesis and properties of silicon nanowire devices

    Science.gov (United States)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  13. Ultra-low reflection porous silicon nanowires for solar cell applications

    KAUST Repository

    Najar, Adel; Charrier, Joë l; Pirasteh, Parastesh; Sougrat, Rachid

    2012-01-01

    % reflectivity of the starting silicon wafer drops to 0.1% recorded for more than 10 μm long PSiNWs. Models based on cone shape of nanowires located in a circular and rectangular bases were used to calculate the reflectance employing the Transfert Matrix

  14. Localized synthesis, assembly and integration of silicon nanowires

    Science.gov (United States)

    Englander, Ongi

    Localized synthesis, assembly and integration of one-dimensional silicon nanowires with MEMS structures is demonstrated and characterized in terms of local synthesis processes, electric-field assisted self-assembly, and a proof-of-concept nanoelectromechanical system (HEMS) demonstration. Emphasis is placed on the ease of integration, process control strategies, characterization techniques and the pursuit of integrated devices. A top-down followed by a bottom-up integration approach is utilized. Simple MEMS heater structures are utilized as the microscale platforms for the localized, bottom-up synthesis of one-dimensional nanostructures. Localized heating confines the high temperature region permitting only localized nanostructure synthesis and allowing the surroundings to remain at room temperature thus enabling CMOS compatible post-processing. The vapor-liquid-solid (VLS) process in the presence of a catalytic nanoparticle, a vapor phase reactant, and a specific temperature environment is successfully employed locally. Experimentally, a 5nm thick gold-palladium layer is used as the catalyst while silane is the vapor phase reactant. The current-voltage behavior of the MEMS structures can be correlated to the approximate temperature range required for the VLS reaction to take place. Silicon nanowires averaging 45nm in diameter and up to 29mum in length synthesized at growth rates of up to 1.5mum/min result. By placing two MEMS structures in close proximity, 4--10mum apart, localized silicon nanowire growth can be used to link together MEMS structures to yield a two-terminal, self-assembled micro-to-nano system. Here, one MEMS structure is designated as the hot growth structure while a nearby structure is designated as the cold secondary structure, whose role is to provide a natural stopping point for the VLS reaction. The application of a localized electric-field, 5 to 13V/mum in strength, during the synthesis process, has been shown to improve nanowire

  15. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    Science.gov (United States)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  16. Nanowire-integrated microporous silicon membrane for continuous fluid transport in micro cooling device

    International Nuclear Information System (INIS)

    So, Hongyun; Pisano, Albert P.; Cheng, Jim C.

    2013-01-01

    We report an efficient passive micro pump system combining the physical properties of nanowires and micropores. This nanowire-integrated microporous silicon membrane was created to feed coolant continuously onto the surface of the wick in a micro cooling device to ensure it remains hydrated and in case of dryout, allow for regeneration of the system. The membrane was fabricated by photoelectrochemical etching to form micropores followed by hydrothermal growth of nanowires. This study shows a promising approach to address thermal management challenges for next generation electronic devices with absence of external power

  17. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  18. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    Science.gov (United States)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  19. Ion-step method for surface potential sensing of silicon nanowires

    NARCIS (Netherlands)

    Chen, S.; van Nieuwkasteele, Jan William; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    This paper presents a novel stimulus-response method for surface potential sensing of silicon nanowire (Si NW) field-effect transistors. When an "ion-step" from low to high ionic strength is given as a stimulus to the gate oxide surface, an increase of double layer capacitance is therefore expected.

  20. A comprehensive study of thermoelectric and transport properties of β-silicon carbide nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Valentín, L. A.; Betancourt, J.; Fonseca, L. F., E-mail: luis.fonseca@upr.edu [Department of Physics University of Puerto Rico, Rio Piedras (Puerto Rico); Pettes, M. T.; Shi, L. [Department of Mechanical Engineering, The University of Texas at Austin, Texas 78712 (United States); Soszyński, M.; Huczko, A. [Department of Chemistry, Warsaw University, Pasteur 1 Str., 02-093 Warsaw (Poland)

    2013-11-14

    The temperature dependence of the Seebeck coefficient, the electrical and thermal conductivities of individual β-silicon carbide nanowires produced by combustion in a calorimetric bomb were studied using a suspended micro-resistance thermometry device that allows four-point probe measurements to be conducted on each nanowire. Additionally, crystal structure and growth direction for each measured nanowire was directly obtained by transmission electron microscopy analysis. The Fermi level, the carrier concentration, and mobility of each nanostructure were determined using a combination of Seebeck coefficient and electrical conductivity measurements, energy band structure and transport theory calculations. The temperature dependence of the thermal and electrical conductivities of the nanowires was explained in terms of contributions from boundary, impurity, and defect scattering.

  1. Large-size, high-uniformity, random silver nanowire networks as transparent electrodes for crystalline silicon wafer solar cells.

    Science.gov (United States)

    Xie, Shouyi; Ouyang, Zi; Jia, Baohua; Gu, Min

    2013-05-06

    Metal nanowire networks are emerging as next generation transparent electrodes for photovoltaic devices. We demonstrate the application of random silver nanowire networks as the top electrode on crystalline silicon wafer solar cells. The dependence of transmittance and sheet resistance on the surface coverage is measured. Superior optical and electrical properties are observed due to the large-size, highly-uniform nature of these networks. When applying the nanowire networks on the solar cells with an optimized two-step annealing process, we achieved as large as 19% enhancement on the energy conversion efficiency. The detailed analysis reveals that the enhancement is mainly caused by the improved electrical properties of the solar cells due to the silver nanowire networks. Our result reveals that this technology is a promising alternative transparent electrode technology for crystalline silicon wafer solar cells.

  2. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    International Nuclear Information System (INIS)

    Nguyen Minh, Quyen; Pujari, Sidharam P.; Wang, Bin; Wang, Zhanhua; Haick, Hossam; Zuilhof, Han; Rijn, Cees J.M. van

    2016-01-01

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH 2 ) 6 C 8 H 17−x F x ; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C 16 H 30−x F x ) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  3. Tunable electronic transport properties of silicon-fullerene-linked nanowires: Semiconductor, conducting wire, and tunnel diode

    OpenAIRE

    Nishio, Kengo; Ozaki, Taisuke; Morishita, Tetsuya; Mikami, Masuhiro

    2010-01-01

    We explore the possibility of controllable tuning of the electronic transport properties of silicon-fullerene-linked nanowires by encapsulating guest atoms into their cages. Our first-principles calculations demonstrate that the guest-free nanowires are semiconductors, and do not conduct electricity. The iodine or sodium doping improves the transport properties, and makes the nanowires metallic. In the junctions of I-doped and Na-doped NWs, the current travels through the boundary by quantum ...

  4. Thermal conductivity engineering in width-modulated silicon nanowires and thermoelectric efficiency enhancement

    Science.gov (United States)

    Zianni, Xanthippi

    2018-03-01

    Width-modulated nanowires have been proposed as efficient thermoelectric materials. Here, the electron and phonon transport properties and the thermoelectric efficiency are discussed for dimensions above the quantum confinement regime. The thermal conductivity decreases dramatically in the presence of thin constrictions due to their ballistic thermal resistance. It shows a scaling behavior upon the width-modulation rate that allows for thermal conductivity engineering. The electron conductivity also decreases due to enhanced boundary scattering by the constrictions. The effect of boundary scattering is weaker for electrons than for phonons and the overall thermoelectric efficiency is enhanced. A ZT enhancement by a factor of 20-30 is predicted for width-modulated nanowires compared to bulk silicon. Our findings indicate that width-modulated nanostructures are promising for developing silicon nanostructures with high thermoelectric efficiency.

  5. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    Science.gov (United States)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  6. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    International Nuclear Information System (INIS)

    Ozdemir, Baris; Unalan, Husnu Emrah; Kulakci, Mustafa; Turan, Rasit

    2011-01-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 μm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  7. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  8. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  9. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia

    2012-11-26

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  10. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia; Palard, Marylene; Mathew, Leo; Hussain, Muhammad Mustafa; Willson, Grant Grant; Tutuc, Emanuel; Banerjee, Sanjay Kumar

    2012-01-01

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  11. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    Science.gov (United States)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  12. Silicon nanowire field-effect transistors for the detection of proteins

    Science.gov (United States)

    Madler, Carsten

    In this dissertation I present results on our efforts to increase the sensitivity and selectivity of silicon nanowire ion-sensitive field-effect transistors for the detection of biomarkers, as well as a novel method for wireless power transfer based on metamaterial rectennas for their potential use as implantable sensors. The sensing scheme is based on changes in the conductance of the semiconducting nanowires upon binding of charged entities to the surface, which induces a field-effect. Monitoring the differential conductance thus provides information of the selective binding of biological molecules of interest to previously covalently linked counterparts on the nanowire surface. In order to improve on the performance of the nanowire sensing, we devised and fabricated a nanowire Wheatstone bridge, which allows canceling out of signal drift due to thermal fluctuations and dynamics of fluid flow. We showed that balancing the bridge significantly improves the signal-to-noise ratio. Further, we demonstrated the sensing of novel melanoma biomarker TROY at clinically relevant concentrations and distinguished it from nonspecific binding by comparing the reaction kinetics. For increased sensitivity, an amplification method was employed using an enzyme which catalyzes a signal-generating reaction by changing the redox potential of a redox pair. In addition, we investigated the electric double layer, which forms around charges in an electrolytic solution. It causes electrostatic screening of the proteins of interest, which puts a fundamental limitation on the biomarker detection in solutions with high salt concentrations, such as blood. We solved the coupled Nernst-Planck and Poisson equations for the electrolyte under influence of an oscillating electric field and discovered oscillations of the counterion concentration at a characteristic frequency. In addition to exploring different methods for improved sensing capabilities, we studied an innovative method to supply power

  13. Relaxing the electrostatic screening effect by patterning vertically-aligned silicon nanowire arrays into bundles for field emission application

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Yung-Jr, E-mail: yungjrhung@gmail.com [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Department of Photonics, National Sun Yat-sen University, No. 70, Lienhai Rd., Kaohsiung 80424, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Lee, San-Liang [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Beng, Looi Choon [Faculty of Engineering, Multimedia University, Jalan Multimedia, 63100 Cyberjaya, Selangor (Malaysia); Chang, Hsuan-Chen [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Huang, Yung-Jui [Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Lee, Kuei-Yi; Huang, Ying-Sheng [Department of Electronic Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China); Graduate Institute of Electro-Optical Engineering, National Taiwan University of Science and Technology, No. 43, Sec. 4, Keelung Rd., Taipei 106, Taiwan, ROC (China)

    2014-04-01

    Top-down fabrication strategies are proposed and demonstrated to realize arrays of vertically-aligned silicon nanowire bundles and bundle arrays of carbon nanotube–silicon nanowire (CNT–SiNW) heterojunctions, aiming for releasing the electrostatic screening effect and improving the field emission characteristics. The trade-off between the reduction in the electrostatic screening effect and the decrease of emission sites leads to an optimal SiNW bundle arrangement which enables the lowest turn-on electric field of 1.4 V/μm and highest emission current density of 191 μA/cm{sup 2} among all testing SiNW samples. Benefiting from the superior thermal and electrical properties of CNTs and the flexible patterning technologies available for SiNWs, bundle arrays of CNT–SiNW heterojunctions show improved and highly-uniform field emission with a lower turn-on electric field of 0.9 V/μm and higher emission current density of 5.86 mA/cm{sup 2}. The application of these materials and their corresponding fabrication approaches is not limited to the field emission but can be used for a variety of emerging fields like nanoelectronics, lithium-ion batteries, and solar cells. - Highlights: • Aligned silicon nanowire (SiNW) bundle arrays are realized with top-down methods. • Growing carbon nanotubes atop SiNW bundle arrays enable uniform field emission. • A turn-on field of 0.9 V/μm and an emission current of > 5 mA/cm{sup 2} are achieved.

  14. Optical biosensor based on a silicon nanowire ridge waveguide for lab on chip applications

    International Nuclear Information System (INIS)

    Gamal, Rania; Ismail, Yehea; Swillam, Mohamed A

    2015-01-01

    We propose a novel sensor using a silicon nanowire ridge waveguide (SNRW). This waveguide is comprised of an array of silicon nanowires on an insulator substrate that has the envelope of a ridge waveguide. The SNRW inherently maximizes the overlap between the material-under-test and the incident light wave by introducing voids to the otherwise bulk structure. When a sensing sample is injected, the voids within the SNRW adopt the refractive index of the material-under-test. Hence, the strong contribution of the material-under-test to the overall modal effective index will greatly augment the sensitivity. Additionally, the ridge structure provides a fabrication convenience as it covers the entire substrate, ensuring that the etching process would not damage the substrate. Finite-difference time-domain simulations are conducted and showed that the percentage change in the effective index due to a 1% change in the surrounding environment is more than 170 times the change perceived in an evanescent-detection based bulk silicon ridge waveguide. Moreover, the SNRW proves to be more sensitive than recent other, non-evanescent sensors. In addition, the detection limit for this structure was revealed to be as small as 10 −8 . A compact bimodal waveguide based on SNRW is designed and tested. It delivers high sensitivity values that offer comparable performance to similar low-index light-guiding sensing configurations; however, our proposed structure has much smaller footprints and allows high dense integration for lab-on-chip applications. (paper)

  15. All-Optical 40 Gbit/s Regenerative Wavelength Conversion Based on Cross-Phase Modulation in a Silicon Nanowire

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup; Hu, Hao; Ji, Hua

    2013-01-01

    We successfully demonstrate all-optical regeneration of a 40 Gbit/s signal based on cross-phase modulation in a silicon nanowire. Bit-error-rate measurements show an average of 1.7dB improvement in receiver sensitivity after the regeneration.......We successfully demonstrate all-optical regeneration of a 40 Gbit/s signal based on cross-phase modulation in a silicon nanowire. Bit-error-rate measurements show an average of 1.7dB improvement in receiver sensitivity after the regeneration....

  16. Critical Role of Diels-Adler Adducts to Realise Stretchable Transparent Electrodes Based on Silver Nanowires and Silicone Elastomer

    Science.gov (United States)

    Heo, Gaeun; Pyo, Kyoung-Hee; Lee, Da Hee; Kim, Youngmin; Kim, Jong-Woong

    2016-05-01

    This paper presents the successful fabrication of a transparent electrode comprising a sandwich structure of silicone/Ag nanowires (AgNWs)/silicone equipped with Diels-Alder (DA) adducts as crosslinkers to realise highly stable stretchability. Because of the reversible DA reaction, the crosslinked silicone successfully bonds with the silicone overcoat, which should completely seal the electrode. Thus, any surrounding liquid cannot leak through the interfaces among the constituents. Furthermore, the nanowires are protected by the silicone cover when they are stressed by mechanical loads such as bending, folding, and stretching. After delicate optimisation of the layered silicone/AgNW/silicone sandwich structure, a stretchable transparent electrode which can withstand 1000 cycles of 50% stretching-releasing with an exceptionally high stability and reversibility was fabricated. This structure can be used as a transparent strain sensor; it possesses a strong piezoresistivity with a gauge factor greater than 11.

  17. Fluorinated alkyne-derived monolayers on oxide-free silicon nanowires via one-step hydrosilylation

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen Minh, Quyen [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Nanosens, IJsselkade 7, 7201 HB Zutphen (Netherlands); Pujari, Sidharam P. [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Wang, Bin [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Wang, Zhanhua [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Haick, Hossam [The Department of Chemical Engineering and Russell Berrie Nanotechnology Institute, Technion – Israel Institute of Technology, Haifa 3200003 (Israel); Zuilhof, Han [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands); Rijn, Cees J.M. van, E-mail: cees.vanrijn@wur.nl [Laboratory of Organic Chemistry, Wageningen University, Stippeneng 4, 6708 WE Wageningen (Netherlands)

    2016-11-30

    Highlights: • Oxide-free H-terminated silicon nanowires undergo efficient surface modification by reaction with fluorinated 1-alkynes (HC≡C−(CH{sub 2}){sub 6}C{sub 8}H{sub 17−x}F{sub x}; x = 0–17). • These surface-modified Si NWs are chemically stable under range of conditions (including acid, base). • The surface coating yields efficient electrical passivation as demonstrated by a near-zero electrochemical activity of the surface. - Abstract: Passivation of oxide-free silicon nanowires (Si NWs) by the formation of high-quality fluorinated 1-hexadecyne-derived monolayers with varying fluorine content has been investigated. Alkyl chain monolayers (C{sub 16}H{sub 30−x}F{sub x}) with a varying number of fluorine substituents (x = 0, 1, 3, 9, 17) were attached onto hydrogen-terminated silicon (Si−H) surfaces with an effective one-step hydrosilylation. This surface chemistry gives well-defined monolayers on nanowires that have a cylindrical core–shell structure, as characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR) and static contact angle (SCA) analysis. The monolayers were stable under acidic and basic conditions, as well as under extreme conditions (such as UV exposure), and provide excellent surface passivation, which opens up applications in the fields of field effect transistors, optoelectronics and especially for disease diagnosis.

  18. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET) in the transmission electron microscope (TEM). The PSiNWs give strong photoluminescence peak at red wavelength. Ultra-low reflectance of <5% span over wavelength 250 nm to 1050 nm has been measured. The finite-difference time-domain (FDTD) method has been employed to model the optical reflectance for both Si wafer and PSiNWs. Our calculation results are in agreement with the measured reflectance from nanowires length of 6 µm and 60% porosity. The low reflectance is attributed to the effective graded index of PSiNWs and enhancement of multiple optical scattering from the pores and nanowires. PSiNW structures with low surface reflectance can potentially serve as an antireflection layer for Si-based photovoltaic devices.

  19. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    Energy Technology Data Exchange (ETDEWEB)

    Llobet, Jordi; Pérez-Murano, Francesc, E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk [Institut de Microelectrònica de Barcelona (IMB-CNM CSIC), Campus UAB, E-08193 Bellaterra, Catalonia (Spain); Krali, Emiljana; Wang, Chen; Jones, Mervyn E.; Durrani, Zahid A. K., E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk [Department of Electrical and Electronic Engineering, Imperial College London, South Kensington, London SW7 2AZ (United Kingdom); Arbiol, Jordi [Institució Catalana de Recerca i Estudis Avançats (ICREA) and Institut Català de Nanociència i Nanotecnologia (ICN2), Campus UAB, 08193 Bellaterra, Catalonia (Spain); CELLS-ALBA Synchrotron Light Facility, 08290 Cerdanyola, Catalonia (Spain)

    2015-11-30

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions, in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations.

  20. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    International Nuclear Information System (INIS)

    Llobet, Jordi; Pérez-Murano, Francesc; Krali, Emiljana; Wang, Chen; Jones, Mervyn E.; Durrani, Zahid A. K.; Arbiol, Jordi

    2015-01-01

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions, in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations

  1. Fabricating a silicon nanowire by using the proximity effect in electron beam lithography for investigation of the Coulomb blockade effect

    International Nuclear Information System (INIS)

    Zhang Xiangao; Fang Zhonghui; Chen Kunji; Xu Jun; Huang Xinfan

    2011-01-01

    We present an approach to fabricate a silicon nanowire relying on the proximity effect in electron beam lithography with a low acceleration voltage system by designing the exposure patterns with a rhombus sandwiched between two symmetric wedges. The reproducibility is investigated by changing the number of rhombuses. A device with a silicon nanowire is constructed on a highly doped silicon-on-insulator wafer to measure the electronic transport characteristics. Significant nonlinear behavior of current-voltage curves is observed at up to 150 K. The dependence of current on the drain voltage and back-gate voltage shows Coulomb blockade oscillations at 5.4 K, revealing a Coulomb island naturally formed in the nanowire. The mechanism of formation of the Coulomb island is discussed.

  2. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  3. Origin of photoluminescence from silicon nanowires prepared by metal induced etching (MIE)

    International Nuclear Information System (INIS)

    Saxena, Shailendra K.; Rai, Hari. M.; Late, Ravikiran; Sagdeo, Pankaj R.; Kumar, Rajesh

    2015-01-01

    In this present study the origin of luminescence from silicon nanowires (SiNws) has been studied. SiNWs are fabricated on Si substrate by metal induced chemical etching (MIE). Here it is found that the band gap of SiNWs is higher than the gap of luminescent states in SiNWs which leads to the effect of Si=O bond. The band gap is estimated from diffuse reflectance analysis. Here we observe that band gap can be tailored depending on size (quantum confinement) but photoluminescence (PL) from all the sample is found to be fixed at 1.91 eV. This study is important for the understanding of origin of photoluminescence

  4. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  5. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    Science.gov (United States)

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  6. Silicon nanowires used as the anode of a lithium-ion battery

    International Nuclear Information System (INIS)

    Prosini, Pier Paolo; Rufoloni, Alessandro; Rondino, Flaminia; Santoni, Antonino

    2014-01-01

    In this paper the synthesis and characterization of silicon nanowires to be used as the anode of a lithium-ion battery cell are reported. The nanowires were synthesized by CVD and characterized by SEM. The nanostructured material was used as an electrode in a lithium cell and its electrochemical properties were investigated by galvanostatic charge/discharge cycles at C/10 rate as a function of the cycle number and at various rates as a function of the charge current. The electrode was then coupled with a LiFePO 4 cathode to fabricate a lithium-ion battery cell and the cell performance evaluated by galvanostatic charge/discharge cycles

  7. Photoresponsive properties of ultrathin silicon nanowires

    International Nuclear Information System (INIS)

    Tran, Duy P.; Macdonald, Thomas J.; Nann, Thomas; Thierry, Benjamin; Wolfrum, Bernhard; Stockmann, Regina; Offenhäusser, Andreas

    2014-01-01

    Functional silicon nanowires (SiNWs) are promising building blocks in the design of highly sensitive photodetectors and bio-chemical sensors. We systematically investigate the photoresponse properties of ultrathin SiNWs (20 nm) fabricated using a size-reduction method based on e-beam lithography and tetramethylammonium hydroxide wet-etching. The high-quality SiNWs were able to detect light from the UV to the visible range with excellent sensitivity (∼1 pW/array), good time response, and high photoresponsivity (R ∼ 2.5 × 10 4  A/W). Improvement of the ultrathin SiNWs' photoresponse has been observed in comparison to 40 nm counter-part nanowires. These properties are attributable to the predominance surface-effect due to the high surface-to-volume ratio of ultrathin SiNWs. Long-term measurements at different temperatures in both the forward and reverse bias directions demonstrated the stability and reliability of the fabricated device. By sensitizing the fabricated SiNW arrays with cadmium telluride quantum dots (QDs), hybrid QD SiNW devices displayed an improvement in photocurrent response under UV light, while preserving their performance in the visible light range. The fast, stable, and high photoresponse of these hybrid nanostructures is promising towards the development of optoelectronic and photovoltaic devices

  8. Photoresponsive properties of ultrathin silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Duy P.; Macdonald, Thomas J.; Nann, Thomas; Thierry, Benjamin, E-mail: a.offenhaeusser@fz-juelich.de, E-mail: benjamin.thierry@unisa.edu.au [Ian Wark Research Institute, University of South Australia, Mawson Lakes Campus, MM Bldg., Mawson Lakes Blvd., Mawson Lakes, South Australia 5095 (Australia); Wolfrum, Bernhard; Stockmann, Regina; Offenhäusser, Andreas, E-mail: a.offenhaeusser@fz-juelich.de, E-mail: benjamin.thierry@unisa.edu.au [Peter Grünberg Institute, Forschungszentrum Jülich GmbH, 2.4v Bldg., Wilhelm-Johnen St., Jülich 52428 (Germany)

    2014-12-08

    Functional silicon nanowires (SiNWs) are promising building blocks in the design of highly sensitive photodetectors and bio-chemical sensors. We systematically investigate the photoresponse properties of ultrathin SiNWs (20 nm) fabricated using a size-reduction method based on e-beam lithography and tetramethylammonium hydroxide wet-etching. The high-quality SiNWs were able to detect light from the UV to the visible range with excellent sensitivity (∼1 pW/array), good time response, and high photoresponsivity (R ∼ 2.5 × 10{sup 4 }A/W). Improvement of the ultrathin SiNWs' photoresponse has been observed in comparison to 40 nm counter-part nanowires. These properties are attributable to the predominance surface-effect due to the high surface-to-volume ratio of ultrathin SiNWs. Long-term measurements at different temperatures in both the forward and reverse bias directions demonstrated the stability and reliability of the fabricated device. By sensitizing the fabricated SiNW arrays with cadmium telluride quantum dots (QDs), hybrid QD SiNW devices displayed an improvement in photocurrent response under UV light, while preserving their performance in the visible light range. The fast, stable, and high photoresponse of these hybrid nanostructures is promising towards the development of optoelectronic and photovoltaic devices.

  9. Functionalization and microfluidic integration of silicon nanowire biologically gated field effect transistors

    DEFF Research Database (Denmark)

    Pfreundt, Andrea

    This thesis deals with the development of a novel biosensor for the detection of biomolecules based on a silicon nanowire biologically gated field-effect transistor and its integration into a point-of-care device. The sensor and electrical on-chip integration was developed in a different project...

  10. Functionalization and microfluidic integration of silicon nanowire biologically gated field effect transistors

    DEFF Research Database (Denmark)

    Pfreundt, Andrea; Svendsen, Winnie Edith; Dimaki, Maria

    2016-01-01

    This thesis deals with the development of a novel biosensor for the detection of biomolecules based on a silicon nanowire biologically gated field-effect transistor and its integration into a point-of-care device. The sensor and electrical on-chip integration was developed in a different project...

  11. Specific and reversible immobilization of histidine-tagged proteins on functionalized silicon nanowires

    DEFF Research Database (Denmark)

    Liu, Yi-Chi; Rieben, Nathalie Ines; Iversen, Lars

    2010-01-01

    Silicon nanowire (Si NW)-based field effect transistors (FETs) have shown great potential as biosensors (bioFETs) for ultra-sensitive and label-free detection of biomolecular interactions. Their sensitivity depends not only on the device properties, but also on the function of the biological reco...

  12. High density micro-pyramids with silicon nanowire array for photovoltaic applications

    International Nuclear Information System (INIS)

    Rahman, Tasmiat; Navarro-Cía, Miguel; Fobelets, Kristel

    2014-01-01

    We use a metal assisted chemical etch process to fabricate silicon nanowire arrays (SiNWAs) onto a dense periodic array of pyramids that are formed using an alkaline etch masked with an oxide layer. The hybrid micro-nano structure acts as an anti-reflective coating with experimental reflectivity below 1% over the visible and near-infrared spectral regions. This represents an improvement of up to 11 and 14 times compared to the pyramid array and SiNWAs on bulk, respectively. In addition to the experimental work, we optically simulate the hybrid structure using a commercial finite difference time domain package. The results of the optical simulations support our experimental work, illustrating a reduced reflectivity in the hybrid structure. The nanowire array increases the absorbed carrier density within the pyramid by providing a guided transition of the refractive index along the light path from air into the silicon. Furthermore, electrical simulations which take into account surface and Auger recombination show an efficiency increase for the hybrid structure of 56% over bulk, 11% over pyramid array and 8.5% over SiNWAs. (paper)

  13. Fabrication and evaluation of series-triple quantum dots by thermal oxidation of silicon nanowire

    International Nuclear Information System (INIS)

    Uchida, Takafumi; Jo, Mingyu; Tsurumaki-Fukuchi, Atsushi; Arita, Masashi; Takahashi, Yasuo; Fujiwara, Akira

    2015-01-01

    Series-connected triple quantum dots were fabricated by a simple two-step oxidation technique using the pattern-dependent oxidation of a silicon nanowire and an additional oxidation of the nanowire through the gap of the fine gates attached to the nanowire. The characteristics of multi-dot single-electron devices are obtained. The formation of each quantum dot beneath an attached gate is confirmed by analyzing the electrical characteristics and by evaluating the gate capacitances between all pairings of gates and quantum dots. Because the gate electrode is automatically attached to each dot, the device structure benefits from scalability. This technique promises integrability of multiple quantum dots with individual control gates

  14. All-(111) surface silicon nanowire field effect transistor devices: Effects of surface preparations

    NARCIS (Netherlands)

    Masood, M.N.; Carlen, Edwin; van den Berg, Albert

    2014-01-01

    Etching/hydrogen termination of All-(111) surface silicon nanowire field effect (SiNW-FET) devices developed by conventional photolithography and plane dependent wet etchings is studied with X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM) and

  15. Electrical Control of g-Factor in a Few-Hole Silicon Nanowire MOSFET.

    Science.gov (United States)

    Voisin, B; Maurand, R; Barraud, S; Vinet, M; Jehl, X; Sanquer, M; Renard, J; De Franceschi, S

    2016-01-13

    Hole spins in silicon represent a promising yet barely explored direction for solid-state quantum computation, possibly combining long spin coherence, resulting from a reduced hyperfine interaction, and fast electrically driven qubit manipulation. Here we show that a silicon-nanowire field-effect transistor based on state-of-the-art silicon-on-insulator technology can be operated as a few-hole quantum dot. A detailed magnetotransport study of the first accessible hole reveals a g-factor with unexpectedly strong anisotropy and gate dependence. We infer that these two characteristics could enable an electrically driven g-tensor-modulation spin resonance with Rabi frequencies exceeding several hundred mega-Hertz.

  16. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    Science.gov (United States)

    2015-07-21

    Hybrid Biosensor Jieun Lee1,2, Jaeman Jang1, Bongsik Choi1, Jinsu Yoon1, Jee-Yeon Kim3, Yang-Kyu Choi3, Dong Myong Kim1, Dae Hwan Kim1 & Sung-Jin Choi1...This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response...of field-effect-transistor (FET)-based biosensors . The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential

  17. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    Science.gov (United States)

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  18. pH-controlled silicon nanowires fluorescence switch

    International Nuclear Information System (INIS)

    Mu Lixuan; Shi Wensheng; Zhang Taiping; Zhang Hongyan; She Guangwei

    2010-01-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  19. APPLIED OPTICS. Voltage-tunable circular photogalvanic effect in silicon nanowires.

    Science.gov (United States)

    Dhara, Sajal; Mele, Eugene J; Agarwal, Ritesh

    2015-08-14

    Electronic bands in crystals can support nontrivial topological textures arising from spin-orbit interactions, but purely orbital mechanisms can realize closely related dynamics without breaking spin degeneracies, opening up applications in materials containing only light elements. One such application is the circular photogalvanic effect (CPGE), which is the generation of photocurrents whose magnitude and polarity depend on the chirality of optical excitation. We show that the CPGE can arise from interband transitions at the metal contacts to silicon nanowires, where inversion symmetry is locally broken by an electric field. Bias voltage that modulates this field further controls the sign and magnitude of the CPGE. The generation of chirality-dependent photocurrents in silicon with a purely orbital-based mechanism will enable new functionalities in silicon that can be integrated with conventional electronics. Copyright © 2015, American Association for the Advancement of Science.

  20. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    Science.gov (United States)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  1. Self-bridging of vertical silicon nanowires and a universal capacitive force model for spontaneous attraction in nanostructures.

    Science.gov (United States)

    Sun, Zhelin; Wang, Deli; Xiang, Jie

    2014-11-25

    Spontaneous attractions between free-standing nanostructures have often caused adhesion or stiction that affects a wide range of nanoscale devices, particularly nano/microelectromechanical systems. Previous understandings of the attraction mechanisms have included capillary force, van der Waals/Casimir forces, and surface polar charges. However, none of these mechanisms universally applies to simple semiconductor structures such as silicon nanowire arrays that often exhibit bunching or adhesions. Here we propose a simple capacitive force model to quantitatively study the universal spontaneous attraction that often causes stiction among semiconductor or metallic nanostructures such as vertical nanowire arrays with inevitably nonuniform size variations due to fabrication. When nanostructures are uniform in size, they share the same substrate potential. The presence of slight size differences will break the symmetry in the capacitive network formed between the nanowires, substrate, and their environment, giving rise to electrostatic attraction forces due to the relative potential difference between neighboring wires. Our model is experimentally verified using arrays of vertical silicon nanowire pairs with varied spacing, diameter, and size differences. Threshold nanowire spacing, diameter, or size difference between the nearest neighbors has been identified beyond which the nanowires start to exhibit spontaneous attraction that leads to bridging when electrostatic forces overcome elastic restoration forces. This work illustrates a universal understanding of spontaneous attraction that will impact the design, fabrication, and reliable operation of nanoscale devices and systems.

  2. Crystalline-Amorphous Core−Shell Silicon Nanowires for High Capacity and High Current Battery Electrodes

    KAUST Repository

    Cui, Li-Feng; Ruffo, Riccardo; Chan, Candace K.; Peng, Hailin; Cui, Yi

    2009-01-01

    fading, has limited its applications. Designing nanoscale hierarchical structures is a novel approach to address the issues associated with the large volume changes. In this letter, we introduce a core-shell design of silicon nanowires for highpower

  3. Dynamic characterization of silicon nanowires using a terahertz optical asymmetric demultiplexer-based pump-probe scheme

    DEFF Research Database (Denmark)

    Ji, Hua; Cleary, C. S.; Dailey, J. M.

    2012-01-01

    Dynamic phase and amplitude all-optical responses of silicon nanowires are characterized using a terahertz optical asymmetric demultiplexer (TOAD) based pump-probe scheme. Ultra-fast recovery is observed for moderate pump powers....

  4. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    Science.gov (United States)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  5. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    Science.gov (United States)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  6. Photonic Torque Microscopy of the Nonconservative Force Field for Optically Trapped Silicon Nanowires

    Czech Academy of Sciences Publication Activity Database

    Irrera, A.; Maggazu, A.; Artoni, P.; Simpson, Stephen Hugh; Hanna, S.; Jones, P.H.; Priolo, F.; Gucciardi, P. G.; Marago, O.M.

    2016-01-01

    Roč. 16, č. 7 (2016), s. 4181-4188 ISSN 1530-6984 R&D Projects: GA ČR GB14-36681G Institutional support: RVO:68081731 Keywords : optical tweezers * silicon nanowires * nonequilibrium dynamics * Brownian motion Subject RIV: BH - Optics, Masers, Lasers Impact factor: 12.712, year: 2016

  7. Rare earth silicide nanowires on silicon surfaces

    International Nuclear Information System (INIS)

    Wanke, Martina

    2008-01-01

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti Γ point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi 2 -monolayer and the Dy 3 Si 5 -multilayer on the Si(111) surface are investigated in comparison to the known ErSi 2 /Si(111) and Er 3 Si 5 /Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the vector k parallel space is elliptical at the anti M points, while the hole pocket at the anti Γ point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas of the sample surface, which are oriented

  8. Reducing the porosity and reflection loss of silicon nanowires by a sticky tape

    International Nuclear Information System (INIS)

    Liu, Junjun; Huang, Zhifeng

    2015-01-01

    Engineering the porosity of silicon nanowires (SiNWs) is of fundamental importance, and this work introduces a new method for doing so. Metal-assisted chemical etching (MACE) of heavily doped Si(100) creates mesoporous silicon nanowires (mp-SiNWs). mp-SiNWs are transferred from the MACE-treated wafer to a sticky tape, leaving residues composed of broken mp-SiNWs and a mesoporous Si layer on the wafer. Then the taped wafer is re-treated by MACE, without changing the etching conditions. The second MACE treatment generates mp-SiNWs that are less porous and longer than those generated by the first MACE treatment, which can be attributed to the difference in the surface topography at the beginning of the etching process. Less porous mp-SiNWs reduce optical scattering from the porous Si skeletons, and vertically protrude on the wafer without aggregation to facilitate optical trapping. Consequently, less porous mp-SiNWs effectively reduce ultraviolet-visible reflection loss. (paper)

  9. Structure and field emission of graphene layers on top of silicon nanowire arrays

    International Nuclear Information System (INIS)

    Huang, Bohr-Ran; Chan, Hui-Wen; Jou, Shyankay; Chen, Guan-Yu; Kuo, Hsiu-An; Song, Wan-Jhen

    2016-01-01

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  10. Structure and field emission of graphene layers on top of silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Bohr-Ran; Chan, Hui-Wen [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Jou, Shyankay, E-mail: sjou@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Chen, Guan-Yu [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Kuo, Hsiu-An; Song, Wan-Jhen [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China)

    2016-01-30

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  11. Nonlinear Dynamics of Silicon Nanowire Resonator Considering Nonlocal Effect.

    Science.gov (United States)

    Jin, Leisheng; Li, Lijie

    2017-12-01

    In this work, nonlinear dynamics of silicon nanowire resonator considering nonlocal effect has been investigated. For the first time, dynamical parameters (e.g., resonant frequency, Duffing coefficient, and the damping ratio) that directly influence the nonlinear dynamics of the nanostructure have been derived. Subsequently, by calculating their response with the varied nonlocal coefficient, it is unveiled that the nonlocal effect makes more obvious impacts at the starting range (from zero to a small value), while the impact of nonlocal effect becomes weaker when the nonlocal term reaches to a certain threshold value. Furthermore, to characterize the role played by nonlocal effect in exerting influence on nonlinear behaviors such as bifurcation and chaos (typical phenomena in nonlinear dynamics of nanoscale devices), we have calculated the Lyapunov exponents and bifurcation diagram with and without nonlocal effect, and results shows the nonlocal effect causes the most significant effect as the device is at resonance. This work advances the development of nanowire resonators that are working beyond linear regime.

  12. Synthesis of the cactus-like silicon nanowires/tungsten oxide nanowires composite for room-temperature NO{sub 2} gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Weiyi, E-mail: zhangweiyi@tju.edu.cn [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Hu, Ming [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Key Laboratory for Advanced Ceramics and Machining Technology, Ministry of Education, School of Materials Science and Engineering, Tianjin University, Tianjin 300072 (China); Liu, Xing; Wei, Yulong; Li, Na [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Qin, Yuxiang, E-mail: qinyuxiang@tju.edu.cn [School of Electronic Information Engineering, Tianjin University, Tianjin, 300072 (China); Key Laboratory for Advanced Ceramics and Machining Technology, Ministry of Education, School of Materials Science and Engineering, Tianjin University, Tianjin 300072 (China)

    2016-09-15

    In the present work, the tungsten oxide (WO{sub 3}) nanowires functionalized silicon nanowires (SiNWs) with cactus-like structure has been successfully synthesized for room-temperature NO{sub 2} detection. The novel nanocomposite was fabricated by metal-assisted chemical etching (MACE) and thermal annealing of tungsten film. The WO{sub 3} nanowires were evenly distributed from the upper to the lower part of the SiNWs, indicating excellent uniformity which is conducive to adsorption and desorption of gas molecules. The gas-sensing properties have been examined by measuring the resistance change towards 0.25–5 ppm NO{sub 2} gas. At room temperature, which is the optimum working temperature, the SiNWs/WO{sub 3} nanowires composite showed two-times higher NO{sub 2} response than that of the bare SiNWs at 2 ppm NO{sub 2}. On the contrary, the responses of composite sensors to high concentrations of other reducing gases were very low, indicating excellent selectivity. Simultaneously, the composite sensors exhibited good sensing repeatability and stability. The enhancement in gas sensing properties may be attributed to the change in width of the space charge region, which is similar to the behavior of p-n junctions under forward bias, in the high-density p-n heterojunction structure formed between SiNWs and WO{sub 3} nanowires. - Highlights: • SiNWs/WO{sub 3} nanowires composite with cactus-like structure is synthesized. • The morphology of WO{sub 3} nanowires depends on the thermal annealing temperature. • The nanocomposite sensor exhibit better gas response than that of bare SiNWs. • The gas sensing mechanism is discussed using p-n heterojunction theory.

  13. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    Energy Technology Data Exchange (ETDEWEB)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.; Feng, J.; Qadri, S. N.; Caldwell, J. D. [Materials Science and Component Technology Directorate, Naval Research Laboratory, Washington, District of Columbia 20375 (United States)

    2015-09-14

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reaction between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.

  14. Hybrid nanocomposites based on conducting polymer and silicon nanowires for photovoltaic application

    International Nuclear Information System (INIS)

    Chehata, Nadia; Ltaief, Adnen; Ilahi, Bouraoui; Salem, Bassem; Bouazizi, Abdelaziz; Maaref, Hassen; Baron, Thierry

    2014-01-01

    Hybrid nanocomposites based on a nanoscale combination of organic and inorganic semiconductors are a promising way to enhance the performance of solar cells through a higher aspect ratio of the interface and the good processability of polymers. Nanocomposites are based on a heterojunction network between poly (2-methoxy-5-(2-ethyhexyl-oxy)-p-phenylenevinylene) (MEH-PPV) as an organic electron donor and silicon nanowires (SiNWs) as an inorganic electron acceptor. Nanowires (NWs) seem to be a promising material for this purpose, as they provide a large surface area for contact with the polymer and a designated conducting pathway whilst their volume is low. In this paper, silicon nanowires are introduced by mixing them into the polymer matrix. Hybrid nanocomposites films were deposited onto ITO substrate by spin coating method. Optical properties and photocurrent response were investigated. Charge transfer between the polymer and SiNWs has been demonstrated through photoluminescence measurements. The photocurrent density of ITO/MEH-PPV:SiNWs/Al structures have been obtained by J–V characteristics. The J sc value is about 0.39 µA/cm 2 . - Highlights: • SiNWs synthesis by Vapor–Liquid–Solid (VLS) mechanism. • SiNWs contribution to absorption spectra enhancement of MEH-PPV:SiNWs nanocomposites. • Decrease of PL intensity of MEH-PPV by addition of SiNWs. • Charge transfer process was taken place. • ITO/MEH-PPV:SiNWs/Al structure shows a photovoltaic effect, with a FF of 0.32

  15. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    Science.gov (United States)

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  16. Optimal design of aperiodic, vertical silicon nanowire structures for photovoltaics.

    Science.gov (United States)

    Lin, Chenxi; Povinelli, Michelle L

    2011-09-12

    We design a partially aperiodic, vertically-aligned silicon nanowire array that maximizes photovoltaic absorption. The optimal structure is obtained using a random walk algorithm with transfer matrix method based electromagnetic forward solver. The optimal, aperiodic structure exhibits a 2.35 times enhancement in ultimate efficiency compared to its periodic counterpart. The spectral behavior mimics that of a periodic array with larger lattice constant. For our system, we find that randomly-selected, aperiodic structures invariably outperform the periodic array.

  17. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    Science.gov (United States)

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  18. Ultra-Fast Optical Signal Processing in Nonlinear Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Galili, Michael; Pu, Minhao

    2011-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals.......We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals....

  19. High-performance silicon nanowire bipolar phototransistors

    Science.gov (United States)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  20. Reduction of Cr(VI) to Cr(III) using silicon nanowire arrays under visible light irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Fellahi, Ouarda [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); Centre de Recherche en Technologie des Semi-conducteurs pour l' Energétique-CRTSE 02, Bd Frantz Fanon, BP. 140, Alger 7 Merveilles (Algeria); Barras, Alexandre [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); Pan, Guo-Hui [State Key Laboratory of Luminescence and Applications, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 3888 Dong Nanhu Road, Changchun 130033 (China); Coffinier, Yannick [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); Hadjersi, Toufik [Centre de Recherche en Technologie des Semi-conducteurs pour l' Energétique-CRTSE 02, Bd Frantz Fanon, BP. 140, Alger 7 Merveilles (Algeria); Maamache, Mustapha [Laboratoire de Physique Quantique et Systèmes Dynamiques, Département de Physique, Université de Sétif, Sétif 19000 (Algeria); Szunerits, Sabine [Institut d' Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré—BP 70478, 59652 Villeneuve d' Ascq Cedex (France); and others

    2016-03-05

    Highlights: • Cr(VI) reduction to Cr(III) using silicon nanowires decorated with Cu nanoparticles. • The reduction takes place at room temperature and neutral pH under visible light. • The photocatalytic reduction was enhanced by addition of adipic or citric acid. - Abstract: We report an efficient visible light-induced reduction of hexavalent chromium Cr(VI) to trivalent Cr(III) by direct illumination of an aqueous solution of potassium dichromate (K{sub 2}Cr{sub 2}O{sub 7}) in the presence of hydrogenated silicon nanowires (H-SiNWs) or silicon nanowires decorated with copper nanoparticles (Cu NPs-SiNWs) as photocatalyst. The SiNW arrays investigated in this study were prepared by chemical etching of crystalline silicon in HF/AgNO{sub 3} aqueous solution. The Cu NPs were deposited on SiNW arrays via electroless deposition technique. Visible light irradiation of an aqueous solution of K{sub 2}Cr{sub 2}O{sub 7} (10{sup −4} M) in presence of H-SiNWs showed that these substrates were not efficient for Cr(VI) reduction. The reduction efficiency achieved was less than 10% after 120 min irradiation at λ > 420 nm. Addition of organic acids such as citric or adipic acid in the solution accelerated Cr(VI) reduction in a concentration-dependent manner. Interestingly, Cu NPs-SiNWs was found to be a very efficient interface for the reduction of Cr(VI) to Cr(III) in absence of organic acids. Almost a full reduction of Cr(VI) was achieved by direct visible light irradiation for 140 min using this photocatalyst.

  1. Rare earth silicide nanowires on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wanke, Martina

    2008-11-10

    of the sample surface, which are oriented in the same direction as the average substrate surface. These thin nanowires show a one-dimensional halfmetallic electronic structure. At higher coverage broad nanowires are grown on the (111) facets as disilicide monolayer nanowires. They show the for the 1 x 1 monolayer reconstruction typical scharp edges along the {l_brace}1 anti 10{r_brace} directions. The electronic structure shows all states, which occur at a disilicide monolayer on the planar Si(111), with a two-dimensional metallic band structure. At a further increase of the coverage similar nanowires arise with rounder end pieces, which can be assigned a coverage with a disilicide multilayer on the (111) facets. (orig.)

  2. InGaN/GaN disk-in-nanowire white light emitting diodes on (001) silicon

    KAUST Repository

    Guo, Wei; Banerjee, Animesh; Bhattacharya, Pallab K.; Ooi, Boon S.

    2011-01-01

    High density (? 1011 cm-2) GaN nanowires and InGaN/GaN disk-in-nanowire heterostructures have been grown on (001) silicon substrates by plasma-assisted molecular beam epitaxy. The nanowires exhibit excellent uniformity in length and diameter and a broad emission is obtained by incorporating InGaN disks of varying composition along the length of the nanowires. Monolithic lighting emitting diodes were fabricated with appropriate n- and p-doping of contact layers. White light emission with chromaticity coordinates of x=0.29 and y=0.37 and a correlated color temperature of 5500-6500 K at an injection current of 50 A/ cm2 is measured. The measured external quantum efficiency of the devices do not exhibit any rollover (droop) up to an injection current density of 400 A/ cm2. © 2011 American Institute of Physics.

  3. Carrier gas effects on aluminum-catalyzed nanowire growth

    International Nuclear Information System (INIS)

    Ke, Yue; Hainey, Mel Jr; Won, Dongjin; Weng, Xiaojun; Eichfeld, Sarah M; Redwing, Joan M

    2016-01-01

    Aluminum-catalyzed silicon nanowire growth under low-pressure chemical vapor deposition conditions requires higher reactor pressures than gold-catalyzed growth, but the reasons for this difference are not well understood. In this study, the effects of reactor pressure and hydrogen partial pressure on silicon nanowire growth using an aluminum catalyst were studied by growing nanowires in hydrogen and hydrogen/nitrogen carrier gas mixtures at different total reactor pressures. Nanowires grown in the nitrogen/hydrogen mixture have faceted catalyst droplet tips, minimal evidence of aluminum diffusion from the tip down the nanowire sidewalls, and significant vapor–solid deposition of silicon on the sidewalls. In comparison, wires grown in pure hydrogen show less well-defined tips, evidence of aluminum diffusion down the nanowire sidewalls at increasing reactor pressures and reduced vapor–solid deposition of silicon on the sidewalls. The results are explained in terms of a model wherein the hydrogen partial pressure plays a critical role in aluminum-catalyzed nanowire growth by controlling hydrogen termination of the silicon nanowire sidewalls. For a given reactor pressure, increased hydrogen partial pressures increase the extent of hydrogen termination of the sidewalls which suppresses SiH_4 adsorption thereby reducing vapor–solid deposition of silicon but increases the surface diffusion length of aluminum. Conversely, lower hydrogen partial pressures reduce the hydrogen termination and also increase the extent of SiH_4 gas phase decomposition, shifting the nanowire growth window to lower growth temperatures and silane partial pressures. (paper)

  4. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    Directory of Open Access Journals (Sweden)

    Wen-Chung Chang

    2016-06-01

    Full Text Available Vertically aligned p-type silicon nanowire (SiNW arrays were fabricated through metal-assisted chemical etching (MACE of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM, X-ray diffraction (XRD, and current−voltage (I−V measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  5. A facile fluorescent sensor based on silicon nanowires for dithionite

    Science.gov (United States)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  6. Dynamic Characterization and Impulse Response Modeling of Amplitude and Phase Response of Silicon Nanowires

    DEFF Research Database (Denmark)

    Cleary, Ciaran S.; Ji, Hua; Dailey, James M.

    2013-01-01

    Amplitude and phase dynamics of silicon nanowires were measured using time-resolved spectroscopy. Time shifts of the maximum phase change and minimum amplitude as a function of pump power due to saturation of the free-carrier density were observed. A phenomenological impulse response model used t...

  7. A p-silicon nanowire/n-ZnO thin film heterojunction diode prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Hazra, Purnima; Jit, S.

    2014-01-01

    This paper represents the electrical and optical characteristics of a SiNW/ZnO heterojunction diode and subsequent studies on the photodetection properties of the diode in the ultraviolet (UV) wavelength region. In this work, silicon nanowire arrays were prepared on p-type (100)-oriented Si substrate by an electroless metal deposition and etching method with the help of ultrasonication. After that, catalyst-free deposition of zinc oxide (ZnO) nanowires on a silicon nanowire (SiNW) array substrate was done by utilizing a simple and cost-effective thermal evaporation technique without using a buffer layer. The SEM and XRD techniques are used to show the quality of the as-grown ZnO nanowire film. The junction properties of the diode are evaluated by measuring current—voltage and capacitance—voltage characteristics. The diode has a well-defined rectifying behavior with a rectification ratio of 190 at ±2 V, turn-on voltage of 0.5 V, and barrier height is 0.727 eV at room temperature under dark conditions. The photodetection parameters of the diode are investigated in the bias voltage range of ±2 V. The diode shows responsivity of 0.8 A/W at a bias voltage of 2 V under UV illumination (wavelength = 365 nm). The characteristics of the device indicate that it can be used for UV detection applications in nano-optoelectronic and photonic devices. (semiconductor devices)

  8. Impedance Analysis of Silicon Nanowire Lithium Ion Battery Anodes

    KAUST Repository

    Ruffo, Riccardo

    2009-07-02

    The impedance behavior of silicon nanowire electrodes has been investigated to understand the electrochemical process kinetics that influences the performance when used as a high-capacity anode in a lithium ion battery. The ac response was measured by using impedance spectroscopy in equilibrium conditions at different lithium compositions and during several cycles of charge and discharge in a half cell vs. metallic lithium. The impedance analysis shows the contribution of both surface resistance and solid state diffusion through the bulk of the nanowires. The surface process is dominated by a solid electrolyte layer (SEI) consisting of an inner, inorganic insoluble part and several organic compounds at the outer interface, as seen by XPS analysis. The surface resistivity, which seems to be correlated with the Coulombic efficiency of the electrode, grows at very high lithium contents due to an increase in the inorganic SEI thickness. We estimate the diffusion coefficient of about 2 × 10 -10 cm 2/s for lithium diffusion in silicon. A large increase in the electrode impedance was observed at very low lithium compositions, probably due to a different mechanism for lithium diffusion inside the wires. Restricting the discharge voltage to 0.7 V prevents this large impedance and improves the electrode lifetime. Cells cycled between 0.07 and 0.70 V vs. metallic lithium at a current density of 0.84 A/g (C/5) showed good Coulombic efficiency (about 99%) and maintained a capacity of about 2000 mAh/g after 80 cycles. © 2009 American Chemical Society.

  9. In situ-growth of silica nanowires in ceramic carbon composites

    Directory of Open Access Journals (Sweden)

    Rahul Kumar

    2017-09-01

    Full Text Available An understanding of the processing and microstructure of ceramic–carbon composites is critical to development of these composites for applications needing electrically conducting, thermal shock resistant ceramic materials. In the present study green compacts of carbon ceramic composites were prepared either by slurry processing or dry powder blending of one or more of the three — clay, glass, alumina and carbon black or graphite. The dried green compacts were sintered at 1400 °C in flowing argon. The ceramic carbon composites except the ones without clay addition showed formation of silica nanowires. The silica nanowire formation was observed in both samples prepared by slip casting and dry powder compaction containing either carbon black or graphite. TEM micrographs showed presence of carbon at the core of the silica nanowires indicating that carbon served the role of a catalyst. Selected area electron diffraction (SAED suggested that the silica nanowires are amorphous. Prior studies have reported formation of silica nanowires from silicon, silica, silicon carbide but this is the first report ever on formation of silica nanowires from clay.

  10. Silicon nanowires in polymer nanocomposites for photovoltaic hybrid thin films

    International Nuclear Information System (INIS)

    Ben Dkhil, S.; Bourguiga, R.; Davenas, J.; Cornu, D.

    2012-01-01

    Highlights: ► Hybrid solar cells based on blends of poly(N-vinylcarbazole) and silicon nanowires have been fabricated. ► We have investigated the charge transfer between PVK and SiNWs by the way of the quenching of the PVK photoluminescence. ► The relation between the morphology of the composite thin films and the charge transfer between SiNWs and PVK has been examined. ► We have investigated the effects of SiNWs concentration on the photovoltaic characteristics leading to the optimization of a critical SiNWs concentration. - Abstract: Hybrid thin films combining the high optical absorption of a semiconducting polymer film and the electronic properties of silicon fillers have been investigated in the perspective of the development of low cost solar cells. Bulk heterojunction photovoltaic materials based on blends of a semiconductor polymer poly(N-vinylcarbazole) (PVK) as electron donor and silicon nanowires (SiNWs) as electron acceptor have been studied. Composite PVK/SiNWs films were cast from a common solvent mixture. UV–visible spectrometry and photoluminescence of the composites have been studied as a function of the SiNWs concentration. Photoluminescence spectroscopy (PL) shows the existence of a critical SiNWs concentration of about 10 wt % for PL quenching corresponding to the most efficient charge pair separation. The photovoltaic (PV) effect has been studied under illumination. The optimum open-circuit voltage V oc and short-circuit current density J sc are obtained for 10 wt % SiNWs whereas a degradation of these parameters is observed at higher SiNWs concentrations. These results are correlated to the formation of aggregates in the composite leading to recombination of the photogenerated charge pairs competing with the dissociation mechanism.

  11. Analytical simulation of RBS spectra of nanowire samples

    Energy Technology Data Exchange (ETDEWEB)

    Barradas, Nuno P., E-mail: nunoni@ctn.ist.utl.pt [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); García Núñez, C. [Laboratorio de Electrónica y Semiconductores, Departamento de Física Aplicada, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Redondo-Cubero, A. [Laboratorio de Electrónica y Semiconductores, Departamento de Física Aplicada, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Centro de Micro-Análisis de Materiales, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Shen, G.; Kung, P. [Department of Electrical and Computer Engineering, The University of Alabama, AL 35487 (United States); Pau, J.L. [Laboratorio de Electrónica y Semiconductores, Departamento de Física Aplicada, Universidad Autónoma de Madrid, 28049 Madrid (Spain)

    2016-03-15

    Almost all, if not all, general purpose codes for analysis of Ion Beam Analysis data have been originally developed to handle laterally homogeneous samples only. This is the case of RUMP, NDF, SIMNRA, and even of the Monte Carlo code Corteo. General-purpose codes usually include only limited support for lateral inhomogeneity. In this work, we show analytical simulations of samples that consist of a layer of parallel oriented nanowires on a substrate, using a model implemented in NDF. We apply the code to real samples, made of vertical ZnO nanowires on a sapphire substrate. Two configurations of the nanowires were studied: 40 nm diameter, 4.1 μm height, 3.5% surface coverage; and 55 nm diameter, 1.1 μm height, 42% surface coverage. We discuss the accuracy and limits of applicability of the analysis.

  12. Electron transport in silicon nanowires having different cross-sections

    Directory of Open Access Journals (Sweden)

    Muscato Orazio

    2016-06-01

    Full Text Available Transport phenomena in silicon nanowires with different cross-section are investigated using an Extended Hydrodynamic model, coupled to the Schrödinger-Poisson system. The model has been formulated by closing the moment system derived from the Boltzmann equation on the basis of the maximum entropy principle of Extended Thermodynamics, obtaining explicit closure relations for the high-order fluxes and the production terms. Scattering of electrons with acoustic and non polar optical phonons have been taken into account. The bulk mobility is evaluated for square and equilateral triangle cross-sections of the wire.

  13. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    International Nuclear Information System (INIS)

    Chen, Wanghua; Roca i Cabarrocas, Pere; Pareige, Philippe; Castro, Celia; Xu, Tao; Grandidier, Bruno; Stiévenard, Didier

    2015-01-01

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process

  14. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wanghua; Roca i Cabarrocas, Pere [Laboratoire de Physique des Interfaces et Couches Minces (LPICM), UMR 7647, CNRS, Ecole Polytechnique, 91128 Palaiseau (France); Pareige, Philippe; Castro, Celia [Groupe de Physique des Matériaux (GPM), Université et INSA de Rouen, UMR 6634, CNRS, Av. de l' Université, BP 12, 76801 Saint Etienne du Rouvray (France); Xu, Tao; Grandidier, Bruno; Stiévenard, Didier [Institut d' Electronique et de Microélectronique et de Nanotechnologies (IEMN), UMR 8520, CNRS, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France)

    2015-09-14

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process.

  15. Novel Size and Surface Oxide Effects in Silicon Nanowires as Lithium Battery Anodes

    KAUST Repository

    McDowell, Matthew T.

    2011-09-14

    With its high specific capacity, silicon is a promising anode material for high-energy lithium-ion batteries, but volume expansion and fracture during lithium reaction have prevented implementation. Si nanostructures have shown resistance to fracture during cycling, but the critical effects of nanostructure size and native surface oxide on volume expansion and cycling performance are not understood. Here, we use an ex situ transmission electron microscopy technique to observe the same Si nanowires before and after lithiation and have discovered the impacts of size and surface oxide on volume expansion. For nanowires with native SiO2, the surface oxide can suppress the volume expansion during lithiation for nanowires with diameters <∼50 nm. Finite element modeling shows that the oxide layer can induce compressive hydrostatic stress that could act to limit the extent of lithiation. The understanding developed herein of how volume expansion and extent of lithiation can depend on nanomaterial structure is important for the improvement of Si-based anodes. © 2011 American Chemical Society.

  16. Tunable electronic properties of silicon nanowires under strain and electric bias

    Directory of Open Access Journals (Sweden)

    Alexis Nduwimana

    2014-07-01

    Full Text Available The electronic structure characteristics of silicon nanowires under strain and electric bias are studied using first-principles density functional theory. The unique wire-like structure leads to distinct spatial distribution of carriers, which can be tailored by applying tensile and compressive strains, as well as by an electric bias. Our results indicate that the combined effect of strain and electric bias leads to tunable electronic structures that can be used for piezo-electric devices.

  17. Surface chemistry and morphology of the solid electrolyte interphase on silicon nanowire lithium-ion battery anodes

    KAUST Repository

    Chan, Candace K.; Ruffo, Riccardo; Hong, Seung Sae; Cui, Yi

    2009-01-01

    Silicon nanowires (SiNWs) have the potential to perform as anodes for lithium-ion batteries with a much higher energy density than graphite. However, there has been little work in understanding the surface chemistry of the solid electrolyte

  18. Controlling growth density and patterning of single crystalline silicon nanowires

    International Nuclear Information System (INIS)

    Chang, Tung-Hao; Chang, Yu-Cheng; Liu, Fu-Ken; Chu, Tieh-Chi

    2010-01-01

    This study examines the usage of well-patterned Au nanoparticles (NPs) as a catalyst for one-dimensional growth of single crystalline Si nanowires (NWs) through the vapor-liquid-solid (VLS) mechanism. The study reports the fabrication of monolayer Au NPs through the self-assembly of Au NPs on a 3-aminopropyltrimethoxysilane (APTMS)-modified silicon substrate. Results indicate that the spin coating time of Au NPs plays a crucial role in determining the density of Au NPs on the surface of the silicon substrate and the later catalysis growth of Si NWs. The experiments in this study employed optical lithography to pattern Au NPs, treating them as a catalyst for Si NW growth. The patterned Si NW structures easily produced and controlled Si NW density. This approach may be useful for further studies on single crystalline Si NW-based nanodevices and their properties.

  19. Blue electroluminescence nanodevice prototype based on vertical ZnO nanowire/polymer film on silicon substrate

    International Nuclear Information System (INIS)

    He Ying; Wang Junan; Chen Xiaoban; Zhang Wenfei; Zeng Xuyu; Gu Qiuwen

    2010-01-01

    We present a polymer-complexing soft template technique to construct the ZnO-nanowire/polymer light emitting device prototype that exhibits blue electrically driven emission with a relatively low-threshold voltage at room temperature in ambient atmosphere, and the ZnO-nanowire-based LED's emission wavelength is easily tuned by controlling the applied-excitation voltage. The nearly vertically aligned ZnO-nanowires with polymer film were used as emissive layers in the devices. The method uses polymer as binder in the LED device and dispersion medium in the luminescence layer, which stabilizes the quasi-arrays of ZnO nanowires embedding in a thin polymer film on silicon substrate and passivates the surface of ZnO nanocrystals, to prevent the quenching of luminescence. Additionally, the measurements of electrical properties showed that ZnO-nanowire/polymer film could significantly improve the conductivity of the film, which could be attributed to an increase in both Hall mobility and carrier concentration. The results indicated that the novel technique is a low-cost process for ZnO-based UV or blue light emission and reduces the requirement for achieving robust p-doping of ZnO film. It suggests that such ZnO-nanowire/polymer-based LEDs will be suitable for the electro-optical application.

  20. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel; Anjum, Dalaver H.; Hedhili, Mohamed N.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been

  1. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  2. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    International Nuclear Information System (INIS)

    Chen Yong; Luo Guanghong; Diao Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-01-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3xω Nd:YAG laser in air, SF 6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ∼2 μm in SF 6 gas and to ∼5 μm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (∼10x) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits

  3. Synthesis, characterization and application of electroless metal assisted silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Sahoo, Sumanta Kumar [Centre for Nanoscience & Technology, Department of Mechanical Engineering, Mepco Schlenk Engineering College, Sivakasi 626 005, Tamilnadu (India); Marikani, Arumugam, E-mail: amari@mepcoeng.ac.in [Department of Physics, Mepco Schlenk Engineering College, Sivakasi 626 005, Tamilnadu (India)

    2015-12-01

    Highlights: • Preparation of Silicon nanowire arrays (SiNWs) by electroless metal deposition technique. • From analysis, it has been found that the as-prepared SiNWs are of 3.5–4.0 μm and 75 nm of length and diameter in average respectively. Further a characteristic Raman peak at 520 cm{sup −1} also has been observed. • It exhibits good electron field-emission properties with turn-on field (E{sub 0}) of about 8.26 V μm{sup −1} at current density (J) of 4.9 μA cm{sup −2}. • Functionalized SiNWs have been used for electrochemical detection bovine serum albumin protein bio-molecules. - Abstract: Vertically aligned silicon nanowire arrays (SiNWs) have been synthesized by electroless metal deposition process. The fabricated SiNWs have an average diameter of 75 nm and 3.5–4.0 μm length, as confirmed from scanning electron microscopy. A characteristic asymmetric peak broadening at 520 cm{sup −1} from Raman spectroscopy was obtained for the SiNWs as compared to the bulk silicon crystal due to phonon confinement. The as-prepared SiNWs exhibit good electron field-emission properties with turn-on field of about 8.26 V μm{sup −1} at a current density of 4.9 μA cm{sup −2}. The SiNWs was functionalized by coating with a thin gold metallic film for 60 s, and then used as bio-probe for the detection of bovine serum albumin (BSA) protein molecules. From the linear sweep voltammetry analysis, the Au coated SiNWs, exhibit linear response to the BSA analyte with increase in concentration. The minimum detection limit of the protein molecule was calculated of about 1.16 μM by the as-synthesized SiNWs probe.

  4. Fabrication of double-dot single-electron transistor in silicon nanowire

    International Nuclear Information System (INIS)

    Jo, Mingyu; Kaizawa, Takuya; Arita, Masashi; Fujiwara, Akira; Ono, Yukinori; Inokawa, Hiroshi; Choi, Jung-Bum; Takahashi, Yasuo

    2010-01-01

    We propose a simple method for fabricating Si single-electron transistors (SET) with coupled dots by means of a pattern-dependent-oxidation (PADOX) method. The PADOX method is known to convert a small one-dimensional Si wire formed on a silicon-on-insulator (SOI) substrate into a SET automatically. We fabricated a double-dot Si SET when we oxidized specially designed Si nanowires formed on SOI substrates. We analyzed the measured electrical characteristics by fitting the measurement and simulation results and confirmed the double-dot formation and the position of the two dots in the Si wire.

  5. Graded index and randomly oriented core-shell silicon nanowires for broadband and wide angle antireflection

    Directory of Open Access Journals (Sweden)

    P. Pignalosa

    2011-09-01

    Full Text Available Antireflection with broadband and wide angle properties is important for a wide range of applications on photovoltaic cells and display. The SiOx shell layer provides a natural antireflection from air to the Si core absorption layer. In this work, we have demonstrated the random core-shell silicon nanowires with both broadband (from 400nm to 900nm and wide angle (from normal incidence to 60º antireflection characteristics within AM1.5 solar spectrum. The graded index structure from the randomly oriented core-shell (Air/SiOx/Si nanowires may provide a potential avenue to realize a broadband and wide angle antireflection layer.

  6. Pattern formation of nanoflowers during the vapor-liquid-solid growth of silicon nanowires

    International Nuclear Information System (INIS)

    Bae, Joonho; Thompson-Flagg, Rebecca; Ekerdt, John G.; Shih, C.-K.

    2008-01-01

    Pattern formation of nanoflowers during the vapor-liquid-solid growth of Si nanowires is reported. Using transmission electron microscopy, scanning electron microscopy, and energy dispersive spectrometer analysis, we show that the flower consists of an Au/SiO x core-shell structure. Moreover, the growth of flower starts at the interface between the gold catalyst and the silicon nanowire, presumably by enhanced oxidation at this interface. The pattern formation can be classified as dense branching morphology (DBM). It is the first observation of DBM in a spherical geometry and at the nanoscale. The analysis of the average branching distance of this pattern shows that the pattern is most likely formed during the growth process, not the cooling process, and that the curvature of the gold droplet plays a crucial role in the frequency of branching

  7. Prelithiated Silicon Nanowires as an Anode for Lithium Ion Batteries

    KAUST Repository

    Liu, Nian

    2011-08-23

    Silicon is one of the most promising anode materials for the next-generation high-energy lithium ion battery (LIB), while sulfur and some other lithium-free materials have recently shown high promise as cathode materials. To make a full battery out of them, either the cathode or the anode needs to be prelithiated. Here, we present a method for prelithiating a silicon nanowire (SiNW) anode by a facile self-discharge mechanism. Through a time dependence study, we found that 20 min of prelithiation loads ∼50% of the full capacity into the SiNWs. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) studies show that the nanostructure of SiNWs is maintained after prelithiation. We constructed a full battery using our prelithiated SiNW anode with a sulfur cathode. Our work provides a protocol for pairing lithium-free electrodes to make the next-generation high-energy LIB. © 2011 American Chemical Society.

  8. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    Science.gov (United States)

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  9. Excitation of nanowire surface plasmons by silicon vacancy centers in nanodiamonds

    DEFF Research Database (Denmark)

    Kumar, Shailesh; Davydov, Valery A.; Agafonov, Viatcheslav N.

    2017-01-01

    Silicon vacancy (SiV) centers in diamonds have emerged as a very promising candidate for quantum emitters due to their narrow emission line resulting in their indistinguishability. While many different quantum emitters have already been used for the excitation of various propagating plasmonic modes......, the corresponding exploitation of SiV centers has remained so far uncharted territory. Here, we report on the excitation of surface plasmon modes supported by silver nanowires using SiV centers in nanodiamonds. The coupling of SiV center fluorescence to surface plasmons is observed, when a nanodiamond situated...

  10. Auger Recombination in III-Nitride Nanowires and Its Effect on Nanowire Light-Emitting Diode Characteristics

    KAUST Repository

    Guo, Wei; Zhang, Meng; Bhattacharya, Pallab; Heo, Junseok

    2011-01-01

    We have measured the Auger recombination coefficients in defect-free InGaN nanowires (NW) and InGaN/GaN dot-in-nanowire (DNW) samples grown on (001) silicon by plasma-assisted molecular beam epitaxy. The nanowires have a density of ∼1×1011 cm-2 and exhibit photoluminescence emission peak at λ ∼ 500 nm. The Auger coefficients as a function of excitation power have been derived from excitation dependent and time-resolved photoluminescence measurements over a wide range of optical excitation power density. The values of C0, defined as the Auger coefficient at low excitation, are 6.1 × 10-32 and 4.1×10-33 cm6·s-1 in the NW and DNW samples, respectively, which are in reasonably good agreement with theoretical predictions for InGaN alloy semiconductors. Light-emitting diodes made with the NW and DNW samples exhibit no efficiency droop up to an injection current density of 400 A/cm 2. © 2011 American Chemical Society.

  11. Auger Recombination in III-Nitride Nanowires and Its Effect on Nanowire Light-Emitting Diode Characteristics

    KAUST Repository

    Guo, Wei

    2011-04-13

    We have measured the Auger recombination coefficients in defect-free InGaN nanowires (NW) and InGaN/GaN dot-in-nanowire (DNW) samples grown on (001) silicon by plasma-assisted molecular beam epitaxy. The nanowires have a density of ∼1×1011 cm-2 and exhibit photoluminescence emission peak at λ ∼ 500 nm. The Auger coefficients as a function of excitation power have been derived from excitation dependent and time-resolved photoluminescence measurements over a wide range of optical excitation power density. The values of C0, defined as the Auger coefficient at low excitation, are 6.1 × 10-32 and 4.1×10-33 cm6·s-1 in the NW and DNW samples, respectively, which are in reasonably good agreement with theoretical predictions for InGaN alloy semiconductors. Light-emitting diodes made with the NW and DNW samples exhibit no efficiency droop up to an injection current density of 400 A/cm 2. © 2011 American Chemical Society.

  12. Comparison of Light Trapping in Silicon Nanowire and Surface Textured Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Rion Parsons

    2017-04-01

    Full Text Available The optics of axial silicon nanowire solar cells is investigated and compared to silicon thin-film solar cells with textured contact layers. The quantum efficiency and short circuit current density are calculated taking a device geometry into account, which can be fabricated by using standard semiconductor processing. The solar cells with textured absorber and textured contact layers provide a gain of short circuit current density of 4.4 mA/cm2 and 6.1 mA/cm2 compared to a solar cell on a flat substrate, respectively. The influence of the device dimensions on the quantum efficiency and short circuit current density will be discussed.

  13. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been observed from PSiNW samples etched using the optimum hydrofluoric acid (HF) concentration. The strongest photoluminescence (PL) signal has been measured from samples etched with 4.8 M of HF, beyond which a significant decreasing in PL emission intensity has been observed. A qualitative model is proposed for the formation of PSiNWs in the presence of Ag catalyst. This model affirms our observations in PL enhancement for samples etched using HF <4.8 M and the eventual PL reduction for samples etched beyond 4.8 M of HF concentration. The enhancement in PL signals has been associated to the formation of PSiNWs and the quantum confinement effect in the Si nanocrystallites. Compared to PSiNWs without Si-O x, the HF treated samples exhibited significant blue PL peak shift of 100 nm. This effect has been correlated to the formation of defect states in the surface oxide. PSiNWs fabricated using the electroless etching method can find useful applications in optical sensors and as anti-reflection layer in silicon-based solar cells. © 2012 American Institute of Physics.

  14. Analytical Model of Subthreshold Drain Current Characteristics of Ballistic Silicon Nanowire Transistors

    Directory of Open Access Journals (Sweden)

    Wanjie Xu

    2015-01-01

    Full Text Available A physically based subthreshold current model for silicon nanowire transistors working in the ballistic regime is developed. Based on the electric potential distribution obtained from a 2D Poisson equation and by performing some perturbation approximations for subband energy levels, an analytical model for the subthreshold drain current is obtained. The model is further used for predicting the subthreshold slopes and threshold voltages of the transistors. Our results agree well with TCAD simulation with different geometries and under different biasing conditions.

  15. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    Science.gov (United States)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  16. Energy transfer in nanowire solar cells with photon-harvesting shells

    KAUST Repository

    Peters, C. H.; Guichard, A. R.; Hryciw, A. C.; Brongersma, M. L.; McGehee, M. D.

    2009-01-01

    The concept of a nanowire solar cell with photon-harvesting shells is presented. In this architecture, organic molecules which absorb strongly in the near infrared where silicon absorbs weakly are coupled to silicon nanowires (SiNWs). This enables

  17. Bi-Sn alloy catalyst for simultaneous morphology and doping control of silicon nanowires in radial junction solar cells

    International Nuclear Information System (INIS)

    Yu, Zhongwei; Lu, Jiawen; Qian, Shengyi; Xu, Jun; Xu, Ling; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Misra, Soumyadeep; Roca i Cabarrocas, Pere; Yu, Linwei

    2015-01-01

    Low-melting point metals such as bismuth (Bi) and tin (Sn) are ideal choices for mediating a low temperature growth of silicon nanowires (SiNWs) for radial junction thin film solar cells. The incorporation of Bi catalyst atoms leads to sufficient n-type doping in the SiNWs core that exempts the use of hazardous dopant gases, while an easy morphology control with pure Bi catalyst has never been demonstrated so far. We here propose a Bi-Sn alloy catalyst strategy to achieve both a beneficial catalyst-doping and an ideal SiNW morphology control. In addition to a potential of further growth temperature reduction, we show that the alloy catalyst can remain quite stable during a vapor-liquid-solid growth, while providing still sufficient n-type catalyst-doping to the SiNWs. Radial junction solar cells constructed over the alloy-catalyzed SiNWs have demonstrated a strongly enhanced photocurrent generation, thanks to optimized nanowire morphology, and largely improved performance compared to the reference samples based on the pure Bi or Sn-catalyzed SiNWs

  18. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    Science.gov (United States)

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  19. Surface effects on the thermal conductivity of silicon nanowires

    Science.gov (United States)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  20. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    Science.gov (United States)

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  1. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  2. Microcantilever equipped with nanowire template electrodes for multiprobe measurement on fragile nanostructures

    DEFF Research Database (Denmark)

    Lin, Rong; Bøggild, Peter; Hansen, Ole

    2004-01-01

    cantilevers. By subsequently covering these nanowires with a metallic coating, they are made conducting and at the same time fixed to the cantilevers. These silicon nanowire four-point probes were tested on 7 and 35 nm thick Au films as well as poorly adhering 16 nm thin Au nanowires deposited on a silicon...

  3. Tunneling magnetoresistance in Si nanowires

    KAUST Repository

    Montes Muñoz, Enrique

    2016-11-09

    We investigate the tunneling magnetoresistance of small diameter semiconducting Si nanowires attached to ferromagnetic Fe electrodes, using first principles density functional theory combined with the non-equilibrium Green\\'s functions method for quantum transport. Silicon nanowires represent an interesting platform for spin devices. They are compatible with mature silicon technology and their intrinsic electronic properties can be controlled by modifying the diameter and length. Here we systematically study the spin transport properties for neutral nanowires and both n and p doping conditions. We find a substantial low bias magnetoresistance for the neutral case, which halves for an applied voltage of about 0.35 V and persists up to 1 V. Doping in general decreases the magnetoresistance, as soon as the conductance is no longer dominated by tunneling.

  4. Tunneling magnetoresistance in Si nanowires

    KAUST Repository

    Montes Muñ oz, Enrique; Rungger, I.; Sanvito, S.; Schwingenschlö gl, Udo

    2016-01-01

    for quantum transport. Silicon nanowires represent an interesting platform for spin devices. They are compatible with mature silicon technology and their intrinsic electronic properties can be controlled by modifying the diameter and length. Here we

  5. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    Science.gov (United States)

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  6. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    Science.gov (United States)

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  7. Shear-driven phase transformation in silicon nanowires.

    Science.gov (United States)

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  8. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    Science.gov (United States)

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  9. Collective behaviors of mammalian cells on amine-coated silicon nanowires

    International Nuclear Information System (INIS)

    Kim, So Yeon; Yang, Eun Gyeong

    2013-01-01

    Intensive studies with vertical nanowire (NW) arrays have illustrated broad implications for manipulating mammalian cells in vitro, but how cellular responses are influenced by the presence of NWs has not been thoroughly investigated. Here, we address collective cellular behaviors, including surface area of cells, membrane trafficking, focal adhesion distribution and dynamics, and cytoskeletal protein distribution on amine-coated silicon (Si) NWs with different physical properties. The degree of HeLa cell spreading was inversely proportional to the surface area occupied by the NWs, which was not affected by manipulation of membrane trafficking dynamics. In the presence of a diffusive focal complex around the NWs, strong, well organized focal adhesion was hardly visible on the NWs, implying that the cells were interacting weakly with the NW-embedded surface. Furthermore, we found that actin filament formation of the cells on long NWs was not favorable, and this could explain our observation of reduced cell spreading, as well as the decreased number of focal adhesion complexes. Taken together, our results suggest that cells can survive on silicon NWs by adjusting their morphology and adhesion behavior through actively organizing these molecules. (paper)

  10. Organophosphonate-based PNA-functionalization of silicon nanowires for label-free DNA detection.

    Science.gov (United States)

    Cattani-Scholz, Anna; Pedone, Daniel; Dubey, Manish; Neppl, Stefan; Nickel, Bert; Feulner, Peter; Schwartz, Jeffrey; Abstreiter, Gerhard; Tornow, Marc

    2008-08-01

    We investigated hydroxyalkylphosphonate monolayers as a novel platform for the biofunctionalization of silicon-based field effect sensor devices. This included a detailed study of the thin film properties of organophosphonate films on Si substrates using several surface analysis techniques, including AFM, ellipsometry, contact angle, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity, and current-voltage characteristics in electrolyte solution. Our results indicate the formation of a dense monolayer on the native silicon oxide that has excellent passivation properties. The monolayer was biofunctionalized with 12 mer peptide nucleic acid (PNA) receptor molecules in a two-step procedure using the heterobifunctional linker, 3-maleimidopropionic-acid-N-hydroxysuccinimidester. Successful surface modification with the probe PNA was verified by XPS and contact angle measurements, and hybridization with DNA was determined by fluorescence measurements. Finally, the PNA functionalization protocol was translated to 2 microm long, 100 nm wide Si nanowire field effect devices, which were successfully used for label-free DNA/PNA hybridization detection.

  11. The antimicrobial effect of silicon nanowires decorated with silver and copper nanoparticles

    International Nuclear Information System (INIS)

    Fellahi, Ouarda; Marcon, Lionel; Coffinier, Yannick; Boukherroub, Rabah; Sarma, Rupak K; Saikia, Ratul; Das, Manash R; Hadjersi, Toufik; Maamache, Mustapha

    2013-01-01

    The paper reports on the preparation and antibacterial activity of silicon nanowire (SiNW) substrates coated with Ag or Cu nanoparticles (NPs) against Escherichia coli (E. coli) bacteria. The substrates are easily prepared using the metal-assisted chemical etching of crystalline silicon in hydrofluoric acid/silver nitrate (HF/AgNO 3 ) aqueous solution. Decoration of the SiNWs with metal NPs is achieved by simple immersion in HF aqueous solutions containing silver or copper salts. The SiNWs coated with Ag NPs are biocompatible with human lung adenocarcinoma epithelial cell line A549 while possessing strong antibacterial properties to E. coli. In contrast, the SiNWs decorated with Cu NPs showed higher cytotoxicity and slightly lower antibacterial activity. Moreover, it was also observed that leakage of sugars and proteins from the cell wall of E. coli in interaction with SiNWs decorated with Ag NPs is higher compared to SiNWs modified with Cu NPs. (paper)

  12. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  13. Si nanoparticle-decorated Si nanowire networks for Li-ion battery anodes

    KAUST Repository

    Hu, Liangbing

    2011-01-01

    We designed and fabricated binder-free, 3D porous silicon nanostructures for Li-ion battery anodes, where Si nanoparticles electrically contact current collectors via vertically grown silicon nanowires. When compared with a Si nanowire anode, the areal capacity was increased by a factor of 4 without having to use long, high temperature steps under vacuum that vapour-liquid-solid Si nanowire growth entails. © 2011 The Royal Society of Chemistry.

  14. Small signal modulation characteristics of red-emitting (λ = 610 nm) III-nitride nanowire array lasers on (001) silicon

    KAUST Repository

    Jahangir, Shafat; Frost, Thomas; Hazari, Arnab; Yan, Lifan; Stark, Ethan; LaMountain, Trevor; Millunchick, Joanna M.; Ooi, Boon S.; Bhattacharya, Pallab

    2015-01-01

    The small signal modulation characteristics of an InGaN/GaN nanowire array edge- emitting laser on (001) silicon are reported. The emission wavelength is 610 nm. Lattice matched InAlN cladding layers were incorporated in the laser heterostructure for better mode confinement. The suitability of the nanowire lasers for use in plastic fiber communication systems with direct modulation is demonstrated through their modulation bandwidth of f-3dB,max = 3.1 GHz, very low values of chirp (0.8 Å) and α-parameter, and large differential gain (3.1 × 10-17 cm2).

  15. Small signal modulation characteristics of red-emitting (λ = 610 nm) III-nitride nanowire array lasers on (001) silicon

    KAUST Repository

    Jahangir, Shafat

    2015-02-16

    The small signal modulation characteristics of an InGaN/GaN nanowire array edge- emitting laser on (001) silicon are reported. The emission wavelength is 610 nm. Lattice matched InAlN cladding layers were incorporated in the laser heterostructure for better mode confinement. The suitability of the nanowire lasers for use in plastic fiber communication systems with direct modulation is demonstrated through their modulation bandwidth of f-3dB,max = 3.1 GHz, very low values of chirp (0.8 Å) and α-parameter, and large differential gain (3.1 × 10-17 cm2).

  16. Generation of Reactive Oxygen Species from Silicon Nanowires

    Directory of Open Access Journals (Sweden)

    Stephen S. Leonard

    2014-01-01

    Full Text Available Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H 2 O 2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H 2 O 2 , RAW 264.7 cells, and rat alveolar macrophages for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H 2 O 2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  17. Focused ion beam patterning to dielectrophoretically assemble single nanowire based devices

    International Nuclear Information System (INIS)

    La Ferrara, V; Massera, E; Francia, G Di; Alfano, B

    2010-01-01

    Direct-write processing is increasingly taking place in nanodevice fabrication. In this work, Focused Ion Beam (FIB), a powerful tool in maskless micromachining, is used for electrode patterning onto a silicon/silicon nitride substrate. Then a single palladium nanowire is assembled between electrodes by means of dielectrophoresis (DEP). The nanowire morphology depends on the electrode pattern when DEP conditions are fixed. FIB/DEP combination overcomes the problem of nanowire electrical contamination due to gallium ion bombardment and the as-grown nanowire retains its basic electrical properties. Single nanowire based devices have been fabricated with this novel approach and have been tested as hydrogen sensors, confirming the reliability of this technology.

  18. In Situ X-ray Diffraction Studies of (De)lithiation Mechanism in Silicon Nanowire Anodes

    KAUST Repository

    Misra, Sumohan

    2012-06-26

    Figure Persented: Silicon is a promising anode material for Li-ion batteries due to its high theoretical specific capacity. From previous work, silicon nanowires (SiNWs) are known to undergo amorphorization during lithiation, and no crystalline Li-Si product has been observed. In this work, we use an X-ray transparent battery cell to perform in situ synchrotron X-ray diffraction on SiNWs in real time during electrochemical cycling. At deep lithiation voltages the known metastable Li 15Si 4 phase forms, and we show that avoiding the formation of this phase, by modifying the SiNW growth temperature, improves the cycling performance of SiNW anodes. Our results provide insight on the (de)lithiation mechanism and a correlation between phase evolution and electrochemical performance for SiNW anodes. © 2012 American Chemical Society.

  19. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  20. Energy transfer in nanowire solar cells with photon-harvesting shells

    KAUST Repository

    Peters, C. H.

    2009-01-01

    The concept of a nanowire solar cell with photon-harvesting shells is presented. In this architecture, organic molecules which absorb strongly in the near infrared where silicon absorbs weakly are coupled to silicon nanowires (SiNWs). This enables an array of 7-μm -long nanowires with a diameter of 50 nm to absorb over 85% of the photons above the bandgap of silicon. The organic molecules are bonded to the surface of the SiNWs forming a thin shell. They absorb the low-energy photons and subsequently transfer the energy to the SiNWs via Förster resonant energy transfer, creating free electrons and holes within the SiNWs. The carriers are then separated at a radial p-n junction in a nanowire and extracted at the respective electrodes. The shortness of the nanowires is expected to lower the dark current due to the decrease in p-n junction surface area, which scales linearly with wire length. The theoretical power conversion efficiency is 15%. To demonstrate this concept, we measure a 60% increase in photocurrent from a planar silicon-on-insulator diode when a 5 nm layer of poly[2-methoxy-5-(2′ -ethyl-hexyloxy)-1,4-phenylene vinylene is applied to the surface of the silicon. This increase is in excellent agreement with theoretical predictions. © 2009 American Institute of Physics.

  1. The growth of silica and silica-clad nanowires using a solid-state reaction mechanism on Ti, Ni and SiO2 layers

    International Nuclear Information System (INIS)

    Sharma, Parul; Anguita, J V; Stolojan, V; Henley, S J; Silva, S R P

    2010-01-01

    A large area compatible and solid-state process for growing silica nanowires is reported using nickel, titanium and silicon dioxide layers on silicon. The silica nanowires also contain silicon, as indicated by Raman spectroscopy. The phonon confinement model is employed to measure the diameter of the Si rich tail for our samples. The measured Raman peak shift and full width at half-maximum variation with the nanowire diameter qualitatively match with data available in the literature. We have investigated the effect of the seedbed structure on the nanowires, and the effect of using different gas conditions in the growth stages. From this, we have obtained the growth mechanism, and deduced the role of each individual substrate seedbed layer in the growth of the nanowires. We report a combined growth mechanism, where the growth is initiated by a solid-liquid-solid process, which is then followed by a vapour-liquid-solid process. We also report on the formation of two distinct structures of nanowires (type I and type II). The growth of these can be controlled by the use of titanium in the seedbed. We also observe that the diameter of the nanowires exhibits an inverse relation with the catalyst thickness.

  2. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  3. Recovery of hexagonal Si-IV nanowires from extreme GPa pressure

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Bennett E. [Department of Chemistry, University of Washington, Seattle, Washington 98195 (United States); Zhou, Xuezhe; Roder, Paden B. [Department of Materials Science and Engineering, University of Washington, Seattle, Washington 98195 (United States); Abramson, Evan H. [Department of Earth and Space Sciences, University of Washington, Seattle, Washington 98195 (United States); Pauzauskie, Peter J., E-mail: peterpz@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, Washington 98195 (United States); Fundamental and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352 (United States)

    2016-05-14

    We use Raman spectroscopy in tandem with transmission electron microscopy and density functional theory simulations to show that extreme (GPa) pressure converts the phase of silicon nanowires from cubic (Si-I) to hexagonal (Si-IV) while preserving the nanowire's cylindrical morphology. In situ Raman scattering of the longitudinal transverse optical (LTO) mode demonstrates the high-pressure Si-I to Si-II phase transition near 9 GPa. Raman signal of the LTO phonon shows a decrease in intensity in the range of 9–14 GPa. Then, at 17 GPa, it is no longer detectable, indicating a second phase change (Si-II to Si-V) in the 14–17 GPa range. Recovery of exotic phases in individual silicon nanowires from diamond anvil cell experiments reaching 17 GPa is also shown. Raman measurements indicate Si-IV as the dominant phase in pressurized nanowires after decompression. Transmission electron microscopy and electron diffraction confirm crystalline Si-IV domains in individual nanowires. Computational electromagnetic simulations suggest that heating from the Raman laser probe is negligible and that near-hydrostatic pressure is the primary driving force for the formation of hexagonal silicon nanowires.

  4. Improving the cycling stability of silicon nanowire anodes with conducting polymer coatings

    KAUST Repository

    Yao, Yan; Liu, Nian; McDowell, Matthew T.; Pasta, Mauro; Cui, Yi

    2012-01-01

    For silicon nanowires (Si NWs) to be used as a successful high capacity lithium-ion battery anode material, improvements in cycling stability are required. Here we show that a conductive polymer surface coating on the Si NWs improves cycling stability; coating with PEDOT causes the capacity retention after 100 charge-discharge cycles to increase from 30% to 80% over bare NWs. The improvement in cycling stability is attributed to the conductive coating maintaining the mechanical integrity of the cycled Si material, along with preserving electrical connections between NWs that would otherwise have become electrically isolated during volume changes. © 2012 The Royal Society of Chemistry.

  5. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Black, Marcie [Bandgap Engineering, Lincoln, MA (United States)

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  6. The study of the sample size on the transverse magnetoresistance of bismuth nanowires

    International Nuclear Information System (INIS)

    Zare, M.; Layeghnejad, R.; Sadeghi, E.

    2012-01-01

    The effects of sample size on the galvanomagnetice properties of semimetal nanowires are theoretically investigated. Transverse magnetoresistance (TMR) ratios have been calculated within a Boltzmann Transport Equation (BTE) approach by specular reflection approximation. Temperature and radius dependence of the transverse magnetoresistance of cylindrical Bismuth nanowires are given. The obtained values are in good agreement with the experimental results, reported by Heremans et al. - Highlights: ► In this study effects of sample size on the galvanomagnetic properties of Bi. ► Nanowires were explained by Parrott theorem by solving the Boltzmann Transport Equation. ► Transverse magnetoresistance (TMR) ratios have been measured by specular reflection approximation. ► Temperature and radius dependence of the transverse magnetoresistance of cylindrical Bismuth nanowires are given. ► The obtained values are in good agreement with the experimental results, reported by Heremans et al.

  7. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  8. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  9. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    Science.gov (United States)

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  10. Mechanics of nanowire/nanotube in-surface buckling on elastomeric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, J; Huang, Y [Department of Mechanical Engineering, Northwestern University, Evanston, IL 60208 (United States); Ryu, S Y; Paik, U [Division of Materials Science and Engineering, Hanyang University, 17 Hangdang-dong, Sungdong-gu, Seoul 133-791 (Korea, Republic of); Hwang, K-C [Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China); Rogers, J A, E-mail: y-huang@northwestern.edu, E-mail: jrogers@uiuc.edu [Department of Materials Science and Engineering, Frederick-Seitz Materials Research Laboratory and Beckman Institute, University of Illinois at Urbana-Champaign, Illinois 61801 (United States)

    2010-02-26

    A continuum mechanics theory is established for the in-surface buckling of one-dimensional nanomaterials on compliant substrates, such as silicon nanowires on elastomeric substrates observed in experiments. Simple analytical expressions are obtained for the buckling wavelength, amplitude and critical buckling strain in terms of the bending and tension stiffness of the nanomaterial and the substrate elastic properties. The analysis is applied to silicon nanowires, single-walled carbon nanotubes, multi-walled carbon nanotubes, and carbon nanotube bundles. For silicon nanowires, the measured buckling wavelength gives Young's modulus to be 140 GPa, which agrees well with the prior experimental studies. It is shown that the energy for in-surface buckling is lower than that for normal (out-of-surface) buckling, and is therefore energetically favorable.

  11. Mechanics of nanowire/nanotube in-surface buckling on elastomeric substrates

    International Nuclear Information System (INIS)

    Xiao, J; Huang, Y; Ryu, S Y; Paik, U; Hwang, K-C; Rogers, J A

    2010-01-01

    A continuum mechanics theory is established for the in-surface buckling of one-dimensional nanomaterials on compliant substrates, such as silicon nanowires on elastomeric substrates observed in experiments. Simple analytical expressions are obtained for the buckling wavelength, amplitude and critical buckling strain in terms of the bending and tension stiffness of the nanomaterial and the substrate elastic properties. The analysis is applied to silicon nanowires, single-walled carbon nanotubes, multi-walled carbon nanotubes, and carbon nanotube bundles. For silicon nanowires, the measured buckling wavelength gives Young's modulus to be 140 GPa, which agrees well with the prior experimental studies. It is shown that the energy for in-surface buckling is lower than that for normal (out-of-surface) buckling, and is therefore energetically favorable.

  12. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    Science.gov (United States)

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  13. Controlled growth of single nanowires within a supported alumina template

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Faniel, S.

    2006-01-01

    A simple technique for fabricating single nanowires with well-defined position is presented. The process implies the use of a silicon nitride mask for selective electrochemical growth of the nanowires in a porous alumina template. We show that this method allows the realization of complex nanowire...

  14. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhuomin [Georgia Inst. of Technology, Atlanta, GA (United States)

    2017-08-28

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiative properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be used to

  15. An analytic model for gate-all-around silicon nanowire tunneling field effect transistors

    International Nuclear Information System (INIS)

    Liu Ying; He Jin; Chan Mansun; Ye Yun; Zhao Wei; Wu Wen; Deng Wan-Ling; Wang Wen-Ping; Du Cai-Xia

    2014-01-01

    An analytical model of gate-all-around (GAA) silicon nanowire tunneling field effect transistors (NW-TFETs) is developted based on the surface potential solutions in the channel direction and considering the band to band tunneling (BTBT) efficiency. The three-dimensional Poisson equation is solved to obtain the surface potential distributions in the partition regions along the channel direction for the NW-TFET, and a tunneling current model using Kane's expression is developed. The validity of the developed model is shown by the good agreement between the model predictions and the TCAD simulation results. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. Interfering Heralded Single Photons from Two Separate Silicon Nanowires Pumped at Different Wavelengths

    Directory of Open Access Journals (Sweden)

    Xiang Zhang

    2016-08-01

    Full Text Available Practical quantum photonic applications require on-demand single photon sources. As one possible solution, active temporal and wavelength multiplexing has been proposed to build an on-demand single photon source. In this scheme, heralded single photons are generated from different pump wavelengths in many temporal modes. However, the indistinguishability of these heralded single photons has not yet been experimentally confirmed. In this work, we achieve 88% ± 8% Hong–Ou–Mandel quantum interference visibility from heralded single photons generated from two separate silicon nanowires pumped at different wavelengths. This demonstrates that active temporal and wavelength multiplexing could generate indistinguishable heralded single photons.

  17. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    Science.gov (United States)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  18. Quantifying the Traction Force of a Single Cell by Aligned Silicon Nanowire Array

    KAUST Repository

    Li, Zhou

    2009-10-14

    The physical behaviors of stationary cells, such as the morphology, motility, adhesion, anchorage, invasion and metastasis, are likely to be important for governing their biological characteristics. A change in the physical properties of mammalian cells could be an indication of disease. In this paper, we present a silicon-nanowire-array based technique for quantifying the mechanical behavior of single cells representing three distinct groups: normal mammalian cells, benign cells (L929), and malignant cells (HeLa). By culturing the cells on top of NW arrays, the maximum traction forces of two different tumor cells (HeLa, L929) have been measured by quantitatively analyzing the bending of the nanowires. The cancer cell exhibits a larger traction force than the normal cell by ∼20% for a HeLa cell and ∼50% for a L929 cell. The traction forces have been measured for the L929 cells and mechanocytes as a function of culture time. The relationship between cells extending area and their traction force has been investigated. Our study is likely important for studying the mechanical properties of single cells and their migration characteristics, possibly providing a new cellular level diagnostic technique. © 2009 American Chemical Society.

  19. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  20. Solid-state diffusion as an efficient doping method for silicon nanowires and nanowire field effect transistors

    International Nuclear Information System (INIS)

    Moselund, K E; Ghoneim, H; Schmid, H; Bjoerk, M T; Loertscher, E; Karg, S; Signorello, G; Webb, D; Tschudy, M; Beyeler, R; Riel, H

    2010-01-01

    In this work we investigate doping by solid-state diffusion from a doped oxide layer, obtained by plasma-enhanced chemical vapor deposition (PECVD), as a means for selectively doping silicon nanowires (NWs). We demonstrate both n-type (phosphorous) and p-type (boron) doping up to concentrations of 10 20 cm -3 , and find that this doping mechanism is more efficient for NWs as opposed to planar substrates. We observe no diameter dependence in the range of 25 to 80 nm, which signifies that the NWs are uniformly doped. The drive-in temperature (800-950 deg. C) can be used to adjust the actual doping concentration in the range 2 x 10 18 to 10 20 cm -3 . Furthermore, we have fabricated NMOS and PMOS devices to show the versatility of this approach and the possibility of achieving segmented doping of NWs. The devices show high I on /I off ratios of around 10 7 and, especially for the PMOS, good saturation behavior and low hysteresis.

  1. Functionalization of silicon nanowires by conductive and non-conductive polymers

    Science.gov (United States)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  2. A room temperature light source based on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Lo Faro, M.J. [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy); MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania (Italy); D' Andrea, C. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Messina, E.; Fazio, B. [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy); Musumeci, P. [Dipartimento di Fisica e Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania (Italy); Franzò, G. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Gucciardi, P.G.; Vasi, C. [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy); Priolo, F. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania (Italy); Scuola Superiore di Catania, Via Valdisavoia 9, 95123 Catania (Italy); Iacona, F. [MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania (Italy); Irrera, A., E-mail: irrera@me.cnr.it [CNR-IPCF, Istituto per i Processi Chimico-Fisici, V. le F. Stagno D' Alcontres 37, 98158 Messina (Italy)

    2016-08-31

    We synthesized ultrathin Si nanowires (NWs) by metal assisted chemical wet etching, using a very thin discontinuous Au layer as precursor for the process. A bright room temperature emission in the visible range due to electron–hole recombination in quantum confined Si NWs is reported. A single walled carbon nanotube (CNT) suspension was prepared and dispersed in Si NW samples. The hybrid Si NW/CNT system exhibits a double emission at room temperature, both in the visible (due to Si NWs) and the IR (due to CNTs) range, thus demonstrating the realization of a low-cost material with promising perspectives for applications in Si-based photonics. - Highlights: • Synthesis of ultrathin Si nanowires (NWs) by metal-assisted chemical etching • Synthesis of NW/carbon nanotube (CNT) hybrid systems • Structural characterization of Si NWs and Si NW/CNT • Room temperature photoluminescence (PL) properties of Si NWs and of Si NW/CNT • Tuning of the PL properties of the Si NW/CNT hybrid system.

  3. Enhanced ionized impurity scattering in nanowires

    Science.gov (United States)

    Oh, Jung Hyun; Lee, Seok-Hee; Shin, Mincheol

    2013-06-01

    The electronic resistivity in silicon nanowires is investigated by taking into account scattering as well as the donor deactivation from the dielectric mismatch. The effects of poorly screened dopant atoms from the dielectric mismatch and variable carrier density in nanowires are found to play a crucial role in determining the nanowire resistivity. Using Green's function method within the self-consistent Born approximation, it is shown that donor deactivation and ionized impurity scattering combined with the charged interface traps successfully to explain the increase in the resistivity of Si nanowires while reducing the radius, measured by Björk et al. [Nature Nanotech. 4, 103 (2009)].

  4. Static friction between silicon nanowires and elastomeric substrates.

    Science.gov (United States)

    Qin, Qingquan; Zhu, Yong

    2011-09-27

    This paper reports the first direct measurements of static friction force and interfacial shear strength between silicon (Si) nanowires (NWs) and poly(dimethylsiloxane) (PDMS). A micromanipulator is used to manipulate and deform the NWs under a high-magnification optical microscope in real time. The static friction force is measured based on "the most-bent state" of the NWs. The static friction and interface shear strength are found to depend on the ultraviolet/ozone (UVO) treatment of PDMS. The shear strength starts at 0.30 MPa without UVO treatment, increases rapidly up to 10.57 MPa at 60 min of treatment and decreases for longer treatment. Water contact angle measurements suggest that the UVO-induced hydrophobic-to-hydrophilic conversion of PDMS surface is responsible for the increase in the static friction, while the hydrophobic recovery effect contributes to the decrease. The static friction between NWs and PDMS is of critical relevance to many device applications of NWs including NW-based flexible/stretchable electronics, NW assembly and nanocomposites (e.g., supercapacitors). Our results will enable quantitative interface design and control for such applications. © 2011 American Chemical Society

  5. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Science.gov (United States)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-08-01

    Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor-liquid-solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  6. Growth and applicability of radiation-responsive silica nanowires

    Science.gov (United States)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  7. Thermally responsive silicon nanowire arrays for native/denatured-protein separation

    International Nuclear Information System (INIS)

    Wang Hongwei; Wang Yanwei; Yuan Lin; Wang Lei; Yang Weikang; Wu Zhaoqiang; Li Dan; Chen Hong

    2013-01-01

    We present our findings of the selective adsorption of native and denatured proteins onto thermally responsive, native-protein resistant poly(N-isopropylacrylamide) (PNIPAAm) decorated silicon nanowire arrays (SiNWAs). The PNIPAAm–SiNWAs surface, which shows very low levels of native-protein adsorption, favors the adsorption of denatured proteins. The amount of denatured-protein adsorption is higher at temperatures above the lower critical solution temperature (LCST) of PNIPAAm. Temperature cycling surrounding the LCST, which ensures against thermal denaturation of native proteins, further increases the amount of denatured-protein adsorption. Moreover, the PNIPAAm–SiNWAs surface is able to selectively adsorb denatured protein even from mixtures of different protein species; meanwhile, the amount of native proteins in solution is kept nearly at its original level. It is believed that these results will not only enrich current understanding of protein interactions with PNIPAAm-modified SiNWAs surfaces, but may also stimulate applications of PNIPAAm–SiNWAs surfaces for native/denatured protein separation. (paper)

  8. Physical properties and applications of InxGa1-xN nanowires

    Science.gov (United States)

    Segura-Ruiz, J.; Gómez-Gómez, M.; Garro, N.; Martínez-Criado, G.; Cantarero, A.; Denker, C.; Malindretos, J.; Rizzi, A.

    2014-05-01

    We have successfully grown InxGa1-xN nanowires by plasma-assisted molecular beam epitaxy on silicon substrates. The alloy composition and crystal quality have been analyzed by Raman scattering, photoluminescence spectroscopy and x-ray fluorescence nanoprobe techniques. InxGa1-xN is an one-mode alloy, where the different optical modes have an intermediate frequency of that of pure InN and GaN. The sample composition can be derived from the Raman data. On the other hand, by using the optical gap provided by the emission spectra, we conclude that the samples have a lower Ga content than that provided by the Raman analysis. X-ray fluorescence maps and photoluminescence measured in single nanowires help to explain this contradictory result.

  9. Quantum-confined nanowires as vehicles for enhanced electrical transport

    International Nuclear Information System (INIS)

    Mohammad, S Noor

    2012-01-01

    Electrical transport in semiconductor nanowires taking quantum confinement and dielectric confinement into account has been studied. A distinctly new route has been employed for the study. The fundamental science underlying the model is based on a relationship between the quantum confinement and the structural disorder of the nanowire surface. The role of surface energy and thermodynamic imbalance in nanowire structural disorder has been described. A model for the diameter dependence of energy bandgap of nanowires has been developed. Ionized impurity scattering, dislocation scattering and acoustic phonon scattering have been taken into account to study carrier mobility. A series of calculations on silicon nanowires show that carrier mobility in nanowires can be greatly enhanced by quantum confinement and dielectric confinement. The electron mobility can, for example, be a factor of 2–10 higher at room temperature than the mobility in a free-standing silicon nanowire. The calculated results agree well with almost all experimental and theoretical results available in the literature. They successfully explain experimental observations not understood before. The model is general and applicable to nanowires from all possible semiconductors. It is perhaps the first physical model highlighting the impact of both quantum confinement and dielectric confinement on carrier transport. It underscores the basic causes of thin, lowly doped nanowires in the temperature range 200 K ≤ T ≤ 500 K yielding very high carrier mobility. It suggests that the scattering by dislocations (stacking faults) can be very detrimental for carrier mobility. (paper)

  10. Stretchable Conductive Composites from Cu-Ag Nanowire Felt.

    Science.gov (United States)

    Catenacci, Matthew J; Reyes, Christopher; Cruz, Mutya A; Wiley, Benjamin J

    2018-04-24

    Materials that retain a high conductivity under strain are essential for wearable electronics. This article describes a conductive, stretchable composite consisting of a Cu-Ag core-shell nanowire felt infiltrated with a silicone elastomer. This composite exhibits a retention of conductivity under strain that is superior to any composite with a conductivity greater than 1000 S cm -1 . This work also shows how the mechanical properties, conductivity, and deformation mechanism of the composite changes as a function of the stiffness of the silicone matrix. The retention of conductivity under strain was found to decrease as the Young's modulus of the matrix increased. This was attributed to void formation as a result of debonding between the nanowire felt and the elastomer. The nanowire composite was also patterned to create serpentine circuits with a stretchability of 300%.

  11. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  12. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    Science.gov (United States)

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  13. Molecular dynamics study of the thermal expansion coefficient of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Nejat Pishkenari, Hossein, E-mail: nejat@sharif.edu; Mohagheghian, Erfan; Rasouli, Ali

    2016-12-16

    Due to the growing applications of silicon in nano-scale systems, a molecular dynamics approach is employed to investigate thermal properties of silicon. Since simulation results rely upon interatomic potentials, thermal expansion coefficient (TEC) and lattice constant of bulk silicon have been obtained using different potentials (SW, Tersoff, MEAM, and EDIP) and results indicate that SW has a better agreement with the experimental observations. To investigate effect of size on TEC of silicon nanowires, further simulations are performed using SW potential. To this end, silicon nanowires of different sizes are examined and their TEC is calculated by averaging in different directions ([100], [110], [111], and [112]) and various temperatures. Results show that as the size increases, due to the decrease of the surface effects, TEC approaches its bulk value. - Highlights: • MD simulations of TEC and lattice constant of bulk silicon. • Effects of four potentials on the results. • Comparison to experimental data. • Investigating size effect on TEC of silicon nanowires.

  14. Tuning Light Emission of a Pressure-Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-phototronic Effects.

    Science.gov (United States)

    Chen, Mengxiao; Pan, Caofeng; Zhang, Taiping; Li, Xiaoyi; Liang, Renrong; Wang, Zhong Lin

    2016-06-28

    Based on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure-sensitive Si/ZnO nanowires heterostructure matrix light emitting diode (LED) array is developed. The light emission intensity of a single heterostructure LED is tuned by external strain: when the applied stress keeps increasing, the emission intensity first increases and then decreases with a maximum value at a compressive strain of 0.15-0.2%. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the LED emission intensity by utilizing the strain-induced piezo-polarization charges. It could tune the energy band diagrams at the junction area and regulate the optoelectronic processes such as charge carriers generation, separation, recombination, and transport. This study achieves tuning silicon based devices through piezo-phototronic effect.

  15. Synthesis, structure and photoelectrochemical properties of single crystalline silicon nanowire arrays

    International Nuclear Information System (INIS)

    Dalchiele, E.A.; Martin, F.; Leinen, D.; Marotti, R.E.; Ramos-Barrado, J.R.

    2010-01-01

    In the present work, n-type silicon nanowire (n-SiNW) arrays have been synthesized by self-assembly electroless metal deposition (EMD) nanoelectrochemistry. The synthesized n-SiNW arrays have been submitted to scanning electron microscopy (SEM), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), X-ray photoelectron spectroscopy (XPS), and optical studies. Initial probes of the solar device conversion properties and the photovoltaic parameters such as short-circuit current, open-circuit potential, and fill factor of the n-SiNW arrays have been explored using a liquid-junction in a photoelectrochemical (PEC) system under white light. Moreover, a direct comparison between the PEC performance of a polished n-Si(100) and the synthesized n-SiNW array photoelectrodes has been done. The PEC performance was significantly enhanced on the n-SiNWs photoelectrodes compared with that on polished n-Si(100).

  16. Silicon nanowires enhanced proliferation and neuronal differentiation of neural stem cell with vertically surface microenvironment.

    Science.gov (United States)

    Yan, Qiuting; Fang, Lipao; Wei, Jiyu; Xiao, Guipeng; Lv, Meihong; Ma, Quanhong; Liu, Chunfeng; Wang, Wang

    2017-09-01

    Owing to its biocompatibility, noncytotoxicity, biodegradability and three-dimensional structure, vertically silicon nanowires (SiNWs) arrays are a promising scaffold material for tissue engineering, regenerative medicine and relevant medical applications. Recently, its osteogenic differentiation effects, reorganization of cytoskeleton and regulation of the fate on stem cells have been demonstrated. However, it still remains unknown whether SiNWs arrays could affect the proliferation and neuronal differentiation of neural stem cells (NSCs) or not. In the present study, we have employed vertically aligned SiNWs arrays as culture systems for NSCs and proved that the scaffold material could promote the proliferation and neuronal differentiation of NSCs while maintaining excellent cell viability and stemness. Immunofluorescence imaging analysis, Western blot and RT-PCR results reveal that NSCs proliferation and neuronal differentiation efficiency on SiNWs arrays are significant greater than that on silicon wafers. These results implicate SiNWs arrays could offer a powerful platform for NSCs research and NSCs-based therapy in the field of neural tissue engineering.

  17. Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating

    International Nuclear Information System (INIS)

    Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Diaz Leon, Juan J.; Oye, Michael M.; Kobayashi, Nobuhiko P.; Wei, Min

    2014-01-01

    This paper describes our finding that optical properties of semiconductor nanowires were modified by depositing a thin layer of metal oxide. Indium phosphide nanowires were grown by metal organic chemical vapor deposition on silicon substrates with gold catalyst resulting in three-dimensional nanowire networks, and optical properties were obtained from the collective nanowire networks. The networks were coated with an aluminum oxide thin film deposited by plasma-enhanced atomic layer deposition. We studied the dependence of the peak wavelength of photoluminescence spectra on the thickness of the oxide coatings. A continuous blue shift in photoluminescence spectra was observed when the thickness of the oxide coating was increased. The observed blue shift is attributed to the Burstein-Moss effect due to increased carrier concentration in the nanowire cores caused by repulsion from intrinsic negative fixed charges located at the inner oxide surface. Samples were further characterized by scanning electron microscopy, Raman spectroscopy, transmission electron microscopy, and selective area diffractometry to better understand the physical mechanisms for the blue shift. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Photoluminescence blue shift of indium phosphide nanowire networks with aluminum oxide coating

    Energy Technology Data Exchange (ETDEWEB)

    Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Diaz Leon, Juan J.; Oye, Michael M.; Kobayashi, Nobuhiko P. [Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, University of California, Santa Cruz, CA (United States); Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA (United States); NASA Ames Research Center, Moffett Field, CA (United States); Wei, Min [Baskin School of Engineering, University of California Santa Cruz, Santa Cruz, CA (United States); School of Micro-Electronics and Solid-Electronics, University of Electronic Science and Technology of China, Chengdu (China)

    2014-07-15

    This paper describes our finding that optical properties of semiconductor nanowires were modified by depositing a thin layer of metal oxide. Indium phosphide nanowires were grown by metal organic chemical vapor deposition on silicon substrates with gold catalyst resulting in three-dimensional nanowire networks, and optical properties were obtained from the collective nanowire networks. The networks were coated with an aluminum oxide thin film deposited by plasma-enhanced atomic layer deposition. We studied the dependence of the peak wavelength of photoluminescence spectra on the thickness of the oxide coatings. A continuous blue shift in photoluminescence spectra was observed when the thickness of the oxide coating was increased. The observed blue shift is attributed to the Burstein-Moss effect due to increased carrier concentration in the nanowire cores caused by repulsion from intrinsic negative fixed charges located at the inner oxide surface. Samples were further characterized by scanning electron microscopy, Raman spectroscopy, transmission electron microscopy, and selective area diffractometry to better understand the physical mechanisms for the blue shift. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  20. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  1. Rhodium Nanoparticle-mesoporous Silicon Nanowire Nanohybrids for Hydrogen Peroxide Detection with High Selectivity

    Science.gov (United States)

    Song, Zhiqian; Chang, Hucheng; Zhu, Weiqin; Xu, Chenlong; Feng, Xinjian

    2015-01-01

    Developing nanostructured electrocatalysts, with low overpotential, high selectivity and activity has fundamental and technical importance in many fields. We report here rhodium nanoparticle and mesoporous silicon nanowire (RhNP@mSiNW) hybrids for hydrogen peroxide (H2O2) detection with high electrocatalytic activity and selectivity. By employing electrodes that loaded with RhNP@mSiNW nanohybrids, interference caused from both many electroactive substances and dissolved oxygen were eliminated by electrochemical assaying at an optimal potential of +75 mV. Furthermore, the electrodes exhibited a high detection sensitivity of 0.53 μA/mM and fast response (< 5 s). This high-performance nanohybrid electrocatalyst has great potential for future practical application in various oxidase-base biosensors. PMID:25588953

  2. ZrTiO4 nanowire growth using membrane-assisted Pechini route

    Directory of Open Access Journals (Sweden)

    P. R. de Lucena

    2014-11-01

    Full Text Available The high surface-to-volume ratio of nanowires makes them natural competitors as new device components. In this regard, a current major challenge is to produce quasi-one-dimensional nanostructures composed of well established oxide-based materials. This article reports the synthesis of ZrTiO4 nanowires on a silicon (100 wafer in a single-step deposition/thermal treatment. The template-directed membrane synthesis strategy was associated with the Pechini route and spin-coating deposition technique. ZrTiO4 nanowires were obtained at 700 ˚C with diameters in the range of 80-100 nm. FEG- SEM images were obtained to investigate ZrTiO4 nanowire formation on the silicon surface and energy dispersive x-ray detection (EDS and x-ray diffraction (XRD analyses were performed to confirm the oxide composition and structure.

  3. ZrTiO4 Nanowire Growth Using Membrane-assisted Pechini Route

    Directory of Open Access Journals (Sweden)

    Poty Rodrigues de Lucena

    2016-02-01

    Full Text Available The high surface-to-volume ratio of nanowires makes them natural competitors as newer device components. In this regard, a current major challenge is to produce quasi-one-dimensional nanostructures composed of well-established oxide-based materials. This article reports the synthesis of ZrTiO4 nanowires on a silicon (100 wafer in a single-step deposition/thermal treatment. The template-directed membrane synthesis strategy was associated with the Pechini route and spin-coating deposition technique. ZrTiO4 nanowires were obtained at 700 °C with diameters in the range of 80-100 nm. FEGSEM images were obtained to investigate ZrTiO4 nanowire formation on the silicon surface and energy dispersive X-ray detection (EDS and X-ray diffraction (XRD analyses were performed to confirm the oxide composition and structure. 

  4. Time-dependent optical response of three-dimensional Au nanoparticle arrays formed on silica nanowires

    Science.gov (United States)

    Di Mario, Lorenzo; Otomalo, Tadele Orbula; Catone, Daniele; O'Keeffe, Patrick; Tian, Lin; Turchini, Stefano; Palpant, Bruno; Martelli, Faustino

    2018-03-01

    We present stationary and transient absorption measurements on 3D Au nanoparticle (NP)-decorated Si O2 nanowire arrays. The 3D NP array has been produced by the dewetting of a thin Au film deposited on silica nanowires produced by oxidation of silicon nanowires. The experimental behaviors of the spectral and temporal dynamics observed in the experiment are accurately described by a two-step, three-temperature model. Using an arbitrary set of Au NPs with different aspect ratios, we demonstrate that the width of the experimental spectra, the energy shift of their position with time, and the asymmetry between the two positive wings in the dynamical variation of absorption can all be attributed to the nonuniform shape distribution of the Au NPs in the sample.

  5. Functionalization of silicon nanowire surfaces with metal-organic frameworks

    KAUST Repository

    Liu, Nian

    2011-12-28

    Metal-organic frameworks (MOFs) and silicon nanowires (SiNWs) have been extensively studied due to their unique properties; MOFs have high porosity and specific surface area with well-defined nanoporous structure, while SiNWs have valuable one-dimensional electronic properties. Integration of the two materials into one composite could synergistically combine the advantages of both materials and lead to new applications. We report the first example of a MOF synthesized on surface-modified SiNWs. The synthesis of polycrystalline MOF-199 (also known as HKUST-1) on SiNWs was performed at room temperature using a step-by-step (SBS) approach, and X-ray photoelectron spectroscopy, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and energy dispersive spectroscopy elemental mapping were used to characterize the material. Matching of the SiNW surface functional groups with the MOF organic linker coordinating groups was found to be critical for the growth. Additionally, the MOF morphology can by tuned by changing the soaking time, synthesis temperature and precursor solution concentration. This SiNW/MOF hybrid structure opens new avenues for rational design of materials with novel functionalities. © 2011 Tsinghua University Press and Springer-Verlag Berlin Heidelberg.

  6. Ultradense, Deep Subwavelength Nanowire Array Photovoltaics As Engineered Optical Thin Films

    KAUST Repository

    Tham, Douglas; Heath, James R.

    2010-01-01

    A photovoltaic device comprised of an array of 20 nm wide, 32 nm pitch array of silicon nanowires is modeled as an optical material. The nanowire array (NWA) has characteristic device features that are deep in the subwavelength regime for light

  7. Optimization of Aluminum Anodization Conditions for the Fabrication of Nanowires by Electrodeposition

    Science.gov (United States)

    Fucsko, Viola

    2005-01-01

    Anodized alumina nanotemplates have a variety of potential applications in the development of nanotechnology. Alumina nanotemplates are formed by oxidizing aluminum film in an electrolyte solution.During anodization, aluminum oxidizes, and, under the proper conditions, nanometer-sized pores develop. A series of experiments was conducted to determine the optimal conditions for anodization. Three-micrometer thick aluminum films on silicon and silicon oxide substrates were anodized using constant voltages of 13-25 V. 0.1-0.3M oxalic acid was used as the electrolyte. The anodization time was found to increase and the overshooting current decreased as both the voltage and the electrolyte concentrations were decreased. The samples were observed under a scanning electron microscope. Anodizing with 25V in 0.3M oxalic acid appears to be the best process conditions. The alumina nanotemplates are being used to fabricate nanowires by electrodeposition. The current-voltage characteristics of copper nanowires have also been studied.

  8. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  9. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    Science.gov (United States)

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  10. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  11. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  12. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    Science.gov (United States)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  13. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates

    International Nuclear Information System (INIS)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Kim, Sangsig; Koo, Yong-Seo

    2009-01-01

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p + drain and n + channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  14. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Kim, Sangsig [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-Dong, Seongbuk-Gu, Seoul 136-701 (Korea, Republic of); Koo, Yong-Seo, E-mail: sangsig@korea.ac.k [Department of Electrical Engineering, Seokyeong University, 16-1, Jungneung-dong, Seongbuk-gu, Seoul 136-704 (Korea, Republic of)

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p{sup +} drain and n{sup +} channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  15. Modulation Doping of Silicon using Aluminium-induced Acceptor States in Silicon Dioxide

    OpenAIRE

    K?nig, Dirk; Hiller, Daniel; Gutsch, Sebastian; Zacharias, Margit; Smith, Sean

    2017-01-01

    All electronic, optoelectronic or photovoltaic applications of silicon depend on controlling majority charge carriers via doping with impurity atoms. Nanoscale silicon is omnipresent in fundamental research (quantum dots, nanowires) but also approached in future technology nodes of the microelectronics industry. In general, silicon nanovolumes, irrespective of their intended purpose, suffer from effects that impede conventional doping due to fundamental physical principles such as out-diffusi...

  16. The design of a new spiking neuron using dual work function silicon nanowire transistors

    International Nuclear Information System (INIS)

    Bindal, Ahmet; Hamedi-Hagh, Sotoudeh

    2007-01-01

    A new spike neuron cell is designed using vertically grown, undoped silicon nanowire transistors. This study presents an entire design cycle from designing and optimizing vertical nanowire transistors for minimal power dissipation to realizing a neuron cell and measuring its dynamic power consumption, performance and layout area. The design cycle starts with determining individual metal gate work functions for NMOS and PMOS transistors as a function of wire radius to produce a 300 mV threshold voltage. The wire radius and effective channel length are subsequently varied to find a common body geometry for both transistors that yields smaller than 1 pA OFF current while producing maximum drive currents. A spike neuron cell is subsequently built using these transistors to measure its transient performance, power dissipation and layout area. Post-layout simulation results indicate that the neuron consumes 0.397 μW to generate a +1 V and 1.12 μW to generate a -1 V output pulse for a fan-out of five synapses at 500 MHz; the power dissipation increases by approximately 3 nW for each additional synapse at the output for generating either pulse. The neuron circuit occupies approximately 0.27 μm 2

  17. In situ nanoscale refinement by highly controllable etching of the (111) silicon crystal plane and its influence on the enhanced electrical property of a silicon nanowire

    International Nuclear Information System (INIS)

    Gong Yibin; Dai Pengfei; Gao Anran; Li Tie; Zhou Ping; Wang Yuelin

    2011-01-01

    Nanoscale refinement on a (100) oriented silicon-on-insulator (SOI) wafer was introduced by using tetra-methyl-ammonium hydroxide (TMAH, 25 wt%) anisotropic silicon etchant, with temperature kept at 50 °C to achieve precise etching of the (111) crystal plane. Specifically for a silicon nanowire (SiNW) with oxide sidewall protection, the in situ TMAH process enabled effective size reduction in both lateral (2.3 nm/min) and vertical (1.7 nm/min) dimensions. A sub-50 nm SiNW with a length of microns with uniform triangular cross-section was achieved accordingly, yielding enhanced field effect transistor (FET) characteristics in comparison with its 100 nm-wide pre-refining counterpart, which demonstrated the feasibility of this highly controllable refinement process. Detailed examination revealed that the high surface quality of the (111) plane, as well as the bulk depletion property should be the causes of this electrical enhancement, which implies the great potential of the as-made cost-effective SiNW FET device in many fields. (semiconductor materials)

  18. Highly uniform ultraviolet-A quantum-confined AlGaN nanowire LEDs on metal/silicon with a TaN interlayer

    KAUST Repository

    Priante, Davide; Janjua, Bilal; Prabaswara, Aditya; Subedi, Ram Chandra; Elafandy, Rami T.; Lopatin, Sergei; Anjum, Dalaver H.; Zhao, Chao; Ng, Tien Khee; Ooi, Boon S.

    2017-01-01

    In this paper, we describe ultraviolet-A (UV-A) light-emitting diodes (LEDs) emitting at 325 nm based on a highly uniform structure of quantum-confined AlGaN quantum-disk nanowires (NWs). By incorporating a 20 nm TaN interlayer between a Ti pre-orienting layer and the silicon substrate, we eliminated the potential barrier for carrier injection and phonon transport, and inhibited the formation of interfacial silicide that led to device failure. Compared to previous reports on metal substrate, we achieved a 16 × reduction in root-mean-square (RMS) roughness, from 24 nm to 1.6 nm, for the samples with the Ti/TaN metal-bilayer, owing to the effective diffusion barrier characteristic of TaN. This was confirmed using energy dispersive X-ray spectroscopy (EDXS) and electron energy loss spectroscopy (EELS). We achieved a considerable increase in the injection current density (up to 90 A/cm2) compared to our previous studies, and an optical power of 1.9 μW for the 0.5 × 0.5 mm2 NWs-LED. This work provides a feasible pathway for both a reliable and stable UV-A device operation at elevated current injection, and eventually towards low-cost production of UV devices, leveraging on the scalability of silicon substrates.

  19. Highly uniform ultraviolet-A quantum-confined AlGaN nanowire LEDs on metal/silicon with a TaN interlayer

    KAUST Repository

    Priante, Davide

    2017-11-02

    In this paper, we describe ultraviolet-A (UV-A) light-emitting diodes (LEDs) emitting at 325 nm based on a highly uniform structure of quantum-confined AlGaN quantum-disk nanowires (NWs). By incorporating a 20 nm TaN interlayer between a Ti pre-orienting layer and the silicon substrate, we eliminated the potential barrier for carrier injection and phonon transport, and inhibited the formation of interfacial silicide that led to device failure. Compared to previous reports on metal substrate, we achieved a 16 × reduction in root-mean-square (RMS) roughness, from 24 nm to 1.6 nm, for the samples with the Ti/TaN metal-bilayer, owing to the effective diffusion barrier characteristic of TaN. This was confirmed using energy dispersive X-ray spectroscopy (EDXS) and electron energy loss spectroscopy (EELS). We achieved a considerable increase in the injection current density (up to 90 A/cm2) compared to our previous studies, and an optical power of 1.9 μW for the 0.5 × 0.5 mm2 NWs-LED. This work provides a feasible pathway for both a reliable and stable UV-A device operation at elevated current injection, and eventually towards low-cost production of UV devices, leveraging on the scalability of silicon substrates.

  20. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    Science.gov (United States)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  1. Growth and Raman spectroscopy studies of gold-free catalyzed semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zardo, Ilaria

    2010-12-15

    The present Ph.D. thesis proposes two aims: the search for catalysts alternative to gold for the growth of silicon nanowires and the investigation of the structural properties of the gold-free catalyzed Si, Ge, and GaAs nanowires. The successful growth of gold free catalyzed silicon nanowires was obtained using Ga and In as catalyst. Hydrogen plasma conditions were needed during the growth process. We proposed a growth mechanism where the role of the hydrogen plasma is taken into account. The influence of the growth conditions on nanowire growth morphology and structural properties was investigated in detail. The TEM studies showed the occurrence of different kind of twin defects depending on the nanowire growth direction. The intersection of twins in different spatial directions in <111>-oriented nanowires or the periodicity of highly dense twins in <112>-oriented nanowires leads to the formation of hexagonal domains embedded in the diamond silicon structure. A simple crystallographic model which illustrates the formation of the hexagonal phase was proposed. The presence of the hexagonal domains embedded in the diamond silicon structure was investigated also by means of Raman spectroscopy. The measured frequencies of the E2g and A1g modes were found to be in agreement with frequencies expected from phonon dispersion folding. An estimation of the percentage of hexagonal structure with respect to the cubic structure was given. The relative percentage of the two structures was found to change with growth temperature. Spatially resolved Raman scattering experiments were also realized on single Si nanowires. The lattice dynamics of gold-free catalyzed Ge and GaAs nanowires was studied by means of Raman spectroscopy. We performed spatially resolved Raman spectroscopy experiments on single crystalline- amorphous core-shell Ge nanowires. The correlation with TEM studies on nanowires grown under the same conditions and with AFM measurements realized of the same nanowires

  2. Physical properties and applications of InxGa1−xN nanowires

    International Nuclear Information System (INIS)

    Segura-Ruiz, J.; Gómez-Gómez, M.; Garro, N.; Cantarero, A.; Martínez-Criado, G.; Denker, C.; Malindretos, J.; Rizzi, A.

    2014-01-01

    We have successfully grown In x Ga 1−x N nanowires by plasma-assisted molecular beam epitaxy on silicon substrates. The alloy composition and crystal quality have been analyzed by Raman scattering, photoluminescence spectroscopy and x-ray fluorescence nanoprobe techniques. In x Ga 1−x N is an one-mode alloy, where the different optical modes have an intermediate frequency of that of pure InN and GaN. The sample composition can be derived from the Raman data. On the other hand, by using the optical gap provided by the emission spectra, we conclude that the samples have a lower Ga content than that provided by the Raman analysis. X-ray fluorescence maps and photoluminescence measured in single nanowires help to explain this contradictory result

  3. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  4. Field dependent response of magnetorheological elastomers utilizing spherical Fe particles versus Fe nanowires

    International Nuclear Information System (INIS)

    Song, H J; Wereley, N M; Bell, R C; Planinsek, J L; II, J A Filer

    2009-01-01

    This study compares the dynamic response of nanowire-based magnetorheological elastomers (MREs), to those containing conventional spherical particles. MRE samples were fabricated by curing the iron particle laden elastomeric material in a magnetic field. Material characteristics of the MRE samples were evaluated using a material test machine that was modified to measure static and frequency dependent characteristics of these samples under different magnetic fields. The MRE samples consisted of a silicone rubber matrix containing various weight fractions of iron particles of differing morphology. Nanowires were used to enhance the interaction forces and contact area between particles. The static and dynamic properties of the MREs were evaluated under a compressive load for the various compositions and weight fractions. The stress vs. strain characteristics were measured for each sample. The equivalent damping coefficient of the MRE samples was measured and characterized under magnetic fields of differing intensities. The dynamic characteristic (dynamic stiffness) was measured under sinusoidal excitation in the frequency domain.

  5. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    International Nuclear Information System (INIS)

    Pham, Van Binh; Pham, Xuan ThanhTung; Phan, Thanh Nhat Khoa; Le, Thi Thanh Tuyen; Dang, Mau Chien

    2015-01-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL"−"1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis. (paper)

  6. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    Science.gov (United States)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  7. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    Directory of Open Access Journals (Sweden)

    Imran Ali

    2018-04-01

    Full Text Available Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, an in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM. It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa once lithiation started. This finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.

  8. Two-Copy Wavelength Conversion of an 80 Gbit/s Serial Data Signal Using Cross-Phase Modulation in a Silicon Nanowire and Detailed Pump-Probe Characterisation

    DEFF Research Database (Denmark)

    Ji, Hua; Cleary, C. S.; Dailey, J. M.

    2012-01-01

    We experimentally demonstrate 80 Gbit/s wavelength conversion to two copies by simultaneously extracting the blue- and red-shifted sidebands from XPM in a silicon nanowire. Bit error rates of 10-9 with only ~2 dB power penalty is achieved for both sidebands. Detailed pump-probe characterisation r...

  9. Silicon Nanowire/Polymer Hybrid Solar Cell-Supercapacitor: A Self-Charging Power Unit with a Total Efficiency of 10.5.

    Science.gov (United States)

    Liu, Ruiyuan; Wang, Jie; Sun, Teng; Wang, Mingjun; Wu, Changsheng; Zou, Haiyang; Song, Tao; Zhang, Xiaohong; Lee, Shuit-Tong; Wang, Zhong Lin; Sun, Baoquan

    2017-07-12

    An integrated self-charging power unit, combining a hybrid silicon nanowire/polymer heterojunction solar cell with a polypyrrole-based supercapacitor, has been demonstrated to simultaneously harvest solar energy and store it. By efficiency enhancement of the hybrid nanowire solar cells and a dual-functional titanium film serving as conjunct electrode of the solar cell and supercapacitor, the integrated system is able to yield a total photoelectric conversion to storage efficiency of 10.5%, which is the record value in all the integrated solar energy conversion and storage system. This system may not only serve as a buffer that diminishes the solar power fluctuations from light intensity, but also pave its way toward cost-effective high efficiency self-charging power unit. Finally, an integrated device based on ultrathin Si substrate is demonstrated to expand its feasibility and potential application in flexible energy conversion and storage devices.

  10. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    Science.gov (United States)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  11. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  12. Hierarchical silicon nanowires-carbon textiles matrix as a binder-free anode for high-performance advanced lithium-ion batteries

    Science.gov (United States)

    Liu, Bin; Wang, Xianfu; Chen, Haitian; Wang, Zhuoran; Chen, Di; Cheng, Yi-Bing; Zhou, Chongwu; Shen, Guozhen

    2013-01-01

    Toward the increasing demands of portable energy storage and electric vehicle applications, the widely used graphite anodes with significant drawbacks become more and more unsuitable. Herein, we report a novel scaffold of hierarchical silicon nanowires-carbon textiles anodes fabricated via a facile method. Further, complete lithium-ion batteries based on Si and commercial LiCoO2 materials were assembled to investigate their corresponding across-the-aboard performances, demonstrating their enhanced specific capacity (2950 mAh g−1 at 0.2 C), good repeatability/rate capability (even >900 mAh g−1 at high rate of 5 C), long cycling life, and excellent stability in various external conditions (curvature, temperature, and humidity). Above results light the way to principally replacing graphite anodes with silicon-based electrodes which was confirmed to have better comprehensive performances. PMID:23572030

  13. Low-temperature grown indium oxide nanowire-based antireflection coatings for multi-crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yu-Cian; Chen, Chih-Yao; Chen, I Chen [Institute of Materials Science and Engineering, National Central University, Taoyuan (China); Kuo, Cheng-Wen; Kuan, Ta-Ming; Yu, Cheng-Yeh [TSEC Corporation, Hsinchu (China)

    2016-08-15

    Light harvesting by indium oxide nanowires (InO NWs) as an antireflection layer on multi-crystalline silicon (mc-Si) solar cells has been investigated. The low-temperature growth of InO NWs was performed in electron cyclotron resonance (ECR) plasma with an O{sub 2}-Ar system using indium nanocrystals as seed particles via the self-catalyzed growth mechanism. The size-dependence of antireflection properties of InO NWs was studied. A considerable enhancement in short-circuit current (from 35.39 to 38.33 mA cm{sup -2}) without deterioration of other performance parameters is observed for mc-Si solar cells coated with InO NWs. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Quantum Coherent States and Path Integral Method to Stochastically Determine the Anisotropic Volume Expansion in Lithiated Silicon Nanowires

    Directory of Open Access Journals (Sweden)

    Donald C. Boone

    2017-10-01

    Full Text Available This computational research study will analyze the multi-physics of lithium ion insertion into a silicon nanowire in an attempt to explain the electrochemical kinetics at the nanoscale and quantum level. The electron coherent states and a quantum field version of photon density waves will be the joining theories that will explain the electron-photon interaction within the lithium-silicon lattice structure. These two quantum particles will be responsible for the photon absorption rate of silicon atoms that are hypothesized to be the leading cause of breaking diatomic silicon covalent bonds that ultimately leads to volume expansion. It will be demonstrated through the combination of Maxwell stress tensor, optical amplification and path integrals that a stochastic analyze using a variety of Poisson distributions that the anisotropic expansion rates in the <110>, <111> and <112> orthogonal directions confirms the findings ascertained in previous works made by other research groups. The computational findings presented in this work are similar to those which were discovered experimentally using transmission electron microscopy (TEM and simulation models that used density functional theory (DFT and molecular dynamics (MD. The refractive index and electric susceptibility parameters of lithiated silicon are interwoven in the first principle theoretical equations and appears frequently throughout this research presentation, which should serve to demonstrate the importance of these parameters in the understanding of this component in lithium ion batteries.

  15. Piezoresistance of top-down suspended Si nanowires

    International Nuclear Information System (INIS)

    Koumela, A; Mercier, D; Dupre, C; Jourdan, G; Marcoux, C; Ollier, E; Duraffourg, L; Purcell, S T

    2011-01-01

    Measurements of the gauge factor of suspended, top-down silicon nanowires are presented. The nanowires are fabricated with a CMOS compatible process and with doping concentrations ranging from 2 x 10 20 down to 5 x 10 17 cm -3 . The extracted gauge factors are compared with results on identical non-suspended nanowires and with state-of-the-art results. An increase of the gauge factor after suspension is demonstrated. For the low doped nanowires a value of 235 is measured. Particular attention was paid throughout the experiments to distinguishing real resistance change due to strain modulation from resistance fluctuations due to charge trapping. Furthermore, a numerical model correlating surface charge density with the gauge factor is presented. Comparison of the simulations with experimental measurements shows the validity of this approach. These results contribute to a deeper understanding of the piezoresistive effect in Si nanowires.

  16. InGaN/GaN Nanowire LEDs and Lasers

    KAUST Repository

    Zhao, Chao

    2016-01-01

    The large specific surface, and the associated high density of surface states was found to limit the light output power and quantum efficiency of nanowire-array devices, despite their potential for addressing the “green-gap” and efficiency-droop issues. The phonon and carrier confinement in nanowires also led to junction heating, and reduced heat dissipation. In this paper, we will present our studies on effective surface states passivation in InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) and lasers grown on silicon (Si), as well as our recent work on nanowires LEDs grown on bulk-metal, a non-conventional substrate.

  17. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  18. Diagnosis of phosphorus monolayer doping in silicon based on nanowire electrical characterisation

    Science.gov (United States)

    Duffy, Ray; Ricchio, Alessio; Murphy, Ruaidhrí; Maxwell, Graeme; Murphy, Richard; Piaszenski, Guido; Petkov, Nikolay; Hydes, Alan; O'Connell, Dan; Lyons, Colin; Kennedy, Noel; Sheehan, Brendan; Schmidt, Michael; Crupi, Felice; Holmes, Justin D.; Hurley, Paul K.; Connolly, James; Hatem, Chris; Long, Brenda

    2018-03-01

    The advent of high surface-to-volume ratio devices has necessitated a revised approach to parameter extraction and process evaluation in field-effect transistor technologies. In this work, active doping concentrations are extracted from the electrical analysis of Si nanowire devices with high surface-to-volume ratios. Nanowire resistance and Si resistivity are extracted, by first extracting and subtracting out the contact resistance. Resistivity (ρ) is selected as the benchmark parameter to compare different doping processes with each other. The impacts of nanowire diameter scaling to 10 nm and of nanowire spacing scaling to resistivity and higher dopant activation, with dependencies on the nanowire width greater than on nanowire spacing. Limitations in ADP P monolayer doping with a SiO2 cap are due to the difficulties in dopant incorporation, as it is based on in-diffusion, and P atoms must overcome a potential barrier on the Si surface.

  19. Optical properties of nanowire metamaterials with gain

    DEFF Research Database (Denmark)

    Isidio de Lima, Joaquim Junior; Adam, Jost; Rego, Davi

    2016-01-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide....... The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice...... constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications....

  20. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    International Nuclear Information System (INIS)

    Setti, Grazielle O.; Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J.; Joanni, Ednan; Jesus, Dosil P.

    2015-01-01

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets

  1. Sputtered gold-coated ITO nanowires by alternating depositions from Indium and ITO targets for application in surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Setti, Grazielle O. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Mamián-López, Mónica B.; Pessoa, Priscila R.; Poppi, Ronei J. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil); Joanni, Ednan, E-mail: ednan.joanni@cti.gov.br [Renato Archer Information Technology Center, Rodovia Dom Pedro I (SP-65), Km 143,6 – Amarais, 13069-901 Campinas, SP (Brazil); Jesus, Dosil P. [Institute of Chemistry, University of Campinas, Campinas, P.O. Box 6154, 13083-970 Campinas, SP (Brazil)

    2015-08-30

    Graphical abstract: - Highlights: • ITO nanowires were grown by the sputtering method using a new synthesis procedure. • By changing the deposition parameters the morphology and dimensions of the nanostructures were modified. • Seed layer thickness was an important factor for obtaining branched nanowires. • SERS substrates having good performance and a high application potential were produced. • The first Raman results for our substrates are already comparable to commercial substrates. - Abstract: Indium Tin oxide (ITO) nanowires were deposited by RF sputtering over oxidized silicon using ITO and Indium targets. The nanowires grew on the substrate with a catalyst layer of Indium by the vapor–liquid–solid (VLS) mechanism. Modifications in the deposition conditions affected the morphology and dimensions of the nanowires. The samples, after being covered with gold, were evaluated as surface-enhanced Raman scattering (SERS) substrates for detection of dye solutions and very good intensifications of the Raman signal were obtained. The SERS performance of the samples was also compared to that of a commercial SERS substrate and the results achieved were similar. To the best of our knowledge, this is the first time ITO nanowires were grown by the sputtering technique using oxide and metal targets.

  2. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    Science.gov (United States)

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  3. Effects of lithium insertion on thermal conductivity of silicon nanowires

    International Nuclear Information System (INIS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-01-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms

  4. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  5. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    Directory of Open Access Journals (Sweden)

    Marta Agati

    2017-02-01

    Full Text Available Scanning transmission electron microscopy (STEM was successfully applied to the analysis of silicon nanowires (SiNWs that were self-assembled during an inductively coupled plasma (ICP process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs.

  6. Defect level characterization of silicon nanowire arrays: Towards novel experimental paradigms

    Energy Technology Data Exchange (ETDEWEB)

    Carapezzi, Stefania; Castaldini, Antonio; Cavallini, Anna [Department of Physics and Astronomy, University of Bologna, V.le Berti Pichat 6/2, Bologna (Italy); Irrera, Alessia [IPCF CNR, Viale Stagno D' Alcontres n. 37-98158, Messina, Italy and MATIS IMM CNR, Viale Santa Sofia n. 64, 95123 Catania (Italy)

    2014-02-21

    The huge amount of knowledge, and infrastructures, brought by silicon (Si) technology, make Si Nanowires (NWs) an ideal choice for nano-electronic Si-based devices. This, in turn, challenges the scientific research to adapt the technical and theoretical paradigms, at the base of established experimental techniques, in order to probe the properties of these systems. Metal-assisted wet-Chemical Etching (MaCE) [1, 2] is a promising fast, easy and cheap method to grow high aspect-ratio aligned Si NWs. Further, contrary to other fabrication methods, this method avoids the possible detrimental effects related to Au diffusion into NWs. We investigated the bandgap level diagram of MaCE Si NW arrays, phosphorous-doped, by means of Deep Level Transient Spectroscopy. The presence of both shallow and deep levels has been detected. The results have been examined in the light of the specificity of the MaCE growth. The study of the electronic levels in Si NWs is, of course, of capital importance in view of the integration of Si NW arrays as active layers in actual devices.

  7. Detection of DNA of genetically modified maize by a silicon nanowire field-effect transistor

    International Nuclear Information System (INIS)

    Pham, Van Binh; Tung Pham, Xuan Thanh; Duong Dang, Ngoc Thuy; Tuyen Le, Thi Thanh; Tran, Phu Duy; Nguyen, Thanh Chien; Nguyen, Van Quoc; Dang, Mau Chien; Tong, Duy Hien; Van Rijn, Cees J M

    2011-01-01

    A silicon nanowire field-effect transistor based sensor (SiNW-FET) has been proved to be the most sensitive and powerful device for bio-detection applications. In this paper, SiNWs were first fabricated by using our recently developed deposition and etching under angle technique (DEA), then used to build up the complete SiNW device based biosensor. The fabricated SiNW biosensor was used to detect DNA of genetically modified maize. As the DNA of the genetically modified maize has particular DNA sequences of 35S promoter, we therefore designed 21 mer DNA oligonucleotides, which are used as a receptor to capture the transferred DNA of maize. In our work, the SiNW biosensor could detect DNA of genetically modified maize with concentrations down to about 200 pM

  8. Temperature and directional dependences of the infrared dielectric function of free standing silicon nanowire

    Energy Technology Data Exchange (ETDEWEB)

    Kazan, M.; Bruyant, A.; Sedaghat, Z.; Arnaud, L.; Blaize, S.; Royer, P. [Laboratoire de Nanotechnologie et d' Instrumentation Optique, Institut Charles Delaunay, Universite de Technologie de Troyes, CNRS FRE 2848, 12 Rue Marie Curie, 10010 Troyes, Cedex (France)

    2011-03-15

    An approach to calculate the infrared dielectric function of semiconductor nanostructures is presented and applied to silicon (Si) nanowires (NW's). The phonon modes symmetries and frequencies are calculated by means of the elastic continuum medium theory. The modes strengths and damping are calculated from a model for lattice dynamics and perturbation theory. The data are used in anisotropic Lorentz oscillator model to generate the temperature and directional dependences of the infrared dielectric function of free standing Si NW's. Our results showed that in the direction perpendicular to the NW axis, the complex dielectric function is identical to that of bulk Si. However, along the NW axis, the infrared dielectric function is a strong function of the wavelength. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates

    KAUST Repository

    Janjua, Bilal

    2017-01-18

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm (80 mA in 0.5 × 0.5 mm device), a turn-on voltage of ∼5.5 V and droop-free behavior up to 120 A/cm of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  10. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates

    KAUST Repository

    Janjua, Bilal; Sun, Haiding; Zhao, Chao; Anjum, Dalaver H.; Priante, Davide; Alhamoud, Abdullah A.; Wu, Feng-Yu; Li, Xiaohang; Albadri, Abdulrahman M.; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Ng, Tien Khee; Ooi, Boon S.

    2017-01-01

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm (80 mA in 0.5 × 0.5 mm device), a turn-on voltage of ∼5.5 V and droop-free behavior up to 120 A/cm of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  11. Label-Free Virus Capture and Release by a Microfluidic Device Integrated with Porous Silicon Nanowire Forest.

    Science.gov (United States)

    Xia, Yiqiu; Tang, Yi; Yu, Xu; Wan, Yuan; Chen, Yizhu; Lu, Huaguang; Zheng, Si-Yang

    2017-02-01

    Viral diseases are perpetual threats to human and animal health. Detection and characterization of viral pathogens require accurate, sensitive, and rapid diagnostic assays. For field and clinical samples, the sample preparation procedures limit the ultimate performance and utility of the overall virus diagnostic protocols. This study presents the development of a microfluidic device embedded with porous silicon nanowire (pSiNW) forest for label-free size-based point-of-care virus capture in a continuous curved flow design. The pSiNW forests with specific interwire spacing are synthesized in situ on both bottom and sidewalls of the microchannels in a batch process. With the enhancement effect of Dean flow, this study demonstrates that about 50% H5N2 avian influenza viruses are physically trapped without device clogging. A unique feature of the device is that captured viruses can be released by inducing self-degradation of the pSiNWs in physiological aqueous environment. About 60% of captured viruses can be released within 24 h for virus culture, subsequent molecular diagnosis, and other virus characterization and analyses. This device performs viable, unbiased, and label-free virus isolation and release. It has great potentials for virus discovery, virus isolation and culture, functional studies of virus pathogenicity, transmission, drug screening, and vaccine development. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    Science.gov (United States)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  13. Surface chemistry and morphology of the solid electrolyte interphase on silicon nanowire lithium-ion battery anodes

    KAUST Repository

    Chan, Candace K.

    2009-04-01

    Silicon nanowires (SiNWs) have the potential to perform as anodes for lithium-ion batteries with a much higher energy density than graphite. However, there has been little work in understanding the surface chemistry of the solid electrolyte interphase (SEI) formed on silicon due to the reduction of the electrolyte. Given that a good, passivating SEI layer plays such a crucial role in graphite anodes, we have characterized the surface composition and morphology of the SEI formed on the SiNWs using X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). We have found that the SEI is composed of reduction products similar to that found on graphite electrodes, with Li2CO3 as an important component. Combined with electrochemical impedance spectroscopy, the results were used to determine the optimal cycling parameters for good cycling. The role of the native SiO2 as well as the effect of the surface area of the SiNWs on reactivity with the electrolyte were also addressed. © 2009 Elsevier B.V. All rights reserved.

  14. RF/microwave properties of nanotubes and nanowires : LDRD Project 105876 final report.

    Energy Technology Data Exchange (ETDEWEB)

    Scrymgeour, David; Lee, Mark; Hsu, Julia W. P.; Highstrete, Clark

    2009-09-01

    LDRD Project 105876 was a research project whose primary goal was to discover the currently unknown science underlying the basic linear and nonlinear electrodynamic response of nanotubes and nanowires in a manner that will support future efforts aimed at converting forefront nanoscience into innovative new high-frequency nanodevices. The project involved experimental and theoretical efforts to discover and understand high frequency (MHz through tens of GHz) electrodynamic response properties of nanomaterials, emphasizing nanowires of silicon, zinc oxide, and carbon nanotubes. While there is much research on DC electrical properties of nanowires, electrodynamic characteristics still represent a major new frontier in nanotechnology. We generated world-leading insight into how the low dimensionality of these nanomaterials yields sometimes desirable and sometimes problematic high-frequency properties that are outside standard model electron dynamics. In the cases of silicon nanowires and carbon nanotubes, evidence of strong disorder or glass-like charge dynamics was measured, indicating that these materials still suffer from serious inhomogeneities that limit there high frequency performance. Zinc oxide nanowires were found to obey conventional Drude dynamics. In all cases, a significant practical problem involving large impedance mismatch between the high intrinsic impedance of all nanowires and nanotubes and high-frequency test equipment had to be overcome.

  15. Fabrication and morphology of uniaxially aligned perylenediimide nanowires

    Science.gov (United States)

    Machida, Shinjiro; Tanikatsu, Makoto; Itaya, Akira; Ikeda, Noriaki

    2017-06-01

    Uniaxial alignment of crystalline nanowires consisting of N,N‧-dioctyl-3,4,9,10-perylenedicarboximide (PTCDI-C8) was achieved on poly(tetrafluoroethylene) (PTFE) layers prepared by friction transfer method on a glass substrate. The nanowires were formed by spin-coating a trifluoroacetic acid (TFA) solution of PTCDI-C8 on the PTFE layers and were further grown under TFA vapor atmosphere. The morphology of the PTCDI-C8 nanowires were characterized using atomic force microscope (AFM) and fluorescence optical microscope with changing the dye concentration in the spin coating solution, annealing time in the TFA vapor, and substrate materials. The nanowires prepared on the PTFE layer on a silica-coated silicon or a mica substrate did not grow so well as those on the glass substrate. This result suggests that the surface roughness would affect the PTFE layer and the growth of the PTCDI nanowires.

  16. Loose-fit graphitic encapsulation of silicon nanowire for one-dimensional Si anode design

    Institute of Scientific and Technical Information of China (English)

    Seh-Yoon Lim; Sudong Chae; Su-Ho Jung; Yuhwan Hyeon; Wonseok Jang; Won-Sub Yoon; Jae-Young Choi; Dongmok Whang

    2017-01-01

    Silicon nanowires (SiNWs) encapsulated with graphene-like carbon sheath (GS) having a void space in between (SiNW@V@GS) are demonstrated for the improved electrochemical performance of Si anode in lithium ion battery.The SiNW@V@GS structure was synthesized by a scalable fabrication method including four successive reactions:metal-catalyzed CVD growth of SiNWs,controlled thermal oxidation,and deposition of the graphitic layer,to form SiNW@SiO2@GS and additional chemical etching of sacrificial SiO2 layer between SiNWs and carbon sheath.During the synthetic process,the thickness of the void spacing was controlled by adjusting the oxidation-dependent process.The well-controlled void space and crystalline graphitic carbon sheath of the SiNW@V@GS structure enable good reversible capacity of 1444 mAhg-1 and cycling stability of 85% over 150 cycles.

  17. {Ni4O4} Cluster Complex to Enhance the Reductive Photocurrent Response on Silicon Nanowire Photocathodes

    Directory of Open Access Journals (Sweden)

    Yatin J. Mange

    2017-02-01

    Full Text Available Metal organic {Ni4O4} clusters, known oxidation catalysts, have been shown to provide a valuable route in increasing the photocurrent response on silicon nanowire (SiNW photocathodes. {Ni4O4} clusters have been paired with SiNWs to form a new photocathode composite for water splitting. Under AM1.5 conditions, the combination of {Ni4O4} clusters with SiNWs gave a current density of −16 mA/cm2, which corresponds to an increase in current density of 60% when compared to bare SiNWs. The composite electrode was fully characterised and shown to be an efficient and stable photocathode for water splitting.

  18. Surface-Passivated AlGaN Nanowires for Enhanced Luminescence of Ultraviolet Light Emitting Diodes

    KAUST Repository

    Sun, Haiding

    2017-12-19

    Spontaneously-grown, self-aligned AlGaN nanowire ultraviolet light emitting diodes still suffer from low efficiency partially because of the strong surface recombination caused by surface states, i.e., oxidized surface and high density surface states. Several surface passivation methods have been introduced to reduce surface non-radiative recombination by using complex and toxic chemicals. Here, we present an effective method to suppress such undesirable surface recombination of the AlGaN nanowires via diluted potassium hydroxide (KOH) solution; a commonly used chemical process in semiconductor fabrication which is barely used as surface passivation solution in self-assembled nitride-based nanowires. The transmission electron microscopy investigation on the samples reveals almost intact nanowire structures after the passivation process. We demonstrated an approximately 49.7% enhancement in the ultraviolet light output power after 30-s KOH treatment on AlGaN nanowires grown on titanium-coated silicon substrates. We attribute such a remarkable enhancement to the removal of the surface dangling bonds and oxidized nitrides (Ga-O or Al-O bonds) at the surface as we observe the change of the carrier lifetime before and after the passivation. Thus, our results highlight the possibility of employing this process for the realization of high performance nanowire UV emitters.

  19. Effect of growth temperature on photoluminescence and piezoelectric characteristics of ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Water, Walter [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Fang, T.-H. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Institute of Mechanical and Electromechanical Engineering, National Formosa University, Yunlin 632, Taiwan (China)], E-mail: fang.tehua@msa.hinet.net; Ji, L.-W.; Lee, C.-C. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China)

    2009-02-25

    ZnO nanowire arrays were synthesized on Au-coated silicon (1 0 0) substrates by using vapour-liquid-solid process in this work. The effect of growth temperatures on the crystal structure and the surface morphology of ZnO nanowires were investigated by X-ray diffraction and scanning electron microscope. The absorption and optical characteristics of the nanowires were examined by Ultraviolet/Visible spectroscopy, and photoluminescence, respectively. The photoluminescence results exhibited ZnO nanowires had an ultraviolet and blue emission at 383 and 492 nm. Then a nanogenerator with ZnO nanowire arrays was fabricated and demonstrated Schottky-like current-voltage characteristics.

  20. Ab initio vibrations in nonequilibrium nanowires

    DEFF Research Database (Denmark)

    Jauho, Antti-Pekka; Engelund, Mads; Markussen, T

    2010-01-01

    We review recent results on electronic and thermal transport in two different quasi one-dimensional systems: Silicon nanowires (SiNW) and atomic gold chains. For SiNW's we compute the ballistic electronic and thermal transport properties on equal footing, allowing us to make quantitative predicti...

  1. Electroless Fabrication of Cobalt Alloys Nanowires within Alumina Template

    Directory of Open Access Journals (Sweden)

    Nazila Dadvand

    2007-01-01

    Full Text Available A new method of nanowire fabrication based on electroless deposition process is described. The method is novel compared to the current electroless procedure used in making nanowires as it involves growing nanowires from the bottom up. The length of the nanowires was controlled at will simply by adjusting the deposition time. The nanowires were fabricated within the nanopores of an alumina template. It was accomplished by coating one side of the template by a thin layer of palladium in order to activate the electroless deposition within the nanopores from bottom up. However, prior to electroless deposition process, the template was pretreated with a suitable wetting agent in order to facilitate the penetration of the plating solution through the pores. As well, the electroless deposition process combined with oblique metal evaporation process within a prestructured silicon wafer was used in order to fabricate long nanowires along one side of the grooves within the wafer.

  2. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    Science.gov (United States)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  3. Physical properties and applications of In{sub x}Ga{sub 1−x}N nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Segura-Ruiz, J. [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia, Spain and European Synchrotron Radiation Facility, Experiments Division, 38043 Grenoble (France); Gómez-Gómez, M.; Garro, N.; Cantarero, A. [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Martínez-Criado, G. [European Synchrotron Radiation Facility, Experiments Division, 38043 Grenoble (France); Denker, C.; Malindretos, J.; Rizzi, A. [Georg-August-University Göttingen, IV. Physikalisches Institut, 37077 Göttingen (Germany)

    2014-05-15

    We have successfully grown In{sub x}Ga{sub 1−x}N nanowires by plasma-assisted molecular beam epitaxy on silicon substrates. The alloy composition and crystal quality have been analyzed by Raman scattering, photoluminescence spectroscopy and x-ray fluorescence nanoprobe techniques. In{sub x}Ga{sub 1−x}N is an one-mode alloy, where the different optical modes have an intermediate frequency of that of pure InN and GaN. The sample composition can be derived from the Raman data. On the other hand, by using the optical gap provided by the emission spectra, we conclude that the samples have a lower Ga content than that provided by the Raman analysis. X-ray fluorescence maps and photoluminescence measured in single nanowires help to explain this contradictory result.

  4. Comparison of the top-down and bottom-up approach to fabricate nanowire-based Silicon/Germanium heterostructures

    International Nuclear Information System (INIS)

    Wolfsteller, A.; Geyer, N.; Nguyen-Duc, T.-K.; Das Kanungo, P.; Zakharov, N.D.; Reiche, M.; Erfurth, W.; Blumtritt, H.; Werner, P.; Goesele, U.

    2010-01-01

    Silicon nanowires (NWs) and vertical nanowire-based Si/Ge heterostructures are expected to be building blocks for future devices, e.g. field-effect transistors or thermoelectric elements. In principle two approaches can be applied to synthesise these NWs: the 'bottom-up' and the 'top-down' approach. The most common method for the former is the vapour-liquid-solid (VLS) mechanism which can also be applied to grow NWs by molecular beam epitaxy (MBE). Although MBE allows a precise growth control under highly reproducible conditions, the general nature of the growth process via a eutectic droplet prevents the synthesis of heterostructures with sharp interfaces and high Ge concentrations. We compare the VLS NW growth with two different top-down methods: The first is a combination of colloidal lithography and metal-assisted wet chemical etching, which is an inexpensive and fast method and results in large arrays of homogenous Si NWs with adjustable diameters down to 50 nm. The second top-down method combines the growth of Si/Ge superlattices by MBE with electron beam lithography and reactive ion etching. Again, large and homogeneous arrays of NWs were created, this time with a diameter of 40 nm and the Si/Ge superlattice inside.

  5. Microstructural and magnetic characterizations of CoFeCu electrodeposited in self-assembled mesoporous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Fortas, G., E-mail: g.fortas@gmail.com [Centre de Recherche en Technologie des Semi-conducteur pour l’Energétique, Bd. 2 Frantz Fanon, les sept merveilles B.P.140, Alger (Algeria); Université des Sciences et de la Technologie Houari Boumediene, Faculté des Physique, BP 32 El Alia 16111 Bab Ezzouar, Alger (Algeria); Haine, N. [Université des Sciences et de la Technologie Houari Boumediene, Faculté des Physique, BP 32 El Alia 16111 Bab Ezzouar, Alger (Algeria); Sam, S.; Gabouze, N. [Centre de Recherche en Technologie des Semi-conducteur pour l’Energétique, Bd. 2 Frantz Fanon, les sept merveilles B.P.140, Alger (Algeria); Saifi, A. [Université Mouloud Mammeri, laboratoire de physique et de chimie quantique, BP No. 17 RP Hasnaoua Tizi-Ouzou 15000 (Algeria); Ouir, S. [Université Said SDB, Route De Soumaa BP 270, Blida (Algeria); Menari, H. [Centre de Recherche en Technologie des Semi-conducteur pour l’Energétique, Bd. 2 Frantz Fanon, les sept merveilles B.P.140, Alger (Algeria)

    2015-03-15

    Self-assembled mesoporous silicon with quasi-regular pore arrangements has been fabricated by the electrochemical anodization process in hydrofluoric acid solution. CoFeCu was electrodeposited in this structure from a bath containing sodium acetate as a complexing agent with a pH value of 5. The effect of current density on the morphology, the structure and the magnetic properties of CoFeCu deposit was studied by SEM, EDS, DRX and VSM. It has been shown that the morphology and structure of samples were strongly influenced by the current density and etching duration. The micrographs show the vertical and branched nanowires and also a discontinuous growth of wires. Further, the growth of a thick layer from the grain boundaries of released CoFeCu wires is produced. The magnetic hysteresis loops demonstrate that the CoFeCu nanowires exhibit easy magnetic axis perpendicular to the PS channels axis when the current density varied from 3 to 10 mA/cm{sup 2}. Nevertheless, they reveal a no magnetic anisotropy of CoFeCu nanostructures deposited only in the outside of porous silicon, probably due to the vanishing the shape anisotropy. - Highlights: • CoFeCu deposit has been electrodeposited on self assembled mesoporous silicon. • SEM observation shows that CoFeCu embedded in Porous silicon channels. • Magnetic measurements show the anisotropy magnetic behavior of CoFeCu nanostructures. • The growth rate of nanowires is enhanced with an increase of current density.

  6. FDTD modeling of solar energy absorption in silicon branched nanowires.

    Science.gov (United States)

    Lundgren, Christin; Lopez, Rene; Redwing, Joan; Melde, Kathleen

    2013-05-06

    Thin film nanostructured photovoltaic cells are increasing in efficiency and decreasing the cost of solar energy. FDTD modeling of branched nanowire 'forests' are shown to have improved optical absorption in the visible and near-IR spectra over nanowire arrays alone, with a factor of 5 enhancement available at 1000 nm. Alternate BNW tree configurations are presented, achieving a maximum absorption of over 95% at 500 nm.

  7. Surface-Coating Regulated Lithiation Kinetics and Degradation in Silicon Nanowires for Lithium Ion Battery

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Langli; Yang, Hui; Yan, Pengfei; Travis, Jonathan J.; Lee, Younghee; Liu, Nian; Piper, Daniela M.; Lee, Se-Hee; Zhao, Peng; George, Steven M.; Zhang, Jiguang; Cui, Yi; Zhang, Sulin; Ban, Chunmei; Wang, Chong M.

    2015-05-26

    Silicon (Si)-based materials hold promise as the next-generation anodes for high-energy lithium (Li)-ion batteries. Enormous research efforts have been undertaken to mitigate the chemo-mechanical failure due to the large volume changes of Si during lithiation and delithiation cycles. It has been found nanostructured Si coated with carbon or other functional materials can lead to significantly improved cyclability. However, the underlying mechanism and comparative performance of different coatings remain poorly understood. Herein, using in situ transmission electron microscopy (TEM) through a nanoscale half-cell battery, in combination with chemo-mechanical simulation, we explored the effect of thin (~5 nm) alucone and Al2O3 coatings on the lithiation kinetics of Si nanowires (SiNWs). We observed that the alucone coating leads to a “V-shaped” lithiation front of the SiNWs , while the Al2O3 coating yields an “H-shaped” lithiation front. These observations indicate that the difference between the Li surface diffusivity and bulk diffusivity of the coatings dictates lithiation induced morphological evolution in the nanowires. Our experiments also indicate that the reaction rate in the coating layer can be the limiting step for lithiation and therefore critically influences the rate performance of the battery. Further, the failure mechanism of the Al2O3 coated SiNWs was also explored. Our studies shed light on the design of high capacity, high rate and long cycle life Li-ion batteries.

  8. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    Science.gov (United States)

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  9. Dimensional effects in semiconductor nanowires; Dimensionseffekte in Halbleiternanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Stichtenoth, Daniel

    2008-06-23

    Nanomaterials show new physical properties, which are determined by their size and morphology. These new properties can be ascribed to the higher surface to volume ratio, to quantum size effects or to a form anisotropy. They may enable new technologies. The nanowires studied in this work have a diameter of 4 to 400 nm and a length up to 100 {mu}m. The semiconductor material used is mainly zinc oxide (ZnO), zinc sulfide (ZnS) and gallium arsenide (GaAs). All nanowires were synthesized according to the vapor liquid solid mechanism, which was originally postulated for the growth of silicon whiskers. Respective modifications for the growth of compound semiconductor nanowires are discussed. Detailed luminescence studies on ZnO nanowires with different diameters show pronounced size effects which can be attributed to the origins given above. Similar to bulk material, a tuning of the material properties is often essential for a further functionalization of the nanowires. This is typical realized by doping the source material. It becomes apparent, that a controlled doping of nanowires during the growth process is not successful. Here an alternative method is chosen: the doping after the growth by ion implantation. However, the doping by ion implantation goes always along with the creation of crystal defects. The defects have to be annihilated in order to reach an activation of th introduced dopants. At high ion fluences and ion masses the sputtering of surface atoms becomes more important. This results in a characteristic change in the morphology of the nanowires. In detail, the doping of ZnO and ZnS nanowires with color centers (manganese and rare earth elements) is demonstrated. Especially, the intra 3d luminescence of manganese implanted ZnS nanostructures shows a strong dependence of the nanowire diameter and morphology. This dependence can be described by expanding Foersters model (which describes an energy transfer to the color centers) by a dimensional parameter

  10. Finite difference discretization of semiconductor drift-diffusion equations for nanowire solar cells

    Science.gov (United States)

    Deinega, Alexei; John, Sajeev

    2012-10-01

    We introduce a finite difference discretization of semiconductor drift-diffusion equations using cylindrical partial waves. It can be applied to describe the photo-generated current in radial pn-junction nanowire solar cells. We demonstrate that the cylindrically symmetric (l=0) partial wave accurately describes the electronic response of a square lattice of silicon nanowires at normal incidence. We investigate the accuracy of our discretization scheme by using different mesh resolution along the radial direction r and compare with 3D (x, y, z) discretization. We consider both straight nanowires and nanowires with radius modulation along the vertical axis. The charge carrier generation profile inside each nanowire is calculated using an independent finite-difference time-domain simulation.

  11. In Situ TEM Creation of Nanowire Devices

    DEFF Research Database (Denmark)

    Alam, Sardar Bilal

    Integration of silicon nanowires (SiNWs) as active components in devices requires that desired mechanical, thermal and electrical interfaces can be established between the nanoscale geometry of the SiNW and the microscale architecture of the device. In situ transmission electron microscopy (TEM),...

  12. Efficiency enhancement of silicon nanowire solar cells by using UV/Ozone treatments and micro-grid electrodes

    Science.gov (United States)

    Chen, Junyi; Subramani, Thiyagu; Sun, Yonglie; Jevasuwan, Wipakorn; Fukata, Naoki

    2018-05-01

    Silicon nanowire solar cells were fabricated by metal catalyzed electroless etching (MCEE) followed by thermal chemical vapor deposition (CVD). In this study, we investigated two effects, a UV/ozone treatment and the use of a micro-grid electrodes, to enhance light absorption and reduce the optic losses in the solar cell device. The UV/ozone treatment successfully improved the conversion efficiency. The micro-grid electrodes were then applied in solar cell devices subjected to a back surface field (BSF) treatment and rapid thermal annealing (RTA). These effects improved the conversion efficiency from 9.4% to 10.9%. Moreover, to reduce surface recombination and improve the continuity of front electrodes, we optimized the etching time of the MCEE process, giving a high efficiency of 12.3%.

  13. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    Directory of Open Access Journals (Sweden)

    Michele Casiello

    2018-01-01

    Full Text Available Silicon nanowires (SiNWs decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs. A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation.

  14. Ti/TaN Bilayer for Efficient Injection and Reliable AlGaN Nanowires LEDs

    KAUST Repository

    Priante, Davide

    2018-05-07

    Reliable operation of UV AlGaN-based nanowires-LED at high injection current was realized by incorporating a Ti-pre-orienting/TaN-diffusion-barrier bilayer, thus enhancing external quantum efficiency, and resolving the existing device degradation issue in group-III-nanowires-on-silicon devices.

  15. Effect of substrate temperature on the microstructural properties of titanium nitride nanowires grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gbordzoe, S.; Kotoka, R.; Craven, Eric; Kumar, D.; Wu, F.; Narayan, J.

    2014-01-01

    The current work reports on the growth and microstructural characterization of titanium nitride (TiN) nanowires on single crystal silicon substrates using a pulsed laser deposition method. The physical and microstructural properties of the nanowires were characterized using field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The corrosion properties of the TiN nanowires compared to TiN thin film were evaluated using Direct Current potentiodynamic and electrochemical impedance spectroscopy. The nanowires corroded faster than the TiN thin film, because the nanowires have a larger surface area which makes them more reactive in a corrosive environment. It was observed from the FESEM image analyses that as the substrate temperature increases from 600 °C to 800 °C, there was an increase in both diameter (25 nm–50 nm) and length (150 nm–250 nm) of the nanowire growth. There was also an increase in spatial density with an increase of substrate temperature. The TEM results showed that the TiN nanowires grow epitaxially with the silicon substrate via domain matching epitaxy paradigm, despite a large misfit

  16. Analysis of an anti-reflecting nanowire transparent electrode for solar cells

    Science.gov (United States)

    Zhao, Zhexin; Wang, Ken Xingze; Fan, Shanhui

    2017-03-01

    Transparent electrodes are an important component in many optoelectronic devices, especially solar cells. In this paper, we investigate a nanowire transparent electrode that also functions as an anti-reflection coating for silicon solar cells, taking into account the practical constraints that the electrode is typically encapsulated and needs to be in electric contact with the semiconductor. Numerical simulations show that the electrode can provide near-perfect broadband anti-reflection over much of the frequency range above the silicon band gap for both polarizations while keeping the sheet resistance sufficiently low. To provide insights into the physics mechanism of this broadband anti-reflection, we introduce a generalized Fabry-Perot model, which captures the effects of the higher order diffraction channels as well as the modification of the reflection coefficient of the interface introduced by the nanowires. This model is validated using frequency-domain electromagnetic simulations. Our work here provides design guidelines for nanowire transparent electrode in a device configuration that is relevant for solar cell applications.

  17. Single cell detection using a magnetic zigzag nanowire biosensor.

    Science.gov (United States)

    Huang, Hao-Ting; Ger, Tzong-Rong; Lin, Ya-Hui; Wei, Zung-Hang

    2013-08-07

    A magnetic zigzag nanowire device was designed for single cell biosensing. Nanowires with widths of 150, 300, 500, and 800 nm were fabricated on silicon trenches by electron beam lithography, electron beam evaporation, and lift-off processes. Magnetoresistance measurements were performed before and after the attachment of a single magnetic cell to the nanowires to characterize the magnetic signal change due to the influence of the magnetic cell. Magnetoresistance responses were measured in different magnetic field directions, and the results showed that this nanowire device can be used for multi-directional detection. It was observed that the highest switching field variation occurred in a 150 nm wide nanowire when the field was perpendicular to the substrate plane. On the other hand, the highest magnetoresistance ratio variation occurred in a 800 nm wide nanowire also when the field was perpendicular to the substrate plane. Besides, the trench-structured substrate proposed in this study can fix the magnetic cell to the sensor in a fluid environment, and the stray field generated by the corners of the magnetic zigzag nanowires has the function of actively attracting the magnetic cells for detection.

  18. Ensembles of indium phosphide nanowires: physical properties and functional devices integrated on non-single crystal platforms

    International Nuclear Information System (INIS)

    Kobayashi, Nobuhiko P.; Lohn, Andrew; Onishi, Takehiro; Mathai, Sagi; Li, Xuema; Straznicky, Joseph; Wang, Shih-Yuan; Williams, R.S.; Logeeswaran, V.J.; Islam, M.S.

    2009-01-01

    A new route to grow an ensemble of indium phosphide single-crystal semiconductor nanowires is described. Unlike conventional epitaxial growth of single-crystal semiconductor films, the proposed route for growing semiconductor nanowires does not require a single-crystal semiconductor substrate. In the proposed route, instead of using single-crystal semiconductor substrates that are characterized by their long-range atomic ordering, a template layer that possesses short-range atomic ordering prepared on a non-single-crystal substrate is employed. On the template layer, epitaxial information associated with its short-range atomic ordering is available within an area that is comparable to that of a nanowire root. Thus the template layer locally provides epitaxial information required for the growth of semiconductor nanowires. In the particular demonstration described in this paper, hydrogenated silicon was used as a template layer for epitaxial growth of indium phosphide nanowires. The indium phosphide nanowires grown on the hydrogenerated silicon template layer were found to be single crystal and optically active. Simple photoconductors and pin-diodes were fabricated and tested with the view towards various optoelectronic device applications where group III-V compound semiconductors are functionally integrated onto non-single-crystal platforms. (orig.)

  19. Ensembles of indium phosphide nanowires: physical properties and functional devices integrated on non-single crystal platforms

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, Nobuhiko P.; Lohn, Andrew; Onishi, Takehiro [University of California, Santa Cruz (United States). Baskin School of Engineering; NASA Ames Research Center, Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, Univ. of California Santa Cruz, Moffett Field, CA (United States); Mathai, Sagi; Li, Xuema; Straznicky, Joseph; Wang, Shih-Yuan; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Logeeswaran, V.J.; Islam, M.S. [University of California Davis, Electrical and Computer Engineering, Davis, CA (United States)

    2009-06-15

    A new route to grow an ensemble of indium phosphide single-crystal semiconductor nanowires is described. Unlike conventional epitaxial growth of single-crystal semiconductor films, the proposed route for growing semiconductor nanowires does not require a single-crystal semiconductor substrate. In the proposed route, instead of using single-crystal semiconductor substrates that are characterized by their long-range atomic ordering, a template layer that possesses short-range atomic ordering prepared on a non-single-crystal substrate is employed. On the template layer, epitaxial information associated with its short-range atomic ordering is available within an area that is comparable to that of a nanowire root. Thus the template layer locally provides epitaxial information required for the growth of semiconductor nanowires. In the particular demonstration described in this paper, hydrogenated silicon was used as a template layer for epitaxial growth of indium phosphide nanowires. The indium phosphide nanowires grown on the hydrogenerated silicon template layer were found to be single crystal and optically active. Simple photoconductors and pin-diodes were fabricated and tested with the view towards various optoelectronic device applications where group III-V compound semiconductors are functionally integrated onto non-single-crystal platforms. (orig.)

  20. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    Science.gov (United States)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  1. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    Science.gov (United States)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  2. Construction of a four tip scanning tunneling microscope/scanning electron microscope combination and conductivity measurements of silicide nanowires

    International Nuclear Information System (INIS)

    Zubkov, Evgeniy

    2013-01-01

    In this work the combination of a four-tip scanning tunneling microscope with a scanning electron microscope is presented. By means of this apparatus it is possible to perform the conductivity measurements on the in-situ prepared nanostructures in ultra-high vacuum. With the aid of a scanning electron microscope (SEM), it becomes possible to position the tunneling tips of the four-tip scanning tunneling microscope (STM), so that an arrangement for a four-point probe measurement on nanostructures can be obtained. The STM head was built according to the novel coaxial Beetle concept. This concept allows on the one hand, a very compact arrangement of the components of the STM and on the other hand, the new-built STM head has a good mechanical stability, in order to achieve atomic resolution with all four STM units. The atomic resolution of the STM units was confirmed by scanning a Si(111)-7 x 7 surface. The thermal drift during the STM operation, as well as the resonant frequencies of the mechanical structure of the STM head, were determined. The scanning electron microscope allows the precise and safe navigation of the tunneling tips on the sample surface. Multi tip spectroscopy with up to four STM units can be performed synchronously. To demonstrate the capabilities of the new-built apparatus the conductivity measurements were carried out on metallic yttrium silicide nanowires. The nanowires were prepared by the in-situ deposition of yttrium on a heated Si(110) sample surface. Current-voltage curves were recorded on the nanowires and on the wetting layer in-between. The curves indicate an existence of the Schottky barrier between the yttrium silicide nanowires and the silicon bulk. By means of the two-tip measurements with a gate, the insulating property of the Schottky barrier has been confirmed. Using this Schottky barrier, it is possible to limit the current to the nanowire and to prevent it from flowing through the silicon bulk. A four-tip resistance measurement

  3. Core-shell heterojunction of silicon nanowire arrays and carbon quantum dots for photovoltaic devices and self-driven photodetectors.

    Science.gov (United States)

    Xie, Chao; Nie, Biao; Zeng, Longhui; Liang, Feng-Xia; Wang, Ming-Zheng; Luo, Linbao; Feng, Mei; Yu, Yongqiang; Wu, Chun-Yan; Wu, Yucheng; Yu, Shu-Hong

    2014-04-22

    Silicon nanostructure-based solar cells have lately intrigued intensive interest because of their promising potential in next-generation solar energy conversion devices. Herein, we report a silicon nanowire (SiNW) array/carbon quantum dot (CQD) core-shell heterojunction photovoltaic device by directly coating Ag-assisted chemical-etched SiNW arrays with CQDs. The heterojunction with a barrier height of 0.75 eV exhibited excellent rectifying behavior with a rectification ratio of 10(3) at ±0.8 V in the dark and power conversion efficiency (PCE) as high as 9.10% under AM 1.5G irradiation. It is believed that such a high PCE comes from the improved optical absorption as well as the optimized carrier transfer and collection capability. Furthermore, the heterojunction could function as a high-performance self-driven visible light photodetector operating in a wide switching wavelength with good stability, high sensitivity, and fast response speed. It is expected that the present SiNW array/CQD core-shell heterojunction device could find potential applications in future high-performance optoelectronic devices.

  4. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    Science.gov (United States)

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  5. Templated Control of Au nanospheres in Silica Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Tringe, J W; Vanamu, G; Zaidi, S H

    2007-03-15

    The formation of regularly-spaced metal nanostructures in selectively-placed insulating nanowires is an important step toward realization of a wide range of nano-scale electronic and opto-electronic devices. Here we report templated synthesis of Au nanospheres embedded in silica nanowires, with nanospheres consistently spaced with a period equal to three times their diameter. Under appropriate conditions, nanowires form exclusively on Si nanostructures because of enhanced local oxidation and reduced melting temperatures relative to templates with larger dimensions. We explain the spacing of the nanospheres with a general model based on a vapor-liquid-solid mechanism, in which an Au/Si alloy dendrite remains liquid in the nanotube until a critical Si concentration is achieved locally by silicon oxide-generated nanowire growth. Additional Si oxidation then locally reduces the surface energy of the Au-rich alloy by creating a new surface with minimum area inside of the nanotube. The isolated liquid domain subsequently evolves to become an Au nanosphere, and the process is repeated.

  6. Deterministic Line-Shape Programming of Silicon Nanowires for Extremely Stretchable Springs and Electronics.

    Science.gov (United States)

    Xue, Zhaoguo; Sun, Mei; Dong, Taige; Tang, Zhiqiang; Zhao, Yaolong; Wang, Junzhuan; Wei, Xianlong; Yu, Linwei; Chen, Qing; Xu, Jun; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2017-12-13

    Line-shape engineering is a key strategy to endow extra stretchability to 1D silicon nanowires (SiNWs) grown with self-assembly processes. We here demonstrate a deterministic line-shape programming of in-plane SiNWs into extremely stretchable springs or arbitrary 2D patterns with the aid of indium droplets that absorb amorphous Si precursor thin film to produce ultralong c-Si NWs along programmed step edges. A reliable and faithful single run growth of c-SiNWs over turning tracks with different local curvatures has been established, while high resolution transmission electron microscopy analysis reveals a high quality monolike crystallinity in the line-shaped engineered SiNW springs. Excitingly, in situ scanning electron microscopy stretching and current-voltage characterizations also demonstrate a superelastic and robust electric transport carried by the SiNW springs even under large stretching of more than 200%. We suggest that this highly reliable line-shape programming approach holds a strong promise to extend the mature c-Si technology into the development of a new generation of high performance biofriendly and stretchable electronics.

  7. Pulmonary Toxicity, Distribution, and Clearance of Intratracheally Instilled Silicon Nanowires in Rats

    Directory of Open Access Journals (Sweden)

    Jenny R. Roberts

    2012-01-01

    Full Text Available Silicon nanowires (Si NWs are being manufactured for use as sensors and transistors for circuit applications. The goal was to assess pulmonary toxicity and fate of Si NW using an in vivo experimental model. Male Sprague-Dawley rats were intratracheally instilled with 10, 25, 50, 100, or 250 μg of Si NW (~20–30 nm diameter; ~2–15 μm length. Lung damage and the pulmonary distribution and clearance of Si NW were assessed at 1, 3, 7, 28, and 91 days after-treatment. Si NW treatment resulted in dose-dependent increases in lung injury and inflammation that resolved over time. At day 91 after treatment with the highest doses, lung collagen was increased. Approximately 70% of deposited Si NW was cleared by 28 days with most of the Si NW localized exclusively in macrophages. In conclusion, Si NW induced transient lung toxicity which may be associated with an early rapid particle clearance; however, persistence of Si NW over time related to dose or wire length may lead to increased collagen deposition in the lung.

  8. Designing 3D Multihierarchical Heteronanostructures for High-Performance On-Chip Hybrid Supercapacitors: Poly(3,4-(ethylenedioxy)thiophene)-Coated Diamond/Silicon Nanowire Electrodes in an Aprotic Ionic Liquid.

    Science.gov (United States)

    Aradilla, David; Gao, Fang; Lewes-Malandrakis, Georgia; Müller-Sebert, Wolfgang; Gentile, Pascal; Boniface, Maxime; Aldakov, Dmitry; Iliev, Boyan; Schubert, Thomas J S; Nebel, Christoph E; Bidan, Gérard

    2016-07-20

    A versatile and robust hierarchically multifunctionalized nanostructured material made of poly(3,4-(ethylenedioxy)thiophene) (PEDOT)-coated diamond@silicon nanowires has been demonstrated to be an excellent capacitive electrode for supercapacitor devices. Thus, the electrochemical deposition of nanometric PEDOT films on diamond-coated silicon nanowire (SiNW) electrodes using N-methyl-N-propylpyrrolidinium bis((trifluoromethyl)sulfonyl)imide ionic liquid displayed a specific capacitance value of 140 F g(-1) at a scan rate of 1 mV s(-1). The as-grown functionalized electrodes were evaluated in a symmetric planar microsupercapacitor using butyltrimethylammonium bis((trifluoromethyl)sulfonyl)imide aprotic ionic liquid as the electrolyte. The device exhibited extraordinary energy and power density values of 26 mJ cm(-2) and 1.3 mW cm(-2) within a large voltage cell of 2.5 V, respectively. In addition, the system was able to retain 80% of its initial capacitance after 15 000 galvanostatic charge-discharge cycles at a high current density of 1 mA cm(-2) while maintaining a Coulombic efficiency around 100%. Therefore, this multifunctionalized hybrid device represents one of the best electrochemical performances concerning coated SiNW electrodes for a high-energy advanced on-chip supercapacitor.

  9. Probe based manipulation and assembly of nanowires into organized mesostructures

    Science.gov (United States)

    Reynolds, K.; Komulainen, J.; Kivijakola, J.; Lovera, P.; Iacopino, D.; Pudas, M.; Vähäkangas, J.; Röning, J.; Redmond, G.

    2008-12-01

    A convenient approach to patterning inorganic and organic nanowires using a novel probe manipulator is presented. The system utilizes an electrochemically etched tungsten wire probe mounted onto a 3D actuator that is directed by a 3D controller. When it is engaged by the user, the movement of the probe and the forces experienced by the tip are simultaneously reported in real time. Platinum nanowires are manipulated into organized mesostructures on silicon chip substrates. In particular, individual nanowires are systematically removed from aggregates, transferred to a chosen location, and manipulated into complex structures in which selected wires occupy specific positions with defined orientations. Rapid prototyping of complex mesostructures, by pushing, rotating and bending conjugated polymer, i.e., polyfluorene, nanowires into various configurations, is also achieved. By exploiting the strong internal axial alignment of polymer chains within the polyfluorene nanowires, mesostructures tailored to exhibit distinctly anisotropic optical properties, such as birefringence and photoluminescence dichroism, are successfully assembled on fused silica substrates.

  10. Probe based manipulation and assembly of nanowires into organized mesostructures

    International Nuclear Information System (INIS)

    Reynolds, K; Lovera, P; Iacopino, D; Redmond, G; Komulainen, J; Pudas, M; Vaehaekangas, J; Kivijakola, J; Roening, J

    2008-01-01

    A convenient approach to patterning inorganic and organic nanowires using a novel probe manipulator is presented. The system utilizes an electrochemically etched tungsten wire probe mounted onto a 3D actuator that is directed by a 3D controller. When it is engaged by the user, the movement of the probe and the forces experienced by the tip are simultaneously reported in real time. Platinum nanowires are manipulated into organized mesostructures on silicon chip substrates. In particular, individual nanowires are systematically removed from aggregates, transferred to a chosen location, and manipulated into complex structures in which selected wires occupy specific positions with defined orientations. Rapid prototyping of complex mesostructures, by pushing, rotating and bending conjugated polymer, i.e., polyfluorene, nanowires into various configurations, is also achieved. By exploiting the strong internal axial alignment of polymer chains within the polyfluorene nanowires, mesostructures tailored to exhibit distinctly anisotropic optical properties, such as birefringence and photoluminescence dichroism, are successfully assembled on fused silica substrates.

  11. Advanced photonic filters based on cascaded Sagnac loop reflector resonators in silicon-on-insulator nanowires

    Science.gov (United States)

    Wu, Jiayang; Moein, Tania; Xu, Xingyuan; Moss, David J.

    2018-04-01

    We demonstrate advanced integrated photonic filters in silicon-on-insulator (SOI) nanowires implemented by cascaded Sagnac loop reflector (CSLR) resonators. We investigate mode splitting in these standing-wave (SW) resonators and demonstrate its use for engineering the spectral profile of on-chip photonic filters. By changing the reflectivity of the Sagnac loop reflectors (SLRs) and the phase shifts along the connecting waveguides, we tailor mode splitting in the CSLR resonators to achieve a wide range of filter shapes for diverse applications including enhanced light trapping, flat-top filtering, Q factor enhancement, and signal reshaping. We present the theoretical designs and compare the CSLR resonators with three, four, and eight SLRs fabricated in SOI. We achieve versatile filter shapes in the measured transmission spectra via diverse mode splitting that agree well with theory. This work confirms the effectiveness of using CSLR resonators as integrated multi-functional SW filters for flexible spectral engineering.

  12. Enhanced vapour sensing using silicon nanowire devices coated with Pt nanoparticle functionalized porous organic frameworks

    KAUST Repository

    Cao, Anping

    2018-03-09

    Recently various porous organic frameworks (POFs, crystalline or amorphous materials) have been discovered, and used for a wide range of applications, including molecular separations and catalysis. Silicon nanowires (SiNWs) have been extensively studied for diverse applications, including as transistors, solar cells, lithium ion batteries and sensors. Here we demonstrate the functionalization of SiNW surfaces with POFs and explore its effect on the electrical sensing properties of SiNW-based devices. The surface modification by POFs was easily achieved by polycondensation on amine-modified SiNWs. Platinum nanoparticles were formed in these POFs by impregnation with chloroplatinic acid followed by chemical reduction. The final hybrid system showed highly enhanced sensitivity for methanol vapour detection. We envisage that the integration of SiNWs with POF selector layers, loaded with different metal nanoparticles will open up new avenues, not only in chemical and biosensing, but also in separations and catalysis.

  13. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  14. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  15. Self-assembled ZnO agave-like nanowires and anomalous superhydrophobicity

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Y H; Li, Z Y; Wang, B; Wang, C X; Chen, D H; Yang, G W [State Key Laboratory of Optoelectronic Materials and Technologies, School of Physics Science and Engineering, Zhongshan University, Guangzhou 510275 (China)

    2005-09-07

    Thin films of ZnO agave-like nanowires were prepared on amorphous carbon thin layers on silicon substrates using thermal chemical vapour transport and condensation without any metal catalysts. The unusual superhydrophobicity of the fabricated surface was measured; the water contact angle reaches 151.1 deg. On the basis of experimental and theoretical analyses, it appears likely that the biomimetic microcomposite and nanocomposite surfaces of the prepared thin films of ZnO agave-like nanowires are responsible for the excellent superhydrophobicity.

  16. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    Science.gov (United States)

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  17. Simple Synthesis and Growth Mechanism of Core/Shell CdSe/SiOx Nanowires

    Directory of Open Access Journals (Sweden)

    Guozhang Dai

    2010-01-01

    Full Text Available Core-shell-structured CdSe/SiOx nanowires were synthesized on an equilateral triangle Si (111 substrate through a simple one-step thermal evaporation process. SEM, TEM, and XRD investigations confirmed the core-shell structure; that is, the core zone is single crystalline CdSe and the shell zone is SiOx amorphous layer and CdSe core was grown along (001 direction. Two-stage growth process was present to explain the growth mechanism of the core/shell nanwires. The silicon substrate of designed equilateral triangle providing the silicon source is the key factor to form the core-shell nanowires, which is significant for fabrication of nanowire-core sheathed with a silica system. The PL of the product studied at room temperature showed two emission bands around 715 and 560 nm, which originate from the band-band transition of CdSe cores and the amorphous SiOx shells, respectively.

  18. Equivalence of the equilibrium and the nonequilibrium molecular dynamics methods for thermal conductivity calculations: From bulk to nanowire silicon

    Science.gov (United States)

    Dong, Haikuan; Fan, Zheyong; Shi, Libin; Harju, Ari; Ala-Nissila, Tapio

    2018-03-01

    Molecular dynamics (MD) simulations play an important role in studying heat transport in complex materials. The lattice thermal conductivity can be computed either using the Green-Kubo formula in equilibrium MD (EMD) simulations or using Fourier's law in nonequilibrium MD (NEMD) simulations. These two methods have not been systematically compared for materials with different dimensions and inconsistencies between them have been occasionally reported in the literature. Here we give an in-depth comparison of them in terms of heat transport in three allotropes of Si: three-dimensional bulk silicon, two-dimensional silicene, and quasi-one-dimensional silicon nanowire. By multiplying the correlation time in the Green-Kubo formula with an appropriate effective group velocity, we can express the running thermal conductivity in the EMD method as a function of an effective length and directly compare it to the length-dependent thermal conductivity in the NEMD method. We find that the two methods quantitatively agree with each other for all the systems studied, firmly establishing their equivalence in computing thermal conductivity.

  19. Control of the ZnO nanowires nucleation site using microfluidic channels.

    Science.gov (United States)

    Lee, Sang Hyun; Lee, Hyun Jung; Oh, Dongcheol; Lee, Seog Woo; Goto, Hiroki; Buckmaster, Ryan; Yasukawa, Tomoyuki; Matsue, Tomokazu; Hong, Soon-Ku; Ko, HyunChul; Cho, Meoung-Whan; Yao, Takafumi

    2006-03-09

    We report on the growth of uniquely shaped ZnO nanowires with high surface area and patterned over large areas by using a poly(dimethylsiloxane) (PDMS) microfluidic channel technique. The synthesis uses first a patterned seed template fabricated by zinc acetate solution flowing though a microfluidic channel and then growth of ZnO nanowire at the seed using thermal chemical vapor deposition on a silicon substrate. Variations the ZnO nanowire by seed pattern formed within the microfluidic channel were also observed for different substrates and concentrations of the zinc acetate solution. The photocurrent properties of the patterned ZnO nanowires with high surface area, due to their unique shape, were also investigated. These specialized shapes and patterning technique increase the possibility of realizing one-dimensional nanostructure devices such as sensors and optoelectric devices.

  20. Design of Indium Arsenide nanowire sensors for pH and biological sensing and low temperature transport through p-doped Indium Arsenide nanowires

    DEFF Research Database (Denmark)

    Upadhyay, Shivendra

    With the goal of real time electrical detection of chemical and biological species, nanowires have shown great promise with high sensitivity due to their large surface to volume ratio. While the focus of such electrical detection has shifted to one dimensional semiconductor nanostuctures, Silicon...

  1. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    Science.gov (United States)

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  2. Semiconductor nanowires and templates for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Ying, Xiang

    2009-07-15

    This thesis starts by developing a platform for the organized growth of nanowires directly on a planar substrate. For this, a method to fabricate horizontal porous alumina membranes is studied. The second part of the thesis focuses on the study of nanowires. It starts by the understanding of the growth mechanisms of germanium nanowires and follows by the structural and electrical properties at the single nanowire level. Horizontally aligned porous anodic alumina (PAA) was used as a template for the nanowire synthesis. Three PAA arrangements were studied: - high density membranes - micron-sized fingers - multi-contacts Membranes formed by a high density of nanopores were obtained by anodizing aluminum thin films. Metallic and semiconducting nanowires were synthesized into the PAA structures via DC deposition, pulsed electro-depostion and CVD growth. The presence of gold, copper, indium, nickel, tellurium, and silicon nanowires inside PAA templates was verified by SEM and EDX analysis. Further, room-temperature transport measurements showed that the pores are completely filled till the bottom of the pores. In this dissertation, single crystalline and core-shell germanium nanowires are synthesized using indium and bismuth as catalyst in a chemical vapor deposition procedure with germane (GeH{sub 4}) as growth precursor. A systematic growth study has been performed to obtain high aspect-ratio germanium nanowires. The influence of the growth conditions on the final morphology and the crystalline structure has been determined via scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). In the case of indium catalyzed germanium nanowires, two different structures were identified: single crystalline and crystalline core-amorphous shell. The preferential growth axis of both kinds of nanowires is along the [110] direction. The occurrence of the two morphologies was found to only depend on the nanowire dimension. In the case of bismuth

  3. Lithium Insertion In Silicon Nanowires: An ab Initio Study

    KAUST Repository

    Zhang, Qianfan; Zhang, Wenxing; Wan, Wenhui; Cui, Yi; Wang, Enge

    2010-01-01

    The ultrahigh specific lithium ion storage capacity of Si nanowires (SiNWs) has been demonstrated recently and has opened up exciting opportunities for energy storage. However, a systematic theoretical study on lithium insertion in SiNWs remains a

  4. Advanced photonic filters based on cascaded Sagnac loop reflector resonators in silicon-on-insulator nanowires

    Directory of Open Access Journals (Sweden)

    Jiayang Wu

    2018-04-01

    Full Text Available We demonstrate advanced integrated photonic filters in silicon-on-insulator (SOI nanowires implemented by cascaded Sagnac loop reflector (CSLR resonators. We investigate mode splitting in these standing-wave (SW resonators and demonstrate its use for engineering the spectral profile of on-chip photonic filters. By changing the reflectivity of the Sagnac loop reflectors (SLRs and the phase shifts along the connecting waveguides, we tailor mode splitting in the CSLR resonators to achieve a wide range of filter shapes for diverse applications including enhanced light trapping, flat-top filtering, Q factor enhancement, and signal reshaping. We present the theoretical designs and compare the CSLR resonators with three, four, and eight SLRs fabricated in SOI. We achieve versatile filter shapes in the measured transmission spectra via diverse mode splitting that agree well with theory. This work confirms the effectiveness of using CSLR resonators as integrated multi-functional SW filters for flexible spectral engineering.

  5. Piezoelectric Nanogenerator Using p-Type ZnO Nanowire Arrays

    KAUST Repository

    Lu, Ming-Pei; Song, Jinhui; Lu, Ming-Yen; Chen, Min-Teng; Gao, Yifan; Chen, Lih-Juann; Wang, Zhong Lin

    2009-01-01

    Using phosphorus-doped ZnO nanowire (NW) arrays grown on silicon substrate, energy conversion using the p-type ZnO NWs has been demonstrated for the first time. The p-type ZnO NWs produce positive output voltage pulses when scanned by a conductive

  6. Ambient template synthesis of multiferroic MnWO4 nanowires and nanowire arrays

    International Nuclear Information System (INIS)

    Zhou Hongjun; Yiu Yuen; Aronson, M.C.; Wong, Stanislaus S.

    2008-01-01

    The current report describes the systematic synthesis of polycrystalline, multiferroic MnWO 4 nanowires and nanowire arrays with controllable chemical composition and morphology, using a modified template-directed methodology under ambient room-temperature conditions. We were able to synthesize nanowires measuring 55±10, 100±20, and 260±40 nm in diameter, respectively, with lengths ranging in the microns. Extensive characterization of as-prepared samples has been performed using X-ray diffraction, scanning electron microscopy, transmission electron microscopy (TEM), high-resolution TEM, and energy-dispersive X-ray spectroscopy. Magnetic behavior in these systems was also probed. - Graphical abstract: Systematic synthesis of crystalline, multiferroic MnWO4 nanowires and nanowire arrays with controllable chemical composition and morphology, using a modified template-directed methodology under ambient room-temperature conditions

  7. Sample pretreatment for the determination of metal impurities in silicon wafer

    International Nuclear Information System (INIS)

    Chung, H. Y.; Kim, Y. H.; Yoo, H. D.; Lee, S. H.

    1999-01-01

    The analytical results obtained by microwave digestion and acid digestion methods for sample pretreatment to determine metal impurities in silicon wafer by inductively coupled plasma--mass spectrometry(ICP-MS) were compared. In order to decompose the silicon wafer, a mixed solution of HNO 3 and HF was added to the sample and the metal elements were determined after removing the silicon matrix by evaporating silicon in the form of Si-F. The recovery percentages of Ni, Cr and Fe were found to be 95∼106% for both microwave digestion and acid digestion methods. The recovery percentage of Cu obtained by the acid digestion method was higher than that obtained by the microwave digestion method. For Zn, however, the microwave digestion method gave better result than the acid digestion method. Fe was added to a silicon wafer using a spin coater. The concentration of Fe in this sample was determined by ICP-MS, and the same results were obtained in the two pretreatment methods

  8. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  9. Wavelength conversion of a 128 Gbit/s DP-QPSK signal in a silicon polarization diversity circuit

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Schroeder, Jochen; Ding, Yunhong

    2014-01-01

    Wavelength conversion of a 128 Gbit/s DP-QPSK signal is demonstrated using FWM in a polarization diversity circuit with silicon nanowires as nonlinear elements. Error-free performances are achieved with a negligible power penalty.......Wavelength conversion of a 128 Gbit/s DP-QPSK signal is demonstrated using FWM in a polarization diversity circuit with silicon nanowires as nonlinear elements. Error-free performances are achieved with a negligible power penalty....

  10. Characterization of electrical and optical properties of silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Guobin

    2009-12-04

    characteristic DRL lines D1 to D4 has been detected, indicating the dislocations in the Alile sample are relatively clean. Test p-n junction diodes with dislocation networks (DNs) produced by silicon wafer direct bonding have been investigated by EBIC technique. Charge carriers collection and electrical conduction phenomena by the DNs were observed. Inhomogeneities in the charge collection were detected in n- and p-type samples under appropriate beam energy. The diffusion lengths in the thin top layer of silicon-on-insulator (SOI) have been measured by EBIC with full suppression of the surface recombination at the buried oxide (BOX) layer and at surface of the top layer by biasing method. The measured diffusion length is several times larger than the layer thickness. Silicon nanostructures are another important subject of this work. Electrical and optical properties of various silicon based materials like silicon nanowires, silicon nano rods, porous silicon, and Si/SiO{sub 2} multi quantum wells (MQWs) samples were investigated in this work. Silicon sub-bandgap infrared (IR) luminescence around 1570 nm was found in silicon nanowires, nano rods and porous silicon. PL measurements with samples immersed in different liquid media, for example, in aqueous HF (50%), concentrated H{sub 2}SO{sub 4} (98%) and H{sub 2}O{sub 2} established that the subbandgap IR luminescence originated from the Si/SiO{sub x} interface. EL in the sub-bandgap IR range has been observed in simple devices prepared on porous silicon and MQWs at room temperature. (orig.)

  11. Three-dimensional electrodes for dye-sensitized solar cells: synthesis of indium-tin-oxide nanowire arrays and ITO/TiO2 core-shell nanowire arrays by electrophoretic deposition

    International Nuclear Information System (INIS)

    Wang, H-W; Ting, C-F; Hung, M-K; Chiou, C-H; Liu, Y-L; Liu Zongwen; Ratinac, Kyle R; Ringer, Simon P

    2009-01-01

    Dye-sensitized solar cells (DSSCs) show promise as a cheaper alternative to silicon-based photovoltaics for specialized applications, provided conversion efficiency can be maximized and production costs minimized. This study demonstrates that arrays of nanowires can be formed by wet-chemical methods for use as three-dimensional (3D) electrodes in DSSCs, thereby improving photoelectric conversion efficiency. Two approaches were employed to create the arrays of ITO (indium-tin-oxide) nanowires or arrays of ITO/TiO 2 core-shell nanowires; both methods were based on electrophoretic deposition (EPD) within a polycarbonate template. The 3D electrodes for solar cells were constructed by using a doctor-blade for coating TiO 2 layers onto the ITO or ITO/TiO 2 nanowire arrays. A photoelectric conversion efficiency as high as 4.3% was achieved in the DSSCs made from ITO nanowires; this performance was better than that of ITO/TiO 2 core-shell nanowires or pristine TiO 2 films. Cyclic voltammetry confirmed that the reaction current was significantly enhanced when a 3D ITO-nanowire electrode was used. Better separation of charge carriers and improved charge transport, due to the enlarged interfacial area, are thought to be the major advantages of using 3D nanowire electrodes for the optimization of DSSCs.

  12. Waveguide-integrated single- and multi-photon detection at telecom wavelengths using superconducting nanowires

    International Nuclear Information System (INIS)

    Ferrari, Simone; Kahl, Oliver; Kovalyuk, Vadim; Goltsman, Gregory N.; Korneev, Alexander; Pernice, Wolfram H. P.

    2015-01-01

    We investigate single- and multi-photon detection regimes of superconducting nanowire detectors embedded in silicon nitride nanophotonic circuits. At near-infrared wavelengths, simultaneous detection of up to three photons is observed for 120 nm wide nanowires biased far from the critical current, while narrow nanowires below 100 nm provide efficient single photon detection. A theoretical model is proposed to determine the different detection regimes and to calculate the corresponding internal quantum efficiency. The predicted saturation of the internal quantum efficiency in the single photon regime agrees well with plateau behavior observed at high bias currents

  13. Waveguide-integrated single- and multi-photon detection at telecom wavelengths using superconducting nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Ferrari, Simone; Kahl, Oliver [Institute of Nanotechnology, Karlsruhe Institute of Technology, Karlsruhe 76132 (Germany); Kovalyuk, Vadim [Institute of Nanotechnology, Karlsruhe Institute of Technology, Karlsruhe 76132 (Germany); Department of Physics, Moscow State Pedagogical University, Moscow 119992 (Russian Federation); Goltsman, Gregory N. [Department of Physics, Moscow State Pedagogical University, Moscow 119992 (Russian Federation); National Research University Higher School of Economics, 20 Myasnitskaya Ulitsa, Moscow 101000 (Russian Federation); Korneev, Alexander [Department of Physics, Moscow State Pedagogical University, Moscow 119992 (Russian Federation); Moscow Institute of Physics and Technology (State University), Moscow 141700 (Russian Federation); Pernice, Wolfram H. P., E-mail: wolfram.pernice@kit.edu [Institute of Nanotechnology, Karlsruhe Institute of Technology, Karlsruhe 76132 (Germany); Department of Physics, University of Münster, 48149 Münster (Germany)

    2015-04-13

    We investigate single- and multi-photon detection regimes of superconducting nanowire detectors embedded in silicon nitride nanophotonic circuits. At near-infrared wavelengths, simultaneous detection of up to three photons is observed for 120 nm wide nanowires biased far from the critical current, while narrow nanowires below 100 nm provide efficient single photon detection. A theoretical model is proposed to determine the different detection regimes and to calculate the corresponding internal quantum efficiency. The predicted saturation of the internal quantum efficiency in the single photon regime agrees well with plateau behavior observed at high bias currents.

  14. Stability and electronic properties of SiC nanowire adsorbed on MoS{sub 2} monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Munish, E-mail: munishsharmahpu@live.com; Pooja,; Ahluwalia, P. K. [Department of Physics, Himachal Pradesh University, Shimla, H. P., 171005 (India); Kumar, Ashok [Department of Physics, Panjab University, Chandigarh, 160014 (India)

    2015-06-24

    Structural stability and electronic properties of silicon carbide (SiC) nano-wire on MoS{sub 2} monolayer are investigated within the framework of density functional theory (DFT). The preferred binding site for the SiC nano-wire is predicted to be hollow site of monolayer. In the electronic band structure the states in valence band near Fermi level are mainly due to nano-wire leading to reduction of band gap relative to monolayer. These results provide a platform for their applications in optoelectronic devices.

  15. Kinetics of nickel silicide growth in silicon nanowires: From linear to square root growth

    International Nuclear Information System (INIS)

    Yaish, Y. E.; Beregovsky, M.; Katsman, A.; Cohen, G. M.

    2011-01-01

    The common practice for nickel silicide formation in silicon nanowires (SiNWs) relies on axial growth of silicide along the wire that is initiated from nickel reservoirs at the source and drain contacts. In the present work the silicide intrusions were studied for various parameters including wire diameter (25-50 nm), annealing time (15-120 s), annealing temperature (300-440 deg. C), and the quality of the initial Ni/Si interface. The silicide formation was investigated by high-resolution scanning electron microscopy, high-resolution transmission electron microscopy (TEM), and atomic force microscopy. The main part of the intrusion formed at 420 deg. C consists of monosilicide NiSi, as was confirmed by energy dispersive spectroscopy STEM, selected area diffraction TEM, and electrical resistance measurements of fully silicided SiNWs. The kinetics of nickel silicide axial growth in the SiNWs was analyzed in the framework of a diffusion model through constrictions. The model calculates the time dependence of the intrusion length, L, and predicts crossover from linear to square root time dependency for different wire parameters, as confirmed by the experimental data.

  16. Ultra-sensitive and selective detection of mercury ion (Hg2+) using free-standing silicon nanowire sensors

    Science.gov (United States)

    Jin, Yan; Gao, Anran; Jin, Qinghui; Li, Tie; Wang, Yuelin; Zhao, Jianlong

    2018-04-01

    In this paper, ultra-sensitive and highly selective Hg2+ detection in aqueous solutions was studied by free-standing silicon nanowire (SiNW) sensors. The all-around surface of SiNW arrays was functionalized with (3-Mercaptopropyl)trimethoxysilane serving as Hg2+ sensitive layer. Due to effective electrostatic control provided by the free-standing structure, a detection limit as low as 1 ppt was obtained. A linear relationship (R 2 = 0.9838) between log(CHg2+ ) and a device current change from 1 ppt to 5 ppm was observed. Furthermore, the developed SiNW sensor exhibited great selectivity for Hg2+ over other heavy metal ions, including Cd2+. Given the extraordinary ability for real-time Hg2+ detection, the small size and low cost of the SiNW device, it is expected to be a potential candidate in field detection of environmentally toxic mercury.

  17. Flexible InGaN nanowire membranes for enhanced solar water splitting

    KAUST Repository

    Elafandy, Rami T.; Elafandy, Rami T.; Min, Jung-Wook; Zhao, Chao; Ng, Tien Khee; Ooi, Boon S.

    2018-01-01

    III-Nitride nanowires (NWs) have recently emerged as potential photoelectrodes for efficient solar hydrogen generation. While InGaN NWs epitaxy over silicon is required for high crystalline quality and economic production, it leads to the formation

  18. Mapping the Complex Morphology of Cell Interactions with Nanowire Substrates Using FIB-SEM

    DEFF Research Database (Denmark)

    Wierzbicki, Rafal; Købler, Carsten; Jensen, Mikkel Ravn Boye

    2013-01-01

    Using high resolution focused ion beam scanning electron microscopy (FIB-SEM) we study the details of cell-nanostructure interactions using serial block face imaging. 3T3 Fibroblast cellular monolayers are cultured on flat glass as a control surface and on two types of nanostructured scaffold...... substrates made from silicon black (Nanograss) with low- and high nanowire density. After culturing for 72 hours the cells were fixed, heavy metal stained, embedded in resin, and processed with FIB-SEM block face imaging without removing the substrate. The sample preparation procedure, image acquisition...

  19. Electroluminescence from single nanowires by tunnel injection: an experimental study

    International Nuclear Information System (INIS)

    Zimmler, Mariano A; Bao Jiming; Shalish, Ilan; Yi, Wei; Yoon, Joonah; Narayanamurti, Venkatesh; Capasso, Federico

    2007-01-01

    We present a hybrid light-emitting diode structure composed of an n-type gallium nitride nanowire on a p-type silicon substrate in which current is injected along the length of the nanowire. The device emits ultraviolet light under both bias polarities. Tunnel injection of holes from the p-type substrate (under forward bias) and from the metal (under reverse bias) through thin native oxide barriers consistently explains the observed electroluminescence behaviour. This work shows that the standard p-n junction model is generally not applicable to this kind of device structure

  20. Synthesis and analysis of gold nanoclusters on silicon substrates by ion beams

    International Nuclear Information System (INIS)

    Sood, D.K.; Venkatachalam, D.K.; Bhargava, S.K.; Evans, P.J.

    2005-01-01

    To facilitate the growth of silica nanowires on silicon substrates, two different seeding techniques: 1) ion implantation and 2) chemical deposition of as-synthesised gold colloids have been compared for the formation of catalysing gold nanoclusters. The prepared substrates of both types were analysed using Rutherford backscattering spectrometry at ANSTO to determine the amount of gold and its depth distribution. The topography of the substrates deposited with chemically synthesised gold nanoparticles were studied under SEM. The preliminary ion beam (RBS) analysis has shown ion implantation as a novel technique for seeding Au nanoclusters on silicon substrates facilitating growth of nanowires. This method holds a great potential for using any metal across the periodic table that can act as catalysing seed nanoclusters for nanowire growth. The use of chemical deposition as a seeding technique to deposit as-synthesised gold nanoparticles requires further investigations. RBS results show significant difference in the depth distribution of the gold nanoparticles on silicon substrates seeded by two different techniques. (author). 6 refs., 4 figs

  1. Mechanical transfer of ZnO nanowires for a flexible and conformal piezotronic strain sensor

    Science.gov (United States)

    Jenkins, Kory; Yang, Rusen

    2017-07-01

    We demonstrate a truly conformal and flexible piezotronic strain sensor using zinc oxide (ZnO) nanowires. Well-aligned, vertical ZnO nanowires are grown by chemical vapor deposition on a silicon wafer with a hydrothermally grown ZnO seed layer. The nanowires are infiltrated with polydimethylsiloxane and mechanically transferred from the silicon substrate. Plasma etching exposes the top surface of the nanowires before deposition of a gold (Au) top electrode. The bottom electrode is formed by silver paint which also adheres the sensor to the measured structure. To demonstrate the sensor’s ability to conform to complex surfaces, a stepped shaft with a shoulder fillet is used. The sensor is attached to the shoulder fillet of the stepped shaft, conforming to both the circumference of the shaft, and the radius of the fillet. A periodic bending displacement is applied to the end of the shaft. The strain induces a piezoelectric potential in the ZnO nanowires which controls the barrier height and conductivity at the gold/ZnO interface, by what is known as the piezotronic effect. The conductivity change is measured for periodically applied strains. The nonlinear current-voltage (I-V) response of the device is due to the Schottky contact between the ZnO nanowires and gold electrode. The geometry of the stepped shaft corresponds to a known stress concentration factor, and the strain experienced by the shaft is estimated with a COMSOL FEA study. The conformal nature of the strain sensor makes it suitable for structural monitoring applications involving complex geometries and stress concentrators.

  2. Symposium LL: Nanowires--Synthesis Properties Assembly and Application

    Science.gov (United States)

    2010-09-10

    J. C. Wang, Y. C. Chang, H. C. Hsu, Tzer-En Nee, L. J. Chen, and J. H. He, 2008 J. Nanosci. Nanotechnol, 8, 3363-3368. [2] Y. Ishikawa , M. Okamoto...Silicon Nanowires. Kaoru Kaiiwara1, Masato Ara2 and Hirokazu Tada1; 1 Division of Materials Physics, Graduate school of Engineering Science, Toyonaka

  3. Process Development of Gallium Nitride Phosphide Core-Shell Nanowire Array Solar Cell

    Science.gov (United States)

    Chuang, Chen

    Dilute Nitride GaNP is a promising materials for opto-electronic applications due to its band gap tunability. The efficiency of GaNxP1-x /GaNyP1-y core-shell nanowire solar cell (NWSC) is expected to reach as high as 44% by 1% N and 9% N in the core and shell, respectively. By developing such high efficiency NWSCs on silicon substrate, a further reduction of the cost of solar photovoltaic can be further reduced to 61$/MWh, which is competitive to levelized cost of electricity (LCOE) of fossil fuels. Therefore, a suitable NWSC structure and fabrication process need to be developed to achieve this promising NWSC. This thesis is devoted to the study on the development of fabrication process of GaNxP 1-x/GaNyP1-y core-shell Nanowire solar cell. The thesis is divided into two major parts. In the first parts, previously grown GaP/GaNyP1-y core-shell nanowire samples are used to develop the fabrication process of Gallium Nitride Phosphide nanowire solar cell. The design for nanowire arrays, passivation layer, polymeric filler spacer, transparent col- lecting layer and metal contact are discussed and fabricated. The property of these NWSCs are also characterized to point out the future development of Gal- lium Nitride Phosphide NWSC. In the second part, a nano-hole template made by nanosphere lithography is studied for selective area growth of nanowires to improve the structure of core-shell NWSC. The fabrication process of nano-hole templates and the results are presented. To have a consistent features of nano-hole tem- plate, the Taguchi Method is used to optimize the fabrication process of nano-hole templates.

  4. Detection of electrically neutral and nonpolar molecules in ionic solutions using silicon nanowires

    Science.gov (United States)

    Wu, Ying-Pin; Chu, Chia-Jung; Tsai, Li-Chu; Su, Ya-Wen; Chen, Pei-Hua; Moodley, Mathew K.; Huang, Ding; Chen, Yit-Tsong; Yang, Ying-Jay; Chen, Chii-Dong

    2017-04-01

    We report on a technique that can extend the use of nanowire sensors to the detection of interactions involving nonpolar and neutral molecules in an ionic solution environment. This technique makes use of the fact that molecular interactions result in a change in the permittivity of the molecules involved. For the interactions taking place at the surface of nanowires, this permittivity change can be determined from the analysis of the measured complex impedance of the nanowire. To demonstrate this technique, histidine was detected using different charge polarities controlled by the pH value of the solution. This included the detection of electrically neutral histidine at a sensitivity of 1 pM. Furthermore, it is shown that nonpolar molecules, such as hexane, can also be detected. The technique is applicable to the use of nanowires with and without a surface-insulating oxide. We show that information about the changes in amplitude and the phase of the complex impedance reveals the fundamental characteristics of the molecular interactions, including the molecular field and the permittivity.

  5. In-situ doped junctionless polysilicon nanowires field effect transistors for low-cost biosensors

    Directory of Open Access Journals (Sweden)

    Azeem Zulfiqar

    2017-04-01

    Full Text Available Silicon nanowire (SiNW field effect transistor based biosensors have already been proven to be a promising tool to detect biomolecules. However, the most commonly used fabrication techniques involve expensive Silicon-On-Insulator (SOI wafers, E-beam lithography and ion-implantation steps. In the work presented here, a top down approach to fabricate SiNW junctionless field effect biosensors using novel in-situ doped polysilicon is demonstrated. The p-type polysilicon is grown with an optimum boron concentration that gives a good metal-silicon electrical contact while maintaining the doping level at a low enough level to provide a good sensitivity for the biosensor. The silicon nanowires are patterned using standard photolithography and a wet etch method. The metal contacts are made from magnetron sputtered TiW and e-beam evaporation of gold. The passivation of electrodes has been done by sputtered Si3N4 which is patterned by a lift-off process. The characterization of the critical fabrication steps is done by Secondary Ion Mass Spectroscopy (SIMS and by statistical analysis of the measurements made on the width of the SiNWs. The electrical characterization of the SiNW in air is done by sweeping the back gate voltage while keeping the source drain potential to a constant value and surface characterization is done by applying liquid gate in phosphate buffered saline (PBS solution. The fabricated SiNWs sensors functionalized with (3-aminopropyltriethoxysilane (APTES have demonstrated good sensitivity in detecting different pH buffer solutions. Keywords: In-situ doped, Polysilicon nanowire, Field effect transistor, Biosensor

  6. Nanowires and nanotubes of BN, GaN and Si3N4

    International Nuclear Information System (INIS)

    Deepak, F.L.; Gundiah, G.; Govindaraj, A.; Rao, C.N.

    2002-01-01

    Simple methods of synthesizing nanotubes and nanowires of boron nitride, gallium nitride and silicon nitride have been investigated. The nanotubes and nanowires have been examined by electron microscopy and other techniques. In the case of BN, activated carbon or multi-walled carbon nanotubes (MWNTs) was heated with boric acid in the presence of NH 3 . With activated carbon, BN nanowires constitute the primary products, but good yields of BN nanotubes are obtained with MWNTs. Aligned BN nanotubes are obtained when aligned MWNTs are employed as the starting material suggesting templating role of carbon nanotubes. Single crystal gallium nitride nanowires have been obtained by heating carbon nanotubes coated with gallium acetylacetonate in NH 3 vapor at 910 o C. Single walled carbon nanotubes were used as templated to reduce the diameter of the GaN nanowires. The growth direction of the GaN nanowires is nearly perpendicular to the [100] planes and the nanowires exhibit satisfactory photoluminescence spectra. Si 3 N 4 nanowires have been synthesized by heating multi-walled carbon nanotubes with silica gel at 1360 o C in an atmosphere of NH 3 . Si 3 N 4 nanotubes are found occasionally when aligned multi-walled nanotubes are employed as templates. (author)

  7. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  8. Biofunctionalization of ZnO nanowires for DNA sensory applications

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Ulrich Christian; Gnauck, Martin; Ronning, Carsten [Institute of Solid State Physics, University of Jena, Max-Wien-Platz 1, D-07743 Jena (Germany); Moeller, Robert; Rudolph, Bettina; Fritzsche, Wolfgang [Institut fuer Photonische Technologien e.V., Albert-Einstein-Strasse 9, D-07745 Jena (Germany)

    2011-07-01

    In recent years, DNA detecting systems have received a growing interest due to promising fields of application like DNA diagnostics, gene analysis, virus detection or forensic applications. Nanowire-based DNA biosensor allows both miniaturization and easy continuous monitoring of a detection signal by electrical means. The label free detection scheme based on electrochemical changes of the surface potential during immobilization of specific DNA probes was heretofore mainly studied for silicon. In this work a surface decoration process with bifunctional molecules known as silanization was applied to VLS-grown ZnO nanowires which both feature a large sensitivity for surface modification, are biocompatible and easy to synthesize as well. Successfully bound DNA was proved by fluorescence microscopy. Dielectrophoresis (DEP) was chosen and optimized for quickly contacting the ZnO nanowires. Furthermore, electrical signal characterization was performed in preparation for DNA sensory applications.

  9. Diameter-dependent coloration of silver nanowires

    International Nuclear Information System (INIS)

    Stewart, Mindy S; Qiu Chao; Jiang Chaoyang; Kattumenu, Ramesh; Singamaneni, Srikanth

    2011-01-01

    Silver nanowires were synthesized with a green method and characterized with microscopic and diffractometric methods. The correlation between the colors of the nanowires deposited on a solid substrate and their diameters was explored. Silver nanowires that appear similar in color in the optical micrographs have very similar diameters as determined by atomic force microscopy. We have summarized the diameter-dependent coloration for these silver nanowires. An optical interference model was applied to explain such correlation. In addition, microreflectance spectra were obtained from individual nanowires and the observed spectra can be explained with the optical interference theory. This work provides a cheap, quick and simple screening method for studying the diameter distribution of silver nanowires, as well as the diameter variations of individual silver nanowires, without complicated sample preparation.

  10. Bismuth-catalyzed and doped silicon nanowires for one-pump-down fabrication of radial junction solar cells.

    Science.gov (United States)

    Yu, Linwei; Fortuna, Franck; O'Donnell, Benedict; Jeon, Taewoo; Foldyna, Martin; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2012-08-08

    Silicon nanowires (SiNWs) are becoming a popular choice to develop a new generation of radial junction solar cells. We here explore a bismuth- (Bi-) catalyzed growth and doping of SiNWs, via vapor-liquid-solid (VLS) mode, to fabricate amorphous Si radial n-i-p junction solar cells in a one-pump-down and low-temperature process in a single chamber plasma deposition system. We provide the first evidence that catalyst doping in the SiNW cores, caused by incorporating Bi catalyst atoms as n-type dopant, can be utilized to fabricate radial junction solar cells, with a record open circuit voltage of V(oc) = 0.76 V and an enhanced light trapping effect that boosts the short circuit current to J(sc) = 11.23 mA/cm(2). More importantly, this bi-catalyzed SiNW growth and doping strategy exempts the use of extremely toxic phosphine gas, leading to significant procedure simplification and cost reduction for building radial junction thin film solar cells.

  11. Nonlinear optical interactions in silicon waveguides

    Directory of Open Access Journals (Sweden)

    Kuyken B.

    2017-03-01

    Full Text Available The strong nonlinear response of silicon photonic nanowire waveguides allows for the integration of nonlinear optical functions on a chip. However, the detrimental nonlinear optical absorption in silicon at telecom wavelengths limits the efficiency of many such experiments. In this review, several approaches are proposed and demonstrated to overcome this fundamental issue. By using the proposed methods, we demonstrate amongst others supercontinuum generation, frequency comb generation, a parametric optical amplifier, and a parametric optical oscillator.

  12. High-performance silicon nanotube tunneling FET for ultralow-power logic applications

    KAUST Repository

    Fahad, Hossain M.; Hussain, Muhammad Mustafa

    2013-01-01

    To increase typically low output drive currents from tunnel field-effect transistors (FETs), we show a silicon vertical nanotube (NT) architecture-based FET's effectiveness. Using core (inner) and shell (outer) gate stacks, the silicon NT tunneling FET shows a sub-60 mV/dec subthreshold slope, ultralow off -state leakage current, higher drive current compared with gate-all-around nanowire silicon tunnel FETs. © 1963-2012 IEEE.

  13. Piezoelectric effect in InAs/InP quantum rod nanowires grown on silicon substrate

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2014-01-01

    We report on the evidence of a strain-induced piezoelectric field in wurtzite InAs/InP quantum rod nanowires. This electric field, caused by the lattice mismatch between InAs and InP, results in the quantum confined Stark effect and, as a consequence, affects the optical properties of the nanowire heterostructure. It is shown that the piezoelectric field can be screened by photogenerated carriers or removed by increasing temperature. Moreover, a dependence of the piezoelectric field on the quantum rod diameter is observed in agreement with simulations of wurtzite InAs/InP quantum rod nanowire heterostructures

  14. Ultrafast Carbon Dioxide Sorption Kinetics Using Lithium Silicate Nanowires.

    Science.gov (United States)

    Nambo, Apolo; He, Juan; Nguyen, Tu Quang; Atla, Veerendra; Druffel, Thad; Sunkara, Mahendra

    2017-06-14

    In this paper, the Li 4 SiO 4 nanowires (NWs) were shown to be promising for CO 2 capture with ultrafast kinetics. Specifically, the nanowire powders exhibited an uptake of 0.35 g g -1 of CO 2 at an ultrafast adsorption rate of 0.22 g g -1 min -1 at 650-700 °C. Lithium silicate (Li 4 SiO 4 ) nanowires and nanopowders were synthesized using a "solvo-plasma" technique involving plasma oxidation of silicon precursors mixed with lithium hydroxide. The kinetic parameter values (k) extracted from sorption kinetics obtained using NW powders are 1 order of magnitude higher than those previously reported for the Li 4 SiO 4 -CO 2 reaction system. The time scales for CO 2 sorption using nanowires are approximately 3 min and two orders magnitude faster compared to those obtained using lithium silicate powders with spherical morphologies and aggregates. Furthermore, Li 4 SiO 4 nanowire powders showed reversibility through sorption-desorption cycles indicating their suitability for CO 2 capture applications. All of the morphologies of Li 4 SiO 4 powders exhibited a double exponential behavior in the adsorption kinetics indicating two distinct time constants for kinetic and the mass transfer limited regimes.

  15. Tracking Ultrafast Carrier Dynamics in Single Semiconductor Nanowire Heterostructures

    Directory of Open Access Journals (Sweden)

    Taylor A.J.

    2013-03-01

    Full Text Available An understanding of non-equilibrium carrier dynamics in silicon (Si nanowires (NWs and NW heterostructures is very important due to their many nanophotonic and nanoelectronics applications. Here, we describe the first measurements of ultrafast carrier dynamics and diffusion in single heterostructured Si nanowires, obtained using ultrafast optical microscopy. By isolating individual nanowires, we avoid complications resulting from the broad size and alignment distribution in nanowire ensembles, allowing us to directly probe ultrafast carrier dynamics in these quasi-one-dimensional systems. Spatially-resolved pump-probe spectroscopy demonstrates the influence of surface-mediated mechanisms on carrier dynamics in a single NW, while polarization-resolved femtosecond pump-probe spectroscopy reveals a clear anisotropy in carrier lifetimes measured parallel and perpendicular to the NW axis, due to density-dependent Auger recombination. Furthermore, separating the pump and probe spots along the NW axis enabled us to track space and time dependent carrier diffusion in radial and axial NW heterostructures. These results enable us to reveal the influence of radial and axial interfaces on carrier dynamics and charge transport in these quasi-one-dimensional nanosystems, which can then be used to tailor carrier relaxation in a single nanowire heterostructure for a given application.

  16. Electronic transport through Si nanowires: Role of bulk and surface disorder

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Brandbyge, Mads

    2006-01-01

    We calculate the resistance and mean free path in long metallic and semiconducting silicon nanowires (SiNW's) using two different numerical approaches: a real-space Kubo method and a recursive Green's-function method. We compare the two approaches and find that they are complementary: depending...

  17. Neuron Stimulation Device Integrated with Silicon Nanowire-Based Photodetection Circuit on a Flexible Substrate

    Directory of Open Access Journals (Sweden)

    Suk Won Jung

    2016-12-01

    Full Text Available This paper proposes a neural stimulation device integrated with a silicon nanowire (SiNW-based photodetection circuit for the activation of neurons with light. The proposed device is comprised of a voltage divider and a current driver in which SiNWs are used as photodetector and field-effect transistors; it has the functions of detecting light, generating a stimulation signal in proportion to the light intensity, and transmitting the signal to a micro electrode. To show the applicability of the proposed neural stimulation device as a high-resolution retinal prosthesis system, a high-density neural stimulation device with a unit cell size of 110 × 110 μ m and a resolution of 32 × 32 was fabricated on a flexible film with a thickness of approximately 50 μm. Its effectiveness as a retinal stimulation device was then evaluated using a unit cell in an in vitro animal experiment involving the retinal tissue of retinal Degeneration 1 (rd1 mice. Experiments wherein stimulation pulses were applied to the retinal tissues successfully demonstrate that the number of spikes in neural response signals increases in proportion to light intensity.

  18. Anisotropic Lithium Insertion Behavior in Silicon Nanowires: Binding Energy, Diffusion Barrier, and Strain Effect

    KAUST Repository

    Zhang, Qianfan; Cui, Yi; Wang, Enge

    2011-01-01

    Silicon nanowires (SiNWs) have recently been shown to be promising as high capacity lithium battery anodes. SiNWs can be grown with their long axis along several different crystallographic directions. Due to distinct atomic configuration and electronic structure of SiNWs with different axial orientations, their lithium insertion behavior could be different. This paper focuses on the characteristics of single Li defects, including binding energy, diffusion barriers, and dependence on uniaxial strain in [110], [100], [111], and [112] SiNWs. Our systematic ab initio study suggests that the Si-Li interaction is weaker when the Si-Li bond direction is aligned close to the SiNW long axis. This results in the [110] and [111] SiNWs having the highest and lowest Li binding energy, respectively, and it makes the diffusion barrier along the SiNW axis lower than other pathways. Under external strain, it was found that [110] and [001] SiNWs are the most and least sensitive, respectively. For diffusion along the axial direction, the barrier increases (decreases) under tension (compression). This feature results in a considerable difference in the magnitude of the energy barrier along different diffusion pathways. © 2011 American Chemical Society.

  19. Anisotropic Lithium Insertion Behavior in Silicon Nanowires: Binding Energy, Diffusion Barrier, and Strain Effect

    KAUST Repository

    Zhang, Qianfan

    2011-05-19

    Silicon nanowires (SiNWs) have recently been shown to be promising as high capacity lithium battery anodes. SiNWs can be grown with their long axis along several different crystallographic directions. Due to distinct atomic configuration and electronic structure of SiNWs with different axial orientations, their lithium insertion behavior could be different. This paper focuses on the characteristics of single Li defects, including binding energy, diffusion barriers, and dependence on uniaxial strain in [110], [100], [111], and [112] SiNWs. Our systematic ab initio study suggests that the Si-Li interaction is weaker when the Si-Li bond direction is aligned close to the SiNW long axis. This results in the [110] and [111] SiNWs having the highest and lowest Li binding energy, respectively, and it makes the diffusion barrier along the SiNW axis lower than other pathways. Under external strain, it was found that [110] and [001] SiNWs are the most and least sensitive, respectively. For diffusion along the axial direction, the barrier increases (decreases) under tension (compression). This feature results in a considerable difference in the magnitude of the energy barrier along different diffusion pathways. © 2011 American Chemical Society.

  20. Supercontinuum generation in silicon nanowire embedded photonic crystal fibers with different core geometries

    Science.gov (United States)

    Abdosllam, M. Abobaker; Gunasundari, E.; Senthilnathan, K.; Sivabalan, S.; Nakkeeran, K.; Ramesh Babu, P.

    2014-07-01

    We design various silicon nanowire embedded photonic crystal fibers (SN-PCFs) with different core geometries, namely, circular, rectangular and elliptical using finite element method. Further, we study the optical properties such as group velocity dispersion (GVD), third order dispersion (TOD) of x and y-polarized modes and effective nonlinearity for a wavelength range from 0.8 to 1.6 μm. The proposed structure exhibits almost flat GVD (0.8 to 1.2 μm wavelength), zero GVD (≍ 1.31 μm) and small TOD (0.00069 ps3/m) at 1.1 μm wavelength and high nonlinearity (2916 W-1m-1) at 0.8 μm wavelength for a 300 nm core diameter of circular core SN-PCF. Besides, we have been able to demonstrate the supercontinuum for the different core geometries at 1.3 μm wavelength with a less input power of 25 W for the input pulse of 20 fs. The numerical simulation results reveal that the proposed circular core SN-PCF could generate the supercontinuum of wider bandwidth (900 nm) compared to that from rest of the geometries. This enhanced bandwidth turns out to be a boon for optical coherence tomography (OCT) system.

  1. High-performance silicon nanotube tunneling FET for ultralow-power logic applications

    KAUST Repository

    Fahad, Hossain M.

    2013-03-01

    To increase typically low output drive currents from tunnel field-effect transistors (FETs), we show a silicon vertical nanotube (NT) architecture-based FET\\'s effectiveness. Using core (inner) and shell (outer) gate stacks, the silicon NT tunneling FET shows a sub-60 mV/dec subthreshold slope, ultralow off -state leakage current, higher drive current compared with gate-all-around nanowire silicon tunnel FETs. © 1963-2012 IEEE.

  2. ON current enhancement of nanowire Schottky barrier tunnel field effect transistors

    Science.gov (United States)

    Takei, Kohei; Hashimoto, Shuichiro; Sun, Jing; Zhang, Xu; Asada, Shuhei; Xu, Taiyu; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2016-04-01

    Silicon nanowire Schottky barrier tunnel field effect transistors (NW-SBTFETs) are promising structures for high performance devices. In this study, we fabricated NW-SBTFETs to investigate the effect of nanowire structure on the device characteristics. The NW-SBTFETs were operated with a backgate bias, and the experimental results demonstrate that the ON current density is enhanced by narrowing the width of the nanowire. We confirmed using the Fowler-Nordheim plot that the drain current in the ON state mainly comprises the quantum tunneling component through the Schottky barrier. Comparison with a technology computer aided design (TCAD) simulation revealed that the enhancement is attributed to the electric field concentration at the corners of cross-section of the NW. The study findings suggest an effective approach to securing the ON current by Schottky barrier width modulation.

  3. New surface plasmon polariton waveguide based on GaN nanowires

    Directory of Open Access Journals (Sweden)

    Jun Zhu

    Full Text Available Lasers are nowadays widely used in industry, in hospitals and in many devices that we have at home. Random laser development is challenging given its high threshold and low integration. Surface plasmon polariton (SPP can improve random laser characteristics because of its ability to control diffraction. In this study, we establish a random laser structural model with silicon-based parcel GaN nanowires. The GaN nanowire gain and enhanced surface plasmon increase population inversion level. Our laser model is based on random particle scattering feedback mechanism, nanowire use, and surface plasmon enhancement effect, which causes stochastic laser emergence. Analysis shows that the SPP mode and nanowire waveguides coupled in the dielectric layer of low refractive index can store light energy like a capacitor under low refractive index clearance. The waveguide mode field area and limiting factors show that the modeled laser can achieve sub-wavelength constraints of the output light field. We also investigate emergent laser performance for a more limited light field capacity and lower threshold. Keywords: Random laser, Surface plasmon polariton, Feedback mechanism, Low threshold, Subwavelength constraints

  4. Platinum boride nanowires: Synthesis and characterization

    International Nuclear Information System (INIS)

    Ding Zhanhui; Qiu Lixia; Zhang Jian; Yao Bin; Cui Tian; Guan Weiming; Zheng Weitao; Wang Wenquan; Zhao Xudong; Liu Xiaoyang

    2012-01-01

    Highlights: ► Platinum boride nanowires have been synthesized via the direct current arc discharge method. ► XRD, TEM and SAED indicate that the nanowires are single-crystal PtB. ► Two broad photoluminescence emission peaks at about 586 nm and 626 nm have been observed in the PL spectroscopy of PtB nanowires. - Abstract: Platinum boride (PtB) nanowires have been successfully fabricated with direct current arc discharge method using a milled mixture of platinum (Pt) and boron nitride (BN) powders. X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to characterize the compositions, morphology, and structures of the samples. The results show that PtB nanowires are 30–50 nm thick and 20–30 μm long. TEM and selected area electron diffraction (SAED) patterns identify that the PtB nanowires are single-crystalline in nature. A growth mechanism based on vapor–liquid–solid (VLS) process is proposed for the formation of nanowires.

  5. Lithium ion batteries based on nanoporous silicon

    Science.gov (United States)

    Tolbert, Sarah H.; Nemanick, Eric J.; Kang, Chris Byung-Hwa

    2015-09-22

    A lithium ion battery that incorporates an anode formed from a Group IV semiconductor material such as porous silicon is disclosed. The battery includes a cathode, and an anode comprising porous silicon. In some embodiments, the anode is present in the form of a nanowire, a film, or a powder, the porous silicon having a pore diameters within the range between 2 nm and 100 nm and an average wall thickness of within the range between 1 nm and 100 nm. The lithium ion battery further includes, in some embodiments, a non-aqueous lithium containing electrolyte. Lithium ion batteries incorporating a porous silicon anode demonstrate have high, stable lithium alloying capacity over many cycles.

  6. Uniformly sized gold nanoparticles derived from PS-b-P2VP block copolymer templates for the controllable synthesis of Si nanowires.

    Science.gov (United States)

    Lu, Jennifer Q; Yi, Sung Soo

    2006-04-25

    A monolayer of gold-containing surface micelles has been produced by spin-coating solution micelles formed by the self-assembly of the gold-modified polystyrene-b-poly(2-vinylpyridine) block copolymer in toluene. After oxygen plasma removed the block copolymer template, highly ordered and uniformly sized nanoparticles have been generated. Unlike other published methods that require reduction treatments to form gold nanoparticles in the zero-valent state, these as-synthesized nanoparticles are in form of metallic gold. These gold nanoparticles have been demonstrated to be an excellent catalyst system for growing small-diameter silicon nanowires. The uniformly sized gold nanoparticles have promoted the controllable synthesis of silicon nanowires with a narrow diameter distribution. Because of the ability to form a monolayer of surface micelles with a high degree of order, evenly distributed gold nanoparticles have been produced on a surface. As a result, uniformly distributed, high-density silicon nanowires have been generated. The process described herein is fully compatible with existing semiconductor processing techniques and can be readily integrated into device fabrication.

  7. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    Science.gov (United States)

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  8. EDITORIAL: Nanowires for energy Nanowires for energy

    Science.gov (United States)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    This special issue of Nanotechnology focuses on studies illustrating the application of nanowires for energy including solar cells, efficient lighting and water splitting. Over the next three decades, nanotechnology will make significant contributions towards meeting the increased energy needs of the planet, now known as the TeraWatt challenge. Nanowires in particular are poised to contribute significantly in this development as presented in the review by Hiralal et al [1]. Nanowires exhibit light trapping properties that can act as a broadband anti-reflection coating to enhance the efficiency of solar cells. In this issue, Li et al [2] and Wang et al [3] present the optical properties of silicon nanowire and nanocone arrays. In addition to enhanced optical properties, core-shell nanowires also have the potential for efficient charge carrier collection across the nanowire diameter as presented in the contribution by Yu et al [4] for radial junction a-Si solar cells. Hybrid approaches that combine organic and inorganic materials also have potential for high efficiency photovoltaics. A Si-based hybrid solar cell is presented by Zhang et al [5] with a photoconversion efficiency of over 7%. The quintessential example of hybrid solar cells is the dye-sensitized solar cell (DSSC) where an organic absorber (dye) coats an inorganic material (typically a ZnO nanostructure). Herman et al [6] present a method of enhancing the efficiency of a DSSC by increasing the hetero-interfacial area with a unique hierarchical weeping willow ZnO structure. The increased surface area allows for higher dye loading, light harvesting, and reduced charge recombination through direct conduction along the ZnO branches. Another unique ZnO growth method is presented by Calestani et al [7] using a solution-free and catalyst-free approach by pulsed electron deposition (PED). Nanowires can also make more efficient use of electrical power. Light emitting diodes, for example, will eventually become the

  9. Long-range magnetostatic interactions in arrays of nanowires

    CERN Document Server

    Raposo, V; González, J M; Vázquez, M

    2000-01-01

    Experimental measurements and micromagnetic simulations of the hysteresis loops of arrays of cobalt nanowires are compared here. Arrays of cobalt nanowires (200 nm in diameter) were electrodeposited into the pores of alumina membranes (thickness 60 mu m). Their hysteresis loops along the axial direction of nanowires were measured using vibrating sample magnetometry. Micromagnetic simulations were performed considering dipolar interaction between nanowires leading to similar hysteresis loops as those obtained experimentally.

  10. Aligned nanowire growth using lithography-assisted bonding of a polycarbonate template for neural probe electrodes

    International Nuclear Information System (INIS)

    Yoon, Hargsoon; Deshpande, Devesh C; Ramachandran, Vasuda; Varadan, Vijay K

    2008-01-01

    This research presents a fabrication method of vertically aligned nanowires on substrates using lithography-assisted template bonding (LATB) towards developing highly efficient electrodes for biomedical applications at low cost. A polycarbonate template containing cylindrical nanopores is attached to a substrate and the nanopores are selectively opened with a modified lithography process. Vertically aligned nanowires are grown by electrochemical deposition through these open pores on polyimide film and silicon substrates. The process of opening the nanopores is optimized to yield uniform growth of nanowires. The morphological, crystalline, and electrochemical properties of the resulting vertically aligned nanowires are discussed using scanning electron microscopy (SEM), x-ray diffraction (XRD), and electrochemical analysis tools. The potential application of this simple and inexpensive fabrication technology is discussed in the development of neural probe electrodes

  11. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  12. Compact polarization beam splitter for silicon photonic integrated circuits with a 340-nm-thick silicon core layer.

    Science.gov (United States)

    Li, Chenlei; Dai, Daoxin

    2017-11-01

    A polarization beam splitter (PBS) is proposed and realized for silicon photonic integrated circuits with a 340-nm-thick silicon core layer by introducing an asymmetric directional coupler (ADC), which consists of a silicon-on-insulator (SOI) nanowire and a subwavelength grating (SWG) waveguide. The SWG is introduced to provide an optical waveguide which has much higher birefringence than a regular 340-nm-thick SOI nanowire, so that it is possible to make the phase-matching condition satisfied for TE polarization only in the present design when the waveguide dimensions are optimized. Meanwhile, there is a significant phase mismatching for TM polarization automatically. In this way, the present ADC enables strong polarization selectivity to realize a PBS that separates TE and TM polarizations to the cross and through ports, respectively. The realized PBS has a length of ∼2  μm for the coupling region. For the fabricated PBS, the extinction ratio (ER) is 15-30 dB and the excess loss is 0.2-2.6 dB for TE polarization while the ER is 20-27 dB and the excess loss is 0.3-2.8 dB for TM polarization when operating in the wavelength range of 1520-1580 nm.

  13. Wurtzite InP/InAs/InP core-shell nanowires emitting at telecommunication wavelengths on Si substrate

    International Nuclear Information System (INIS)

    Hadj Alouane, M H; Anufriev, R; Chauvin, N; Bru-Chevallier, C; Khmissi, H; Ilahi, B; Maaref, H; Naji, K; Gendry, M; Patriarche, G

    2011-01-01

    Optical properties of wurtzite InP/InAs/InP core-shell nanowires grown on silicon substrates by solid source molecular beam epitaxy are studied by means of photoluminescence and microphotoluminescence. The growth conditions were optimized to obtain purely wurtzite radial quantum wells emitting in the telecom bands with a radiative lifetime in the 5-7 ns range at 14 K. Optical studies on single nanowires reveal that the polarization is mainly parallel to the growth direction. A 20-fold reduction of the photoluminescence intensity is observed between 14 and 300 K confirming the very good quality of the nanowires.

  14. Chip-to-chip SnO2 nanowire network sensors for room temperature H2 detection

    Science.gov (United States)

    Köck, A.; Brunet, E.; Mutinati, G. C.; Maier, T.; Steinhauer, S.

    2012-06-01

    The employment of nanowires is a very powerful strategy to improve gas sensor performance. We demonstrate a gas sensor device, which is based on silicon chip-to-chip synthesis of ultralong tin oxide (SnO2) nanowires. The sensor device employs an interconnected SnO2 nanowire network configuration, which exhibits a huge surface-to-volume ratio and provides full access of the target gas to the nanowires. The chip-to-chip SnO2 nanowire device is able to detect a H2 concentration of only 20 ppm in synthetic air with ~ 60% relative humidity at room temperature. At an operating temperature of 300°C a concentration of 50 ppm H2 results in a sensitivity of 5%. At this elevated temperature the sensor shows a linear response in a concentration range between 10 ppm and 100 ppm H2. The SnO2-nanowire fabrication procedure based on spray pyrolysis and subsequent annealing is performed at atmospheric pressure, requires no vacuum and allows upscale of the substrate to a wafer size. 3D-integration with CMOS chips is proposed as viable way for practical realization of smart nanowire based gas sensor devices for the consumer market.

  15. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  16. Efficient photogeneration of charge carriers in silicon nanowires with a radial doping gradient

    International Nuclear Information System (INIS)

    Murthy, D H K; Houtepen, A J; Savenije, T J; Siebbeles, L D A; Xu, T; Nys, J P; Krzeminski, C; Grandidier, B; Stievenard, D; Chen, W H; Pareige, P; Jomard, F; Patriarche, G; Lebedev, O I

    2011-01-01

    by performing electrodeless time-resolved microwave conductivity measurements, the efficiency of charge carrier generation, their mobility, and the decay kinetics on photoexcitation were studied in arrays of Si nanowires grown by the vapor-liquid-solid mechanism. Large enhancements in the magnitude of the photoconductance and charge carrier lifetime are found depending on the incorporation of impurities during the growth. They are explained by the internal electric field that builds up, due to higher doped sidewalls, as revealed by detailed analysis of the nanowire morphology and chemical composition.

  17. Construction of a four tip scanning tunneling microscope/scanning electron microscope combination and conductivity measurements of silicide nanowires; Aufbau einer Vierspitzen-Rastertunnelmikroskop/Rasterelektronenmikroskop-Kombination und Leitfaehigkeitsmessungen an Silizid Nanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Zubkov, Evgeniy

    2013-09-01

    In this work the combination of a four-tip scanning tunneling microscope with a scanning electron microscope is presented. By means of this apparatus it is possible to perform the conductivity measurements on the in-situ prepared nanostructures in ultra-high vacuum. With the aid of a scanning electron microscope (SEM), it becomes possible to position the tunneling tips of the four-tip scanning tunneling microscope (STM), so that an arrangement for a four-point probe measurement on nanostructures can be obtained. The STM head was built according to the novel coaxial Beetle concept. This concept allows on the one hand, a very compact arrangement of the components of the STM and on the other hand, the new-built STM head has a good mechanical stability, in order to achieve atomic resolution with all four STM units. The atomic resolution of the STM units was confirmed by scanning a Si(111)-7 x 7 surface. The thermal drift during the STM operation, as well as the resonant frequencies of the mechanical structure of the STM head, were determined. The scanning electron microscope allows the precise and safe navigation of the tunneling tips on the sample surface. Multi tip spectroscopy with up to four STM units can be performed synchronously. To demonstrate the capabilities of the new-built apparatus the conductivity measurements were carried out on metallic yttrium silicide nanowires. The nanowires were prepared by the in-situ deposition of yttrium on a heated Si(110) sample surface. Current-voltage curves were recorded on the nanowires and on the wetting layer in-between. The curves indicate an existence of the Schottky barrier between the yttrium silicide nanowires and the silicon bulk. By means of the two-tip measurements with a gate, the insulating property of the Schottky barrier has been confirmed. Using this Schottky barrier, it is possible to limit the current to the nanowire and to prevent it from flowing through the silicon bulk. A four-tip resistance measurement

  18. Length-dependent thermoelectric characteristics of silicon nanowires on plastics in a relatively low temperature regime in ambient air

    International Nuclear Information System (INIS)

    Choi, Jinyong; Cho, Kyoungah; Kim, Sangsig

    2013-01-01

    We report on the thermoelectric characteristics of p-type silicon nanowires (NWs) on plastics in the relatively low temperature regime below 47 ° C, and for temperature differences of less than 10 K in ambient air. Thermal profile images are utilized to directly determine the temperature difference in the NWs generated by Joule heating in air. The Seebeck coefficient of the NWs increases from 294 to 414 μV K −1 as the NW length varies from 40 to 280 μm. For a temperature difference of 7 K, the maximal Seebeck voltage can be estimated to be 2.7 mV for NWs with a length of 280 μm. In contrast, the output power is maximized for NWs length of 240 μm. The maximized output power obtained experimentally in this study is 2.1 pW at a temperature difference of 6 K. The thermoelectric characteristics are analyzed and discussed. (paper)

  19. Continuous-wave operation and 10-Gb/s direct modulation of InAsP/InP sub-wavelength nanowire laser on silicon photonic crystal

    Directory of Open Access Journals (Sweden)

    Masato Takiguchi

    2017-04-01

    Full Text Available We demonstrated sub-wavelength (∼111 nm diameter single nanowire (NW continuous wave (CW lasers on silicon photonic crystal in the telecom-band with direct modulation at 10 Gb/s by optical pumping at cryogenic temperatures. To estimate the small signal response and pseudo-random bit sequence (PRBS modulation of our CW lasers, we employed a new signal detection technique that employs a superconducting single photon detector and a time-correlated single photon counting module. The results showed that our NW laser was unambiguously modulated at above 10 Gb/s and an open eye pattern was obtained. This is the first demonstration of a telecom-band CW NW laser with high-speed PRBS modulation.

  20. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  1. Influence of sample oxidation on the nature of optical luminescence from porous silicon

    International Nuclear Information System (INIS)

    Coulthard, I.; Antel, W. J. Jr.; Freeland, J. W.; Sham, T. K.; Naftel, S. J.; Zhang, P.

    2000-01-01

    Site-selective luminescence experiments were performed upon porous-silicon samples exposed to varying degrees of oxidation. The source of different luminescence bands was determined to be due to either quantum confinement in nanocrystalline silicon or defective silicon oxide. Of particular interest is the defective silicon-oxide luminescence band found at 2.1 eV, which was found to frequently overlap with a luminescence band from nanocrystalline silicon. Some of the historical confusion and debate with regards to the source of luminescence from porous silicon can be attributed to this overlap. (c) 2000 American Institute of Physics

  2. The Electrostatically Formed Nanowire: A Novel Platform for Gas-Sensing Applications

    Directory of Open Access Journals (Sweden)

    Gil Shalev

    2017-02-01

    Full Text Available The electrostatically formed nanowire (EFN gas sensor is based on a multiple-gate field-effect transistor with a conducting nanowire, which is not defined physically; rather, the nanowire is defined electrostatically post-fabrication, by using appropriate biasing of the different surrounding gates. The EFN is fabricated by using standard silicon processing technologies with relaxed design rules and, thereby, supports the realization of a low-cost and robust gas sensor, suitable for mass production. Although the smallest lithographic definition is higher than half a micrometer, appropriate tuning of the biasing of the gates concludes a conducting channel with a tunable diameter, which can transform the conducting channel into a nanowire with a diameter smaller than 20 nm. The tunable size and shape of the nanowire elicits tunable sensing parameters, such as sensitivity, limit of detection, and dynamic range, such that a single EFN gas sensor can perform with high sensitivity and a broad dynamic range by merely changing the biasing configuration. The current work reviews the design of the EFN gas sensor, its fabrication considerations and process flow, means of electrical characterization, and preliminary sensing performance at room temperature, underlying the unique and advantageous tunable capability of the device.

  3. Excellent field emission properties of vertically oriented CuO nanowire films

    Directory of Open Access Journals (Sweden)

    Long Feng

    2018-04-01

    Full Text Available Oriented CuO nanowire films were synthesized on a large scale using simple method of direct heating copper grids in air. The field emission properties of the sample can be enhanced by improving the aspect ratio of the nanowires just through a facile method of controlling the synthesis conditions. Although the density of the nanowires is large enough, the screen effect is not an important factor in this field emission process because few nanowires sticking out above the rest. Benefiting from the unique geometrical and structural features, the CuO nanowire samples show excellent field emission (FE properties. The FE measurements of CuO nanowire films illustrate that the sample synthesized at 500 °C for 8 h has a comparatively low turn-on field of 0.68 V/μm, a low threshold field of 1.1 V/μm, and a large field enhancement factor β of 16782 (a record high value for CuO nanostructures, to the best of our knowledge, indicating that the samples are promising candidates for field emission applications.

  4. Silicon nanowires as field-effect transducers for biosensor development: A review

    Energy Technology Data Exchange (ETDEWEB)

    Noor, M. Omair; Krull, Ulrich J., E-mail: ulrich.krull@utoronto.ca

    2014-05-01

    Highlights: • Nanoscale field-effect transducers interrogate surface charge by conductivity changes. • The nanometer dimensions of SiNWs facilitate sensitive detection of biomolecules. • SiNWs can be fabricated by bottom–up or top–down approaches. • Device parameters and solution-phase conditions strongly influence analytical performance. - Abstract: The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top–down or bottom–up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100 mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top–down and bottom–up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors.

  5. Silicon nanowires as field-effect transducers for biosensor development: A review

    International Nuclear Information System (INIS)

    Noor, M. Omair; Krull, Ulrich J.

    2014-01-01

    Highlights: • Nanoscale field-effect transducers interrogate surface charge by conductivity changes. • The nanometer dimensions of SiNWs facilitate sensitive detection of biomolecules. • SiNWs can be fabricated by bottom–up or top–down approaches. • Device parameters and solution-phase conditions strongly influence analytical performance. - Abstract: The unique electronic properties and miniaturized dimensions of silicon nanowires (SiNWs) are attractive for label-free, real-time and sensitive detection of biomolecules. Sensors based on SiNWs operate as field effect transistors (FETs) and can be fabricated either by top–down or bottom–up approaches. Advances in fabrication methods have allowed for the control of physicochemical and electronic properties of SiNWs, providing opportunity for interfacing of SiNW-FET probes with intracellular environments. The Debye screening length is an important consideration that determines the performance and detection limits of SiNW-FET sensors, especially at physiologically relevant conditions of ionic strength (>100 mM). In this review, we discuss the construction and application of SiNW-FET sensors for detection of ions, nucleic acids and protein markers. Advantages and disadvantages of the top–down and bottom–up approaches for synthesis of SiNWs are discussed. An overview of various methods for surface functionalization of SiNWs for immobilization of selective chemistry is provided in the context of impact on the analytical performance of SiNW-FET sensors. In addition to in vitro examples, an overview of the progress of use of SiNW-FET sensors for ex vivo studies is also presented. This review concludes with a discussion of the future prospects of SiNW-FET sensors

  6. High aspect ratio silicon nanowires control fibroblast adhesion and cytoskeleton organization

    Science.gov (United States)

    Andolfi, Laura; Murello, Anna; Cassese, Damiano; Ban, Jelena; Dal Zilio, Simone; Lazzarino, Marco

    2017-04-01

    Cell-cell and cell-matrix interactions are essential to the survival and proliferation of most cells, and are responsible for triggering a wide range of biochemical pathways. More recently, the biomechanical role of those interactions was highlighted, showing, for instance, that adhesion forces are essential for cytoskeleton organization. Silicon nanowires (Si NWs) with their small size, high aspect ratio and anisotropic mechanical response represent a useful model to investigate the forces involved in the adhesion processes and their role in cellular development. In this work we explored and quantified, by single cell force spectroscopy (SCFS), the interaction of mouse embryonic fibroblasts with a flexible forest of Si NWs. We observed that the cell adhesion forces are comparable to those found on collagen and bare glass coverslip, analogously the membrane tether extraction forces are similar to that on collagen but stronger than that on bare flat glass. Cell survival did not depend significantly on the substrate, although a reduced proliferation after 36 h was observed. On the contrary both cell morphology and cytoskeleton organization revealed striking differences. The cell morphology on Si-NW was characterized by a large number of filopodia and a significant decrease of the cell mobility. The cytoskeleton organization was characterized by the absence of actin fibers, which were instead dominant on collagen and flat glass support. Such findings suggest that the mechanical properties of disordered Si NWs, and in particular their strong asymmetry, play a major role in the adhesion, morphology and cytoskeleton organization processes. Indeed, while adhesion measurements by SCFS provide out-of-plane forces values consistent with those measured on conventional substrates, weaker in-plane forces hinder proper cytoskeleton organization and migration processes.

  7. Flow boiling heat transfer on nanowire-coated surfaces with highly wetting liquid

    International Nuclear Information System (INIS)

    Shin, Sangwoo; Choi, Geehong; Kim, Beom Seok; Cho, Hyung Hee

    2014-01-01

    Owing to the recent advances in nanotechnology, one significant progress in energy technology is increased cooling ability. It has recently been shown that nanowires can improve pool boiling heat transfer due to the unique features such as enhanced wetting and enlarged nucleation sites. Applying such nanowires on a flow boiling, which is another major class of boiling phenomenon that is associated with forced convection, is yet immature and scarce despite its importance in various applications such as liquid cooling of energy, electronics and refrigeration systems. Here, we investigate flow boiling heat transfer on surfaces that are coated with SiNWs (silicon nanowires). Also, we use highly-wetting dielectric liquid, FC-72, as a working fluid. An interesting wetting behavior is observed where the presence of SiNWs reduces wetting and wicking that in turn leads to significant decrease of CHF (critical heat flux) compared to the plain surface, which opposes the current consensus. Also, the effects of nanowire length and Reynolds number on the boiling heat transfer are shown to be highly nonmonotonic. We attempt to explain such an unusual behavior on the basis of wetting, nucleation and forced convection, and we show that such factors are highly coupled in a way that lead to unusual behavior. - Highlights: • Observation of suppressed wettability in the presence of surface roughness (nanowires). • Significant reduction of critical heat flux in the presence of nanowires. • Nonmonotonic behavior of heat transfer coefficient vs. nanowire length and Reynolds number

  8. 160-Gb/s Silicon All-Optical Packet Switch for Buffer-less Optical Burst Switching

    DEFF Research Database (Denmark)

    Hu, Hao; Ji, Hua; Pu, Minhao

    2015-01-01

    We experimentally demonstrate a 160-Gb/s Ethernet packet switch using an 8.6-mm-long silicon nanowire for optical burst switching, based on cross phase modulation in silicon. One of the four packets at the bit rate of 160 Gb/s is switched by an optical control signal using a silicon based 1 × 1 all......-optical packet switch. Error free performance (BER silicon packet switch based optical burst switching, which might be desirable for high-speed interconnects within a short...

  9. Effects of Nanowire Length and Surface Roughness on the Electrochemical Sensor Properties of Nafion-Free, Vertically Aligned Pt Nanowire Array Electrodes

    Directory of Open Access Journals (Sweden)

    Zhiyang Li

    2015-09-01

    Full Text Available In this paper, vertically aligned Pt nanowire arrays (PtNWA with different lengths and surface roughnesses were fabricated and their electrochemical performance toward hydrogen peroxide (H2O2 detection was studied. The nanowire arrays were synthesized by electroplating Pt in nanopores of anodic aluminum oxide (AAO template. Different parameters, such as current density and deposition time, were precisely controlled to synthesize nanowires with different surface roughnesses and various lengths from 3 μm to 12 μm. The PtNWA electrodes showed better performance than the conventional electrodes modified by Pt nanowires randomly dispersed on the electrode surface. The results indicate that both the length and surface roughness can affect the sensing performance of vertically aligned Pt nanowire array electrodes. Generally, longer nanowires with rougher surfaces showed better electrochemical sensing performance. The 12 μm rough surface PtNWA presented the largest sensitivity (654 μA·mM−1·cm−2 among all the nanowires studied, and showed a limit of detection of 2.4 μM. The 12 μm rough surface PtNWA electrode also showed good anti-interference property from chemicals that are typically present in the biological samples such as ascorbic, uric acid, citric acid, and glucose. The sensing performance in real samples (river water was tested and good recovery was observed. These Nafion-free, vertically aligned Pt nanowires with surface roughness control show great promise as versatile electrochemical sensors and biosensors.

  10. Inspection of single CdSe nanowires by use of micro-focused X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Kurtulus, Oezguel [Dogus University, Istanbul (Turkey); Li, Zhen [University of Queensland, Brisbane (Australia); Arezki, Bahia; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany)

    2010-07-01

    The morphology of CdSe nanowires (NW) can easily be controlled by various growth methods. In this study, CdSe NWs are prepared by solution-liquid-solid (SLS) approach providing needle-shaped wires of about 60nm in diameter and several microns in length. To make X-ray single NW inspection possible, the NWs were dispersed in toluene and hexadecylamine, homogenized by centrifugation and finally spin-coated on silicon substrate. SEM images revealed that the NWs are randomly oriented with length axis parallel to the substrate. However, at selected areas, the distance between neighboured NWs is in the order of one micron. These samples were investigated by X-ray diffraction using a 300 nm x 600 nm micro-focus at beamline ID1 of ESRF. Diffraction from 110W/2-20ZB basal plane was selected for single nanowire inspection. In order to measure various single objects subsequently, the sample was laterally scanned through the beam keeping the diffraction angle fixed. It was observed that the individual NWs differ slightly in peak position and peak width. From powder diffraction, it is known that NWs consist of an admixture of a wurtzite (W) and zinc-blende (ZB) structure units and the coherent illumination of sample by the micro-focus enables to visualize these zinc-blende and wurzite units separated by stacking faults.

  11. Systematic comparison of FWM conversion efficiency in silicon waveguides and MRRs

    DEFF Research Database (Denmark)

    Xiong, Meng; Ding, Yunhong; Ou, Haiyan

    2013-01-01

    Wavelength conversion based on four-wave mixing is theoretically compared in silicon micro-ring resonators and nanowires under the effect of nonlinear loss. The impact of the bus waveguide length and MRR position are also quantified....

  12. Title: Using Alignment and 2D Network Simulations to Study Charge Transport Through Doped ZnO Nanowire Thin Film Electrodes

    KAUST Repository

    Phadke, Sujay

    2011-09-30

    Factors affecting charge transport through ZnO nanowire mat films were studied by aligning ZnO nanowires on substrates and coupling experimental measurements with 2D nanowire network simulations. Gallium doped ZnO nanowires were aligned on thermally oxidized silicon wafer by shearing a nanowire dispersion in ethanol. Sheet resistances of nanowire thin films that had current flowing parallel to nanowire alignment direction were compared to thin films that had current flowing perpendicular to nanowire alignment direction. Perpendicular devices showed ∼5 fold greater sheet resistance than parallel devices supporting the hypothesis that aligning nanowires would increase conductivity of ZnO nanowire electrodes. 2-D nanowire network simulations of thin films showed that the device sheet resistance was dominated by inter-wire contact resistance. For a given resistivity of ZnO nanowires, the thin film electrodes would have the lowest possible sheet resistance if the inter-wire contact resistance was one order of magnitude lower than the single nanowire resistance. Simulations suggest that the conductivity of such thin film devices could be further enhanced by using longer nanowires. Solution processed Gallium doped ZnO nanowires are aligned on substrates using an innovative shear coating technique. Nanowire alignment has shown improvement in ZnO nanowire transparent electrode conductivity. 2D network simulations in conjunction with electrical measurements have revealed different regimes of operation of nanowire thin films and provided a guideline for improving electrical performance of nanowire electrodes. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Ultra compact triplexing filters based on SOI nanowire AWGs

    Science.gov (United States)

    Jiashun, Zhang; Junming, An; Lei, Zhao; Shijiao, Song; Liangliang, Wang; Jianguang, Li; Hongjie, Wang; Yuanda, Wu; Xiongwei, Hu

    2011-04-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion.

  14. Vertical Silicon Nanowire Platform for Low Power Electronics and Clean Energy Applications

    Directory of Open Access Journals (Sweden)

    D.-L. Kwong

    2012-01-01

    Full Text Available This paper reviews the progress of the vertical top-down nanowire technology platform developed to explore novel device architectures and integration schemes for green electronics and clean energy applications. Under electronics domain, besides having ultimate scaling potential, the vertical wire offers (1 CMOS circuits with much smaller foot print as compared to planar transistor at the same technology node, (2 a natural platform for tunneling FETs, and (3 a route to fabricate stacked nonvolatile memory cells. Under clean energy harvesting area, vertical wires could provide (1 cost reduction in photovoltaic energy conversion through enhanced light trapping and (2 a fully CMOS compatible thermoelectric engine converting waste-heat into electricity. In addition to progress review, we discuss the challenges and future prospects with vertical nanowires platform.

  15. Bi-photon spectral correlation measurements from a silicon nanowire in the quantum and classical regimes

    Science.gov (United States)

    Jizan, Iman; Helt, L. G.; Xiong, Chunle; Collins, Matthew J.; Choi, Duk-Yong; Joon Chae, Chang; Liscidini, Marco; Steel, M. J.; Eggleton, Benjamin J.; Clark, Alex S.

    2015-01-01

    The growing requirement for photon pairs with specific spectral correlations in quantum optics experiments has created a demand for fast, high resolution and accurate source characterisation. A promising tool for such characterisation uses classical stimulated processes, in which an additional seed laser stimulates photon generation yielding much higher count rates, as recently demonstrated for a χ(2) integrated source in A. Eckstein et al. Laser Photon. Rev. 8, L76 (2014). In this work we extend these results to χ(3) integrated sources, directly measuring for the first time the relation between spectral correlation measurements via stimulated and spontaneous four wave mixing in an integrated optical waveguide, a silicon nanowire. We directly confirm the speed-up due to higher count rates and demonstrate that this allows additional resolution to be gained when compared to traditional coincidence measurements without any increase in measurement time. As the pump pulse duration can influence the degree of spectral correlation, all of our measurements are taken for two different pump pulse widths. This allows us to confirm that the classical stimulated process correctly captures the degree of spectral correlation regardless of pump pulse duration, and cements its place as an essential characterisation method for the development of future quantum integrated devices. PMID:26218609

  16. Electrochemical Deposition of Conformal and Functional Layers on High Aspect Ratio Silicon Micro/Nanowires.

    Science.gov (United States)

    Ozel, Tuncay; Zhang, Benjamin A; Gao, Ruixuan; Day, Robert W; Lieber, Charles M; Nocera, Daniel G

    2017-07-12

    Development of new synthetic methods for the modification of nanostructures has accelerated materials design advances to furnish complex architectures. Structures based on one-dimensional (1D) silicon (Si) structures synthesized using top-down and bottom-up methods are especially prominent for diverse applications in chemistry, physics, and medicine. Yet further elaboration of these structures with distinct metal-based and polymeric materials, which could open up new opportunities, has been difficult. We present a general electrochemical method for the deposition of conformal layers of various materials onto high aspect ratio Si micro- and nanowire arrays. The electrochemical deposition of a library of coaxial layers comprising metals, metal oxides, and organic/inorganic semiconductors demonstrate the materials generality of the synthesis technique. Depositions may be performed on wire arrays with varying diameter (70 nm to 4 μm), pitch (5 μ to 15 μ), aspect ratio (4:1 to 75:1), shape (cylindrical, conical, hourglass), resistivity (0.001-0.01 to 1-10 ohm/cm 2 ), and substrate orientation. Anisotropic physical etching of wires with one or more coaxial shells yields 1D structures with exposed tips that can be further site-specifically modified by an electrochemical deposition approach. The electrochemical deposition methodology described herein features a wafer-scale synthesis platform for the preparation of multifunctional nanoscale devices based on a 1D Si substrate.

  17. In situ measurement of the kinetic friction of ZnO nanowires inside a scanning electron microscope

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, Boris, E-mail: boriss.polakovs@ut.ee [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia); Institute of Solid State Physics, University of Latvia, Kengaraga st. 8, Riga (Latvia); Dorogin, Leonid M; Lohmus, Ants [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia); Romanov, Alexey E [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia); Ioffe Physical Technical Institute, RAS, Politehnicheskaja st. 26, St. Petersburg (Russian Federation); Lohmus, Rynno [Institute of Physics, University of Tartu, Riia st. 142, Tartu (Estonia)

    2012-01-15

    A novel method for measuring the kinetic friction force in situ was developed for zinc oxide nanowires on highly oriented pyrolytic graphite and oxidised silicon wafers. The experiments were performed inside a scanning electron microscope and used a nanomanipulation device as an actuator, which also had an atomic force microscope tip attached to it as a probe. A simple model based on the Timoshenko elastic beam theory was applied to interpret the elastic deformation of a sliding nanowire (NW) and to determine the distributed kinetic friction force.

  18. Superconducting nanowires as nonlinear inductive elements for qubits

    Science.gov (United States)

    Ku, Jaseung; Manucharyan, Vladimir; Bezryadin, Alexey

    2011-03-01

    We report microwave transmission measurements of superconducting Fabry-Perot resonators, having a superconducting nanowire placed at a supercurrent antinode. As the plasma oscillation is excited, the supercurrent is forced to flow through the nanowire. The microwave transmission of the resonator-nanowire device shows a nonlinear resonance behavior, significantly dependent on the amplitude of the supercurrent oscillation. We show that such amplitude-dependent response is due to the nonlinearity of the current-phase relationship of the nanowire. The results are explained within a nonlinear oscillator model of the Duffing oscillator, in which the nanowire acts as a purely inductive element, in the limit of low temperatures and low amplitudes. The low-quality factor sample exhibits a ``crater'' at the resonance peak at higher driving power, which is due to dissipation. We observe a hysteretic bifurcation behavior of the transmission response to frequency sweep in a sample with a higher quality factor. The Duffing model is used to explain the Duffing bistability diagram. NSF DMR-1005645, DOE DO-FG02-07ER46453.

  19. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok; Bhattacharya, Pallab K.; Guo, Wei; Ooi, Boon S.; Zhou, Zifan

    2013-01-01

    finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared

  20. 3D hierarchical assembly of ultrathin MnO2 nanoflakes on silicon nanowires for high performance micro-supercapacitors in Li- doped ionic liquid

    Science.gov (United States)

    Dubal, Deepak P.; Aradilla, David; Bidan, Gérard; Gentile, Pascal; Schubert, Thomas J.S.; Wimberg, Jan; Sadki, Saïd; Gomez-Romero, Pedro

    2015-01-01

    Building of hierarchical core-shell hetero-structures is currently the subject of intensive research in the electrochemical field owing to its potential for making improved electrodes for high-performance micro-supercapacitors. Here we report a novel architecture design of hierarchical MnO2@silicon nanowires (MnO2@SiNWs) hetero-structures directly supported onto silicon wafer coupled with Li-ion doped 1-Methyl-1-propylpyrrolidinium bis(trifluromethylsulfonyl)imide (PMPyrrBTA) ionic liquids as electrolyte for micro-supercapacitors. A unique 3D mesoporous MnO2@SiNWs in Li-ion doped IL electrolyte can be cycled reversibly across a voltage of 2.2 V and exhibits a high areal capacitance of 13 mFcm−2. The high conductivity of the SiNWs arrays combined with the large surface area of ultrathin MnO2 nanoflakes are responsible for the remarkable performance of these MnO2@SiNWs hetero-structures which exhibit high energy density and excellent cycling stability. This combination of hybrid electrode and hybrid electrolyte opens up a novel avenue to design electrode materials for high-performance micro-supercapacitors. PMID:25985388

  1. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  2. Electrical characteristics of silicon percolating nanonet-based field effect transistors in the presence of dispersion

    Science.gov (United States)

    Cazimajou, T.; Legallais, M.; Mouis, M.; Ternon, C.; Salem, B.; Ghibaudo, G.

    2018-05-01

    We studied the current-voltage characteristics of percolating networks of silicon nanowires (nanonets), operated in back-gated transistor mode, for future use as gas or biosensors. These devices featured P-type field-effect characteristics. It was found that a Lambert W function-based compact model could be used for parameter extraction of electrical parameters such as apparent low field mobility, threshold voltage and subthreshold slope ideality factor. Their variation with channel length and nanowire density was related to the change of conduction regime from direct source/drain connection by parallel nanowires to percolating channels. Experimental results could be related in part to an influence of the threshold voltage dispersion of individual nanowires.

  3. Perspectives of single cast nanowires technology

    International Nuclear Information System (INIS)

    Ioisher, Anatolii; Badinter, Efim; Postolache, Vitalie; Leporda, Nicolae; Tiginyanu, Ion; Monaico, Eduard

    2011-01-01

    The paper is dedicated to production potential of glass-coated cast nanowire with metal-, semimetal- and semiconductor-based cores by means of Taylor-Ulitovsky method. Criteria of melted core-formative material penetration into a drawing capillary were analyzed. Theoretical preconditions of the reduction of cast microwire diameter up to nano-dimensions of core are reviewed and an improved method of cast nanowire manufacturing is proposed. Correctness of conclusions was experimentally proved and laboratory samples of micro- and nano-wires with core diameter of about 200-300 nanometers were produced, even in case of materials with poor adhesion.

  4. Measuring thermal conductivity of polystyrene nanowires using the dual-cantilever technique.

    Science.gov (United States)

    Canetta, Carlo; Guo, Samuel; Narayanaswamy, Arvind

    2014-10-01

    Thermal conductance measurements are performed on individual polystyrene nanowires using a novel measurement technique in which the wires are suspended between two bi-material microcantilever sensors. The nanowires are fabricated via electrospinning process. Thermal conductivity of the nanowire samples is found to be between 6.6 and 14.4 W m(-1) K(-1) depending on sample, a significant increase above typical bulk conductivity values for polystyrene. The high strain rates characteristic of electrospinning are believed to lead to alignment of molecular polymer chains, and hence the increase in thermal conductivity, along the axis of the nanowire.

  5. Engineering in-plane silicon nanowire springs for highly stretchable electronics

    Science.gov (United States)

    Xue, Zhaoguo; Dong, Taige; Zhu, Zhimin; Zhao, Yaolong; Sun, Ying; Yu, Linwei

    2018-01-01

    Crystalline silicon (c-Si) is unambiguously the most important semiconductor that underpins the development of modern microelectronics and optoelectronics, though the rigid and brittle nature of bulk c-Si makes it difficult to implement directly for stretchable applications. Fortunately, the one-dimensional (1D) geometry, or the line-shape, of Si nanowire (SiNW) can be engineered into elastic springs, which indicates an exciting opportunity to fabricate highly stretchable 1D c-Si channels. The implementation of such line-shape-engineering strategy demands both a tiny diameter of the SiNWs, in order to accommodate the strains under large stretching, and a precise growth location, orientation and path control to facilitate device integration. In this review, we will first introduce the recent progresses of an in-plane self-assembly growth of SiNW springs, via a new in-plane solid-liquid-solid (IPSLS) mechanism, where mono-like but elastic SiNW springs are produced by surface-running metal droplets that absorb amorphous Si thin film as precursor. Then, the critical growth control and engineering parameters, the mechanical properties of the SiNW springs and the prospects of developing c-Si based stretchable electronics, will be addressed. This efficient line-shape-engineering strategy of SiNW springs, accomplished via a low temperature batch-manufacturing, holds a strong promise to extend the legend of modern Si technology into the emerging stretchable electronic applications, where the high carrier mobility, excellent stability and established doping and passivation controls of c-Si can be well inherited. Project supported by the National Basic Research 973 Program (No. 2014CB921101), the National Natural Science Foundation of China (No. 61674075), the National Key Research and Development Program of China (No. 2017YFA0205003), the Jiangsu Excellent Young Scholar Program (No. BK20160020), the Scientific and Technological Support Program in Jiangsu Province (No. BE

  6. Hierarchical 3C-SiC nanowires as stable photocatalyst for organic dye degradation under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Judong; Chen, Jianjun; Xin, Lipeng; Wang, Mingming

    2014-01-01

    Graphical abstract: The photocatalytic performance was enhanced by hierarchical nanostructural SiC nanowires due to the increased specific surface areas and efficient incident light scattering. The positive effect of SiO 2 layer growth on the surface of nanowires during the catalytic process on the high decolorization efficiency of SiC nanowires was attributed to SiO 2 surface oxygen vacancies. -- Highlights: • High decolorization rate of methylene blue using hierarchical 3C-SiC nanowires was obtained. • The effect of methylene blue with different concentration to catalytic result was investigated. • The photocatalytic reaction mechanism of degrading methylene blue was explained. • The SiO 2 layer generating on nanowire surface in the catalytic process was analyzed. -- Abstract: 3C-SiC nanowires with hierarchical structure were synthesized by sol–gel carbothermal reduction method. The photocatalytic property of SiC nanowires was investigated. 3C-SiC hierarchical nanowires exhibited an enhanced photocatalytic activity by accelerating the photocatalytic degradation of methylene blue solution under visible light irradiation. Methylene blue was degraded efficiently after 5 h irradiation over the photocatalyst. The photocatalytic activity was affected by the initial concentration of the methylene blue solution. Silicon dioxide layer was observed on the surface of nanowires after the catalytic process. The positive effect of SiO 2 surface oxygen vacancies and 3C-SiC hierarchical nanostructures on the high decolorization efficiency of SiC nanowires was discussed. The detailed photocatalytic redox processes were also explained

  7. Ultra-high-speed wavelength conversion in a silicon photonic chip

    DEFF Research Database (Denmark)

    Hu, Hao; Ji, Hua; Galili, Michael

    2011-01-01

    We have successfully demonstrated all-optical wavelength conversion of a 640-Gbit/s line-rate return-to-zero differential phase-shift keying (RZ-DPSK) signal based on low-power four wave mixing (FWM) in a silicon photonic chip with a switching energy of only ~110 fJ/bit. The waveguide dispersion...... of the silicon nanowire is nano-engineered to optimize phase matching for FWM and the switching power used for the signal processing is low enough to reduce nonlinear absorption from twophoton- absorption (TPA). These results demonstrate that high-speed wavelength conversion is achievable in silicon chips...

  8. Effects of size and defects on the elasticity of silicon nanocantilevers

    NARCIS (Netherlands)

    Sadeghian, H.; Yang, C.K.; Goosen, J.F.L.; Bossche, A.; Staufer, U.; French, P.J.; Van Keulen, F.

    2010-01-01

    The size-dependent elastic behavior of silicon nanocantilevers and nanowires, specifically the effective Young’s modulus, has been determined by experimental measurements and theoretical investigations. The size dependence becomes more significant as the devices scale down from micro- to

  9. A 64-channel readout ASIC for nanowire biosensor array with electrical calibration scheme.

    Science.gov (United States)

    Chai, Kevin T C; Choe, Kunil; Bernal, Olivier D; Gopalakrishnan, Pradeep K; Zhang, Guo-Jun; Kang, Tae Goo; Je, Minkyu

    2010-01-01

    A 1.8-mW, 18.5-mm(2) 64-channel current readout ASIC was implemented in 0.18-µm CMOS together with a new calibration scheme for silicon nanowire biosensor arrays. The ASIC consists of 64 channels of dedicated readout and conditioning circuits which incorporate correlated double sampling scheme to reduce the effect of 1/f noise and offset from the analog front-end. The ASIC provides a 10-bit digital output with a sampling rate of 300 S/s whilst achieving a minimum resolution of 7 pA(rms). A new electrical calibration method was introduced to mitigate the issue of large variations in the nano-scale sensor device parameters and optimize the sensor sensitivity. The experimental results show that the proposed calibration technique improved the sensitivity by 2 to 10 times and reduced the variation between dataset by 9 times.

  10. Moessbauer study of Fe-Co nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen Ziyu [Key Laboratory for Magnetism and Magnetic Materials of the Ministry of Education, Lanzhou University, Lanzhou (China)]. E-mail: chenzy@lzu.edu.cn; Zhan Qingfeng; Xue Desheng; Li Fashen [Key Laboratory for Magnetism and Magnetic Materials of the Ministry of Education, Lanzhou University, Lanzhou (China); Zhou Xuezhi; Kunkel, Henry; Williams, Gwyn [Department of Physics and Astronomy, the University of Manitoba (Canada)

    2002-01-28

    Arrays of Fe{sub 1-x}Co{sub x} (0.0{<=}x{<=}0.92) nanowires have been prepared by an electrochemical process, co-depositing Fe and Co atoms into the pores of anodic aluminium; their compositions were determined by atomic absorption spectroscopy. Transmission electron microscope results show that the nanowires are regularly spaced and uniform in shape with lengths of about 7.5 {mu}m and diameters of 20 nm. The x-ray diffraction indicates a texture in the deposited nanowires. For the composition below 82 at.% cobalt, the nanowires had a body-centred-cubic structure with a [110] preferred orientation. For the 92 at.% cobalt sample, the alloy exhibited a mixture of bcc and face-centred-cubic structure. The room temperature {sup 57}Fe Moessbauer spectra of the arrays of Fe{sub 1-x}Co{sub x} nanowires have second and fifth absorption lines of the six-line pattern with almost zero intensity, indicating that the internal magnetic field in the nanowires lies along the long axis of the nanowire. The maximum values of the hyperfine field (B{sub hf} 36.6{+-}0.1 T) and isomer shift (IS=0.06{+-}0.01 mm s-1) occur for 44 at.% cobalt. The variations of the isomer shift and the linewidths with composition indicate that the Fe{sub 1-x}Co{sub x} alloy nanowires around the equiatomic composition are in an atomistic disordered state. (author)

  11. Growth and characterization of InGaAs based nanowire-heterostructures

    International Nuclear Information System (INIS)

    Treu, Julian Pascal

    2017-01-01

    In this thesis we investigate III-V semiconductor nanowires integrated on silicon. Focusing on InGaAs-based heterostructures, we use molecular beam epitaxy (MBE) to obtain high purity material without the use of foreign metal catalysts such as gold. Instead of catalystassisted growth we use selective-area growth using prepatterned SiO 2 /Si(111) substrates prepared by improved nanoimprint lithography, resulting in highly periodic large scale arrays (1 x 1 cm 2 ) of vertically aligned nanowires with hexagonal cross-section. Studying the influence of the main growth parameter substrate temperature, arsenic- and III-material flux we systematically optimize yield and aspect ratio of InAs nanowires for different spacings. Capitalizing on the superior morphological homogeneity of arrays with more than 90% yield, we study their use as efficient surface emitters in the Terahertz regime and find excellent performance, clearly outperforming state-of the art bulk material, when taking the surface coverage into account. Furthermore, we explore nanowires with strongly reduced diameter, where adapted growth conditions result in dimensions as small as 20 nm, well within a quantum confined regime. Starting from optimized high-temperature InAs growth, we further investigate incorporation of gallium for composition tuned ternary InGaAs structures. Delineating the optimized growth parameter space we are able to address nearly the entire compositional range up to more than 80% Ga. Correlating X-ray diffraction, transmission electron microscopy (TEM) and micro-photoluminescence spectroscopy, we find a characteristic transition in crystal structure from wurtzite to zincblende dominated phase for intermediate Ga-content, a regime with luminescence mainly limited by compositional inhomogeneities, while structural defects prevail according linewidths of In- and Ga-rich samples. Furthermore, this successfully demonstrates position-controlled integration of InGaAs nanowires with composition

  12. Spectrophotometric determination of trace and ultratrace levels of boron in silicon and chlorosilane samples

    International Nuclear Information System (INIS)

    Chen, J.S.; Lin, H.M.; Yang, M.H.

    1991-01-01

    Spectrophotometric methods for the determination of boron in the low μg/g and ng/g range in high-purity silicon and dichloro- and trichlorosilanes were investigated in detail. The procedures established involve dissolution of silicon samples and the hydrolyzed products of chlorosilane samples in hydrofluoric acid-containing reagents followed by evaporation of the silicon matrix as H 2 SiF 6 . The boron retained in the treated sample solution was then determined by a spectrophotometric method using carminic acid as a chromatic reagent. Special effort has been paid to the control of the analytical blank and reproducible determination of boron. The results indicate that addition of mannitol and proper control of the evaporation process are effective in preventing volatilization of boron during the evaporation of silicon matrix and can thus attain high recovery of boron and reproducible analysis. Through meticulous control of the analytical blank and experimental conditions, the limit of detection for boron determination with the established method can be as low as ng/g levels. Application of the methods to the determination of boron in various stages of purification of silicon and trichlorosilane as well as in borophosphosilicate film was conducted. (orig.)

  13. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors.

    Science.gov (United States)

    McAlpine, Michael C; Ahmad, Habib; Wang, Dunwei; Heath, James R

    2007-05-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a 'nano-electronic nose' library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors.

  14. Are Nanotube Architectures More Advantageous Than Nanowire Architectures For Field Effect Transistors?

    KAUST Repository

    Fahad, Hossain M.

    2012-06-27

    Decade long research in 1D nanowire field effect transistors (FET) shows although it has ultra-low off-state leakage current and a single device uses a very small area, its drive current generation per device is extremely low. Thus it requires arrays of nanowires to be integrated together to achieve appreciable amount of current necessary for high performance computation causing an area penalty and compromised functionality. Here we show that a FET with a nanotube architecture and core-shell gate stacks is capable of achieving the desirable leakage characteristics of the nanowire FET while generating a much larger drive current with area efficiency. The core-shell gate stacks of silicon nanotube FETs tighten the electrostatic control and enable volume inversion mode operation leading to improved short channel behavior and enhanced performance. Our comparative study is based on semi-classical transport models with quantum confinement effects which offers new opportunity for future generation high performance computation.

  15. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors

    Science.gov (United States)

    McAlpine, Michael C.; Ahmad, Habib; Wang, Dunwei; Heath, James R.

    2007-05-01

    The development of a robust method for integrating high-performance semiconductors on flexible plastics could enable exciting avenues in fundamental research and novel applications. One area of vital relevance is chemical and biological sensing, which if implemented on biocompatible substrates, could yield breakthroughs in implantable or wearable monitoring systems. Semiconducting nanowires (and nanotubes) are particularly sensitive chemical sensors because of their high surface-to-volume ratios. Here, we present a scalable and parallel process for transferring hundreds of pre-aligned silicon nanowires onto plastic to yield highly ordered films for low-power sensor chips. The nanowires are excellent field-effect transistors, and, as sensors, exhibit parts-per-billion sensitivity to NO2, a hazardous pollutant. We also use SiO2 surface chemistries to construct a `nano-electronic nose' library, which can distinguish acetone and hexane vapours via distributed responses. The excellent sensing performance coupled with bendable plastic could open up opportunities in portable, wearable or even implantable sensors.

  16. Heterogeneous silicon mesostructures for lipid-supported bioelectric interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Yuanwen; Carvalho-de-Souza, João L.; Wong, Raymond C. S.; Luo, Zhiqiang; Isheim, Dieter; Zuo, Xiaobing; Nicholls, Alan W.; Jung, Il Woong; Yue, Jiping; Liu, Di-Jia; Wang, Yucai; De Andrade, Vincent; Xiao, Xianghui; Navrazhnykh, Luizetta; Weiss, Dara E.; Wu, Xiaoyang; Seidman, David N.; Bezanilla, Francisco; Tian, Bozhi

    2016-06-27

    Silicon-based materials have widespread application as biophysical tools and biomedical devices. Here we introduce a biocompatible and degradable mesostructured form of silicon with multi-scale structural and chemical heterogeneities. The material was synthesized using mesoporous silica as a template through a chemical vapour deposition process. It has an amorphous atomic structure, an ordered nanowire-based framework and random submicrometre voids, and shows an average Young’s modulus that is 2–3 orders of magnitude smaller than that of single-crystalline silicon. In addition, we used the heterogeneous silicon mesostructures to design a lipid-bilayer-supported bioelectric interface that is remotely controlled and temporally transient, and that permits non-genetic and subcellular optical modulation of the electrophysiology dynamics in single dorsal root ganglia neurons. Our findings suggest that the biomimetic expansion of silicon into heterogeneous and deformable forms can open up opportunities in extracellular biomaterial or bioelectric systems.

  17. Ultra compact triplexing filters based on SOI nanowire AWGs

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Jiashun; An Junming; Zhao Lei; Song Shijiao; Wang Liangliang; Li Jianguang; Wang Hongjie; Wu Yuanda; Hu Xiongwei, E-mail: junming@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2011-04-15

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion. (semiconductor devices)

  18. Ultra compact triplexing filters based on SOI nanowire AWGs

    International Nuclear Information System (INIS)

    Zhang Jiashun; An Junming; Zhao Lei; Song Shijiao; Wang Liangliang; Li Jianguang; Wang Hongjie; Wu Yuanda; Hu Xiongwei

    2011-01-01

    An ultra compact triplexing filter was designed based on a silicon on insulator (SOI) nanowire arrayed waveguide grating (AWG) for fiber-to-the-home FTTH. The simulation results revealed that the design performed well in the sense of having a good triplexing function. The designed SOI nanowire AWGs were fabricated using ultraviolet lithography and induced coupler plasma etching. The experimental results showed that the crosstalk was less than -15 dB, and the 3 dB-bandwidth was 11.04 nm. The peak wavelength output from ports a, c, and b were 1455, 1510 and 1300 nm, respectively, which deviated from our original expectations. The deviation of the wavelength is mainly caused by 45 nm width deviation of the arrayed waveguides during the course of the fabrication process and partly caused by material dispersion. (semiconductor devices)

  19. Ultradense, Deep Subwavelength Nanowire Array Photovoltaics As Engineered Optical Thin Films

    KAUST Repository

    Tham, Douglas

    2010-11-10

    A photovoltaic device comprised of an array of 20 nm wide, 32 nm pitch array of silicon nanowires is modeled as an optical material. The nanowire array (NWA) has characteristic device features that are deep in the subwavelength regime for light, which permits a number of simplifying approximations. Using photocurrent measurements as a probe of the absorptance, we show that the NWA optical properties can be accurately modeled with rigorous coupled-wave analysis. The densely structured NWAs behave as homogeneous birefringent materials into the ultraviolet with effective optical properties that are accurately modeled using the dielectric functions of bulk Si and SiO 2, coupled with a physical model for the NWA derived from ellipsometry and transmission electron microscopy. © 2010 American Chemical Society.

  20. Oxidative fabrication of patterned, large, non-flaking CuO nanowire arrays

    International Nuclear Information System (INIS)

    Mumm, F; Sikorski, P

    2011-01-01

    We report a simple and fast approach to fabricate large, non-flaking arrays of CuO nanowires by oxidizing thin copper substrates in air. Oxidative CuO nanowire growth is commonly accompanied by oxide layer flaking due to stress at the copper-copper oxide interface. Using thin substrates is shown to prevent this flaking by introducing favourable material thickness ratios in the samples after oxidation. Additionally, thin foils allow larger scale topographic patterns to be transferred from an underlying mould to realize non-flat, nanowire-decorated surfaces. Further patterning is possible by electrodeposition of a nickel layer, which restricts nanowire growth to specific areas of the sample.