WorldWideScience

Sample records for silicon films deposited

  1. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  2. Crystalline Silicon Solar Cells with Thin Silicon Passivation Film Deposited prior to Phosphorous Diffusion

    Directory of Open Access Journals (Sweden)

    Ching-Tao Li

    2014-01-01

    Full Text Available We demonstrate the performance improvement of p-type single-crystalline silicon (sc-Si solar cells resulting from front surface passivation by a thin amorphous silicon (a-Si film deposited prior to phosphorus diffusion. The conversion efficiency was improved for the sample with an a-Si film of ~5 nm thickness deposited on the front surface prior to high-temperature phosphorus diffusion, with respect to the samples with an a-Si film deposited on the front surface after phosphorus diffusion. The improvement in conversion efficiency is 0.4% absolute with respect to a-Si film passivated cells, that is, the cells with an a-Si film deposited on the front surface after phosphorus diffusion. The new technique provided a 0.5% improvement in conversion efficiency compared to the cells without a-Si passivation. Such performance improvements result from reduced surface recombination as well as lowered contact resistance, the latter of which induces a high fill factor of the solar cell.

  3. Deposition of silicon films in presence of nitrogen plasma— A ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. A design, development and validation work of plasma based 'activated reactive evaporation (ARE) system' is implemented for the deposition of the silicon films in presence of nitrogen plasma on substrate maintained at room temperature. This plasma based deposition system involves evaporation of pure silicon by.

  4. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  5. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  6. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  7. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  8. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  9. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  10. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  11. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  12. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  13. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  14. The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.

    2013-04-01

    The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.

  15. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  16. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  17. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  18. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  19. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  20. Electrochemical and hydrothermal deposition of ZnO on silicon: from continuous films to nanocrystals

    International Nuclear Information System (INIS)

    Balucani, M.; Nenzi, P.; Chubenko, E.; Klyshko, A.; Bondarenko, V.

    2011-01-01

    This article presents the study of the electrochemical deposition of zinc oxide from the non-aqueous solution based on dimethyl sulfoxide and zinc chloride into the porous silicon matrix. The features of the deposition process depending on the thickness of the porous silicon layer are presented. It is shown that after deposition process the porous silicon matrix is filled with zinc oxide nanocrystals with a diameter of 10–50 nm. The electrochemically deposited zinc oxide layers on top of porous silicon are shown to have a crystalline structure. It is also shown that zinc oxide crystals formed by hydrothermal method on the surface of electrochemically deposited zinc oxide film demonstrate ultra-violet luminescence. The effect of the porous silicon layer thickness on the morphology of the zinc oxide is shown. The structures obtained demonstrated two luminescence bands peaking at the 375 and 600 nm wavelengths. Possible applications of ZnO nanostructures, porous and continuous polycrystalline ZnO films such as gas sensors, light-emitting diodes, photovoltaic devices, and nanopiezo energy generators are considered. Aspects of integration with conventional silicon technology are also discussed.

  1. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  2. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  3. X-ray absorption study of silicon carbide thin film deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Monaco, G.; Suman, M.; Garoli, D.; Pelizzo, M.G.; Nicolosi, P.

    2011-01-01

    Silicon carbide (SiC) is an important material for several applications ranging from electronics to Extreme UltraViolet (EUV) space optics. Crystalline cubic SiC (3C-SiC) has a wide band gap (near 2.4 eV) and it is a promising material to be used in high frequency and high energetic electronic devices. We have deposited, by means of pulsed laser deposition (PLD), different SiC films on sapphire and silicon substrates both at mild (650 o C) and at room temperature. The resulted films have different structures such as: highly oriented polycrystalline, polycrystalline and amorphous which have been studied by means of X-ray absorption spectroscopy (XAS) near the Si L 2,3 edge and the C K edge using PES (photoemission spectroscopy) for the analysis of the valence bands structure and film composition. The samples obtained by PLD have shown different spectra among the grown films, some of them showing typical 3C-SiC absorption structure, but also the presence of some Si-Si and graphitic bonds.

  4. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  5. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  6. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  7. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  8. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  10. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  11. Mechanical and tribological properties of silicon nitride films synthesized by ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Chen Yuanru; Li Shizhuo; Zhang Xushou; Liu Hong; Yang Genqing; Qu Baochun

    1991-01-01

    This article describes preliminary investigations of mechanical and tribological properties of silicon nitride film formed by ion beam enhanced deposition (IBED) on GH37 (Ni-based alloys) steel. The films were synthesized by silicon vapor deposition with a rate of 1 A/s and by 40 keV nitrogen ion bombardment simultaneously. The thickness of the film was about 5000 A. X-ray photoelectron spectroscopy and infrared absorption spectroscopy revealed that a stoichiometric Si 3 N 4 film was formed. The observation of TEM showed that the IBED Si 3 N 4 film normally had an amorphous structure. However, electron diffraction patterns revealed a certain crystallinity. The mechanical and tribological properties of the films were investigated with a scratch tester, microhardness meter, and a ball-on-disc tribometer respectively. Results show that the adhesive strength between film and substrate is about 51 N, the Vickers microhardness with a load of 0.2 N is 980, the friction coefficient measured for steel against silicon nitride film ranges from 0.1 to 0.15, and the wear rate of coatings is about 6.8x10 -5 mm 3 /(mN). Finally, the relationship among thermal annealing, crystallinity and tribological characteristics of the Si 3 N 4 film is discussed. (orig.)

  12. Enhancement of deposition rate at cryogenic temperature in synchrotron radiation excited deposition of silicon film

    International Nuclear Information System (INIS)

    Nara, Yasuo; Sugita, Yoshihiro; Ito, Takashi; Kato, Hiroo; Tanaka, Ken-ichiro

    1989-01-01

    The authors have investigated the synchrotron radiation excited deposition of silicon films on the SiO 2 substrate by using SiH 4 /He mixture gas at BL-12C at Photon Factory. They used VUV light from the multilayer mirror with the center photon energy from 97 to 123eV, which effectively excites L-core electrons of silicon. Substrate temperature was widely varied from -178 degree C to 500 degree C. At -178 degree C, the deposition rate was as high as 400nm/200mAHr (normalized at the storage ring current at 200mA). As increasing the substrate temperature, the deposition rate was drastically decreased. The number of deposited silicon atoms is estimated to be 4 to 50% of incident photons, while the number of photo generated species in the gas phase within the mean free path from the surface is calculated as few as about 10 -3 of incident photons. These experimental results show that the deposition reaction is governed by the dissociation of surface adsorbates by the synchrotron radiation

  13. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  14. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications

    Energy Technology Data Exchange (ETDEWEB)

    Boudot, Cécile, E-mail: cecile.boudot@tum.de [Technical University of Munich, Department of Mechanical Engineering, Boltzmannstraße 15, D-85748 Garching bei München (Germany); Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen [Institute for Plasma Technology and Mathematics, University of Federal Armed Forces Munich, Werner-Heisenberg-Weg 39, D-85577 Neubiberg (Germany)

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO{sub 2}) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150 nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO{sub 2} layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO{sub 2}-coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68 days and the coating's resistance to several sterilization methods. - Highlights: • Vacuum arc plasma was applied to deposit titanium dioxide films onto silicone. • Thickness, roughness and composition of the films were determined. • Cytocompatibility of coated silicone elastomer is greatly improved. • Films have good adhesion to the substrate and are stable, non-toxic and sterilizable.

  15. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  16. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  17. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  18. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  19. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  20. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  1. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  2. Reliability assessment of ultra-thin HfO{sub 2} films deposited on silicon wafer

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Nano-mechanical properties on annealed ultra-thin HfO{sub 2} film are studied. Black-Right-Pointing-Pointer By AFM analysis, hardness of the crystallized HfO{sub 2} film significantly increases. Black-Right-Pointing-Pointer By nano-indention, the film hardness increases with less contact stiffness. Black-Right-Pointing-Pointer Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO{sub 2}) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO{sub 2} films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO{sub 2} films deposited on silicon wafers (HfO{sub 2}/SiO{sub 2}/Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO{sub 2} (nominal thickness Almost-Equal-To 10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO{sub 2} phases for the atomic layer deposited HfO{sub 2}. The HfSi{sub x}O{sub y} complex formed at the interface between HfO{sub 2} and SiO{sub 2}/Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO{sub 2} film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically

  3. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  4. Poly(3-hexylthiophene) films by electrospray deposition for crystalline silicon/organic hybrid junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hiate, Taiga; Miyauchi, Naoto; Tang, Zeguo; Ishikawa, Ryo; Ueno, Keiji; Shirai, Hajime [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura, Saitama 858-3676 (Japan)

    2012-10-15

    The electrospray deposition (ESD) of poly(3-hexylthiophene) (P3HT) and conductive poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) on P3HT for use in crystalline silicon/organic hybrid heterojunction solar cells on CZ crystalline silicon (c-Si) (100) wafer was investigated using real-time characterization by spectroscopic ellipsometry (SE). In contrast to the nonuniform deposition of products frequently obtained by conventional spin-coating, a uniform deposition of P3HT and PEDOT:PSS films were achieved on flat and textured hydrophobic c-Si(100) wafers by adjusting the deposition conditions. The c-Si/P3HT/PEDOT:PSS heterojunction solar cells exhibited efficiencies of 4.1 and 6.3% on flat and textured c-Si(100) wafers, respectively. These findings suggest that ESD is a promising method for the uniform deposition of P3HT and PEDOT:PSS films on flat and textured hydrophobic substrates. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  6. Composition and optical properties tunability of hydrogenated silicon carbonitride thin films deposited by reactive magnetron sputtering

    Science.gov (United States)

    Bachar, A.; Bousquet, A.; Mehdi, H.; Monier, G.; Robert-Goumet, C.; Thomas, L.; Belmahi, M.; Goullet, A.; Sauvage, T.; Tomasella, E.

    2018-06-01

    Radiofrequency reactive magnetron sputtering was used to deposit hydrogenated amorphous silicon carbonitride (a-SiCxNy:H) at 400 °C by sputtering a silicon target under CH4 and N2 reactive gas mixture. Rutherford backscattering spectrometry revealed that the change of reactive gases flow rate (the ratio R = FN2/(FN2+FCH4)) induced a smooth chemical composition tunability from a silicon carbide-like film for R = 0 to a silicon nitride-like one at R = 1 with a large area of silicon carbonitrides between the two regions. The deconvolution of Fourier Transform InfraRed and X-ray photoelectron spectroscopy spectrum highlighted a shift of the chemical environment of the deposited films corresponding to the changes seen by RBS. The consequence of these observations is that a control of refractive index in the range of [1.9-2.5] at λ = 633 nm and optical bandgap in the range [2 eV-3.8 eV] have been obtained which induces that these coatings can be used as antireflective coatings in silicon photovoltaic cells.

  7. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  8. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  9. Increasing the deposition rate of microcrystalline and amorphous silicon thin films for photovoltaic applications - Phase IV: 1997-1999

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-07-01

    This report on behalf of the Swiss Federal Office of Energy (SFOE) describes Phase IV of the project to test the feasibility and usefulness of Very High Frequency (VHF) plasma operation in large-area reactors suitable for the production of solar cell panels using thinly-deposited micro-crystalline silicon films. The report discusses the results of fast-deposition tests and trials using high-current DC arcs and VHF techniques to obtain deposition rates and film quality suitable for industrial processes for the production of thin-film solar cell panels. The effects of alternative plasma chemistry were also studied by adding silicon tetrafluoride to the standard silane/hydrogen mixtures. The report is concluded with calculations for optimum radio-frequency (RF) contact configuration for large area reactors with 1 m{sup 2} electrodes.

  10. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  11. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications.

    Science.gov (United States)

    Boudot, Cécile; Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO 2 ) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO 2 layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO 2 -coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68days and the coating's resistance to several sterilization methods. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Effect of annealing temperature on optical and electrical properties of metallophthalocyanine thin films deposited on silicon substrate

    Directory of Open Access Journals (Sweden)

    Skonieczny R.

    2016-09-01

    Full Text Available The cobalt phthalocyanine (CoPc thin films (300 nm thick deposited on n-type silicon substrate have been studied using micro-Raman spectroscopy, atomic force spectroscopy (AFM and I-V measurement. The CoPc thin layers have been deposited at room temperature by the quasi-molecular beam evaporation technique. The micro-Raman spectra of CoPc thin films have been recorded in the spectral range of 1000 cm-1 to 1900 cm-1 using 488 nm excitation wavelength. Moreover, using surface Raman mapping it was possible to obtain information about polymorphic forms distribution (before and after annealing of metallophthalocyanine (α and β form from polarized Raman spectra. The I-V characteristics of the Au/CoPc/n-Si/Al Schottky barrier were also investigated. The obtained results showed that influence of the annealing process plays a crucial role in the ordering and electrical conductivity of the molecular structure of CoPc thin films deposited on n-type silicon substrate.

  13. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  14. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  15. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  16. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  17. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  18. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  1. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  2. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  3. Mechanical properties of silicon oxynitride thin films prepared by low energy ion beam assisted deposition

    International Nuclear Information System (INIS)

    Shima, Yukari; Hasuyama, Hiroki; Kondoh, Toshiharu; Imaoka, Yasuo; Watari, Takanori; Baba, Koumei; Hatada, Ruriko

    1999-01-01

    Silicon oxynitride (SiO x N y ) films (0.1-0.7 μm) were produced on Si (1 0 0), glass and 316L stainless steel substrates by ion beam assisted deposition (IBAD) using Si evaporation and the concurrent bombardment with a mixture of 200 eV N 2 and Ar, or O 2 and Ar ions. Adhesion was evaluated by pull-off tests. Film hardness was measured by a nanoindentation system with AFM. The measurement of internal stress in the films was carried out by the Stoney method. The film structure was examined by GXRD. XPS was employed to measure the composition of films and to analyze the chemical bonds. The dependence of mechanical properties on the film thickness and the processing temperature during deposition was studied. Finally, the relations between the mechanical properties of the films and the correlation with corrosion-protection ability of films are discussed and summarized

  4. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  5. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  6. Influence of deposition temperature of thermal ALD deposited Al2O3 films on silicon surface passivation

    Directory of Open Access Journals (Sweden)

    Neha Batra

    2015-06-01

    Full Text Available The effect of deposition temperature (Tdep and subsequent annealing time (tanl of atomic layer deposited aluminum oxide (Al2O3 films on silicon surface passivation (in terms of surface recombination velocity, SRV is investigated. The pristine samples (as-deposited show presence of positive fixed charges, QF. The interface defect density (Dit decreases with increase in Tdep which further decreases with tanl up to 100s. An effective surface passivation (SRV<8 cm/s is realized for Tdep ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized by high thermal budget process (tanl between 10 to 30 min.

  7. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    Energy Technology Data Exchange (ETDEWEB)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens; Hultman, Lars; Högberg, Hans [Thin Film Physics Division, Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping SE-581 83 (Sweden)

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content. The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.

  8. Effect of power on the growth of nanocrystalline silicon films

    International Nuclear Information System (INIS)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma

    2008-01-01

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm -1 and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity

  9. Effect of power on the growth of nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma [Plasma Processed Materials Group, National Physical Laboratory, Dr K S Krishnan Road, New Delhi 110 012 (India)], E-mail: skumar@mail.nplindia.ernet.in

    2008-08-20

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm{sup -1} and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity.

  10. Plasma deposition of amorphous silicon-based materials

    CERN Document Server

    Bruno, Giovanni; Madan, Arun

    1995-01-01

    Semiconductors made from amorphous silicon have recently become important for their commercial applications in optical and electronic devices including FAX machines, solar cells, and liquid crystal displays. Plasma Deposition of Amorphous Silicon-Based Materials is a timely, comprehensive reference book written by leading authorities in the field. This volume links the fundamental growth kinetics involving complex plasma chemistry with the resulting semiconductor film properties and the subsequent effect on the performance of the electronic devices produced. Key Features * Focuses on the plasma chemistry of amorphous silicon-based materials * Links fundamental growth kinetics with the resulting semiconductor film properties and performance of electronic devices produced * Features an international group of contributors * Provides the first comprehensive coverage of the subject, from deposition technology to materials characterization to applications and implementation in state-of-the-art devices.

  11. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  12. Microscratch testing method for systematic evaluation of the adhesion of atomic layer deposited thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kilpi, Lauri, E-mail: Lauri.Kilpi@vtt.fi; Ylivaara, Oili M. E.; Vaajoki, Antti; Puurunen, Riikka L.; Ronkainen, Helena [VTT Technical Research Centre of Finland Ltd., P.O. Box 1000, FI-02044 VTT (Finland); Malm, Jari [Department of Physics, University of Jyväskylä, P.O. Box 35, Jyväskylä 40014 (Finland); Sintonen, Sakari [Department of Micro- and Nanosciences, Aalto University School of Electrical Engineering, P.O. Box 13500, FI-00076 AALTO (Finland); Tuominen, Marko [ASM Microchemistry Oy, Pietari Kalmin katu 1 F 2, FIN-00560 Helsinki (Finland)

    2016-01-15

    The scratch test method is widely used for adhesion evaluation of thin films and coatings. Usual critical load criteria designed for scratch testing of coatings were not applicable to thin atomic layer deposition (ALD) films on silicon wafers. Thus, the bases for critical load evaluation were established and the critical loads suitable for ALD coating adhesion evaluation on silicon wafers were determined in this paper as L{sub CSi1}, L{sub CSi2}, L{sub CALD1}, and L{sub CALD2}, representing the failure points of the silicon substrate and the coating delamination points of the ALD coating. The adhesion performance of the ALD Al{sub 2}O{sub 3}, TiO{sub 2}, TiN, and TaCN+Ru coatings with a thickness range between 20 and 600 nm and deposition temperature between 30 and 410 °C on silicon wafers was investigated. In addition, the impact of the annealing process after deposition on adhesion was evaluated for selected cases. The tests carried out using scratch and Scotch tape test showed that the coating deposition and annealing temperature, thickness of the coating, and surface pretreatments of the Si wafer had an impact on the adhesion performance of the ALD coatings on the silicon wafer. There was also an improved load carrying capacity due to Al{sub 2}O{sub 3}, the magnitude of which depended on the coating thickness and the deposition temperature. The tape tests were carried out for selected coatings as a comparison. The results show that the scratch test is a useful and applicable tool for adhesion evaluation of ALD coatings, even when carried out for thin (20 nm thick) coatings.

  13. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  14. Synthesis and corrosion properties of silicon nitride films by ion beam assisted deposition

    Science.gov (United States)

    Baba, K.; Hatada, R.; Emmerich, R.; Enders, B.; Wolf, G. K.

    1995-12-01

    Silicon nitride films SiN x were deposited on 316L austenitic stainless steel substrates by silicon evaporation and simultaneous nitrogen ion irradiation with an acceleration voltage of 2 kV. In order to study the influence of the nitrogen content on changes in stoichiometry, structure, morphology, thermal oxidation behaviour and corrosion behaviour, the atom to ion transport ratio was systematically varied. The changes of binding states and the stoichiometry were evaluated with XPS and AES analysis. A maximum nitrogen content was reached with a {Si}/{N} transport ratio lower than 2. The films are chemically inert when exposed to laboratory atmosphere up to a temperature of more than 1000°C. XRD and SEM measurements show amorphous and featureless films for transport ratios {Si}/{N} from 1 up to 10. The variation of the corrosion behaviour of coated stainless steel substrates in sulphuric acid and hydrochloric acid shows a minimum at medium transport ratios. This goes parallel with changes in porosity and adhesion. Additional investigations showed that titanium implantation as an intermediate step improves the corrosion resistance considerably.

  15. Improving optical properties of silicon nitride films to be applied in the middle infrared optics by a combined high-power impulse/unbalanced magnetron sputtering deposition technique.

    Science.gov (United States)

    Liao, Bo-Huei; Hsiao, Chien-Nan

    2014-02-01

    Silicon nitride films are prepared by a combined high-power impulse/unbalanced magnetron sputtering (HIPIMS/UBMS) deposition technique. Different unbalance coefficients and pulse on/off ratios are applied to improve the optical properties of the silicon nitride films. The refractive indices of the Si3N4 films vary from 2.17 to 2.02 in the wavelength ranges of 400-700 nm, and all the extinction coefficients are smaller than 1×10(-4). The Fourier transform infrared spectroscopy and x-ray diffractometry measurements reveal the amorphous structure of the Si3N4 films with extremely low hydrogen content and very low absorption between the near IR and middle IR ranges. Compared to other deposition techniques, Si3N4 films deposited by the combined HIPIMS/UBMS deposition technique possess the highest refractive index, the lowest extinction coefficient, and excellent structural properties. Finally a four-layer coating is deposited on both sides of a silicon substrate. The average transmittance from 3200 to 4800 nm is 99.0%, and the highest transmittance is 99.97% around 4200 nm.

  16. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  17. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  18. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  19. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  20. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  1. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  2. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  3. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  4. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  5. Analysis of structure and defects in thin silicon films deposited from hydrogen diluted silane

    International Nuclear Information System (INIS)

    Elzakker, G. van; Nadazdy, V.; Tichelaar, F.D.; Metselaar, J.W.; Zeman, M.

    2006-01-01

    Thin silicon layers have been deposited from silane diluted with hydrogen. The dilution ratio R (R = [H 2 ]/[SiH 4 ]) has been varied between R = 0 and R = 40. The structural properties of Si:H films have been studied using transmission electron microscopy imaging and Raman spectroscopy. The phase evolution from the amorphous phase into the mixed and eventually microcrystalline phase strongly depends on the hydrogen dilution. The initiation of the microcrystalline growth occurs between R = 20 and R = 25. The phase transition becomes more abrupt with increasing hydrogen dilution. Optoelectronic properties of the layers have been determined. Increasing hydrogen dilution results in films with increasing effective defect density and Urbach energy, which is related to inhomogeneous growth. The charge deep-level transient spectroscopy technique (Q-DLTS) was applied for the first time on hydrogen diluted thin silicon films in order to investigate the energy distribution of the defect states in these layers as a function of the dilution ratio R. The Q-DLTS spectra indicate a difference in defect-state distribution when the films evolve from the amorphous phase into the microcrystalline phase

  6. Morphological and optical properties of silicon thin films by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Schwarz, R.; Melo, L.V.; Ramalho, R.; Alves, E.; Marques, C.P.; Santos, L.; Almeida, R.; Conde, O.

    2009-01-01

    Silicon thin films have been prepared on sapphire substrates by pulsed laser deposition (PLD) technique. The films were deposited in vacuum from a silicon target at a base pressure of 10 -6 mbar in the temperature range from 400 to 800 deg. C. A Q-switched Nd:YAG laser (1064 nm, 5 ns duration, 10 Hz) at a constant energy density of 2 J x cm -2 has been used. The influence of the substrate temperature on the structural, morphological and optical properties of the Si thin films was investigated. Spectral ellipsometry and atomic force microscopy (AFM) were used to study the thickness and the surface roughness of the deposited films. Surface roughness values measured by AFM and ellipsometry show the same tendency of increasing roughness with increased deposition temperature

  7. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  8. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  9. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  10. Low temperature magnetron sputter deposition of polycrystalline silicon thin films using high flux ion bombardment

    International Nuclear Information System (INIS)

    Gerbi, Jennifer E.; Abelson, John R.

    2007-01-01

    We demonstrate that the microstructure of polycrystalline silicon thin films depends strongly on the flux of low energy ions that bombard the growth surface during magnetron sputter deposition. The deposition system is equipped with external electromagnetic coils which, through the unbalanced magnetron effect, provide direct control of the ion flux independent of the ion energy. We report the influence of low energy ( + on the low temperature ( + ions to silicon neutrals (J + /J 0 ) during growth by an order of magnitude (from 3 to 30) enables the direct nucleation of polycrystalline Si on glass and SiO 2 coated Si at temperatures below 400 degree sign C. We discuss possible mechanisms for this enhancement of crystalline microstructure, including the roles of enhanced adatom mobility and the formation of shallow, mobile defects

  11. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  12. High-pressure condition of SiH{sub 4}+Ar+H{sub 2} plasma for deposition of hydrogenated nanocrystalline silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A.; Kumar, Sushil; Dixit, P.N.; Gope, Jhuma; Rauthan, C.M.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2008-10-15

    The characteristics of 13.56-MHz discharged SiH{sub 4}+Ar+H{sub 2} plasma at high pressure (2-8 Torr), used for the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films in a capacitively coupled symmetric PECVD system, has been investigated. Plasma parameters such as average electron density, sheath field and bulk field are extracted from equivalent circuit model of the plasma using outputs (current, voltage and phase) of RF V-I probe under different pressure conditions. The conditions of growth in terms of plasma parameters are correlated with properties of the hydrogenated nanocrystalline silicon films characterized by Raman, AFM and dc conductivity. The film deposited at 4 Torr of pressure, where relatively low sheath/bulk field ratio is observed, exhibits high crystallinity and conductivity. The crystalline volume fraction of the films estimated from the Raman spectra is found to vary from 23% to 79%, and the trend of variation is similar to the RF real plasma impedance data. (author)

  13. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  14. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  15. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  16. Properties of non-stoichiometric nitrogen doped LPCVD silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, F.; Mahamdi, R. [Departement d' Electronique, Universite Mentouri, Constantine (Algeria); Beghoul, M.R. [Departement d' Electronique, Universite de Jijel (Algeria); Temple-Boyer, P. [CNRS, LAAS, Toulouse (France); Universite de Toulouse, UPS, INSA, INP, ISAE, LAAS, Toulouse (France); Bouridah, H.

    2010-02-15

    The influence of nitrogen on the internal structure and so on the electrical properties of silicon thin films obtained by low-pressure chemical vapor deposition (LPCVD) was studied using several investigation methods. We found by using Raman spectroscopy and SEM observations that a strong relationship exists between the structural order of the silicon matrix and the nitrogen ratio in film before and after thermal treatment. As a result of the high disorder caused by nitrogen on silicon network during the deposit phase of films, the crystallization phenomena in term of nucleation and crystalline growth were found to depend upon the nitrogen content. Resistivity measurements results show that electrical properties of NIDOS films depend significantly on structural properties. It was appeared that for high nitrogen content, the films tend to acquire an insulator behavior. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  18. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  19. The analysis of structural and electronic environments of silicon network in HWCVD deposited a-SiC:H films

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2007-01-01

    Hydrogenated amorphous silicon carbon alloys (a-SiC:H) films were deposited by hot wire chemical vapour deposition (HWCVD) using SiH 4 and C 2 H 2 as precursor gases. a-SiC:H films were characterized by Fourier Transform Infrared (FTIR) spectroscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Solid-state plasmon of Si network shifts from 19.2 to 20.5 eV by varying C 2 H 2 flow rate from 2 to 10 sccm. Incorporation of carbon content changes the valence band structure and s orbital is more dominant than sp and p orbital with carbon incorporation

  20. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  1. Morphology and electronic transport of polycrystalline silicon films deposited by SiF sub 4 /H sub 2 at a substrate temperature of 200 deg. C

    CERN Document Server

    Hazra, S; Ray, S

    2002-01-01

    Undoped and phosphorous doped polycrystalline silicon (poly-Si) films were deposited using a SiF sub 4 /H sub 2 gas mixture at a substrate temperature of 200 deg. C by radio frequency plasma enhanced chemical vapor deposition (rf-PECVD). Fourier transform infrared (FTIR) spectroscopy and x-ray diffraction (XRD) experiments reveal that the present poly-Si films are equivalent to the poly-Si films deposited at high temperature (>600 deg. C). XRD and scanning electron microscope observations show that the crystalline quality of slightly P-doped film is better compared to that of undoped poly-Si films. Phosphorus atom concentration in the slightly P-doped poly-Si film is 5.0x10 sup 1 sup 6 atoms/cm sup 3. Association of a few phosphorous atoms in the silicon matrix enhances crystallization as eutectic-forming metals do. Dark conductivity of slightly P-doped film is 4 orders of magnitude higher, although mobility-lifetime product (eta mu tau) is 2 orders of magnitude lower than that of undoped film. The presence o...

  2. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  3. Polycrystalline La1-xSrxMnO3 films on silicon: Influence of post-Deposition annealing on structural, (Magneto-)Optical, and (Magneto-)Electrical properties

    Science.gov (United States)

    Thoma, Patrick; Monecke, Manuel; Buja, Oana-Maria; Solonenko, Dmytro; Dudric, Roxana; Ciubotariu, Oana-Tereza; Albrecht, Manfred; Deac, Iosif G.; Tetean, Romulus; Zahn, Dietrich R. T.; Salvan, Georgeta

    2018-01-01

    The integration of La1-xSrxMnO3 (LSMO) thin film technology into established industrial silicon processes is regarded as challenging due to lattice mismatch, thermal expansion, and chemical reactions at the interface of LSMO and silicon. In this work, we investigated the physical properties of thin La0.73Sr0.27MnO3 films deposited by magnetron sputtering on silicon without a lattice matching buffer layer. The influence of a post-deposition annealing treatment on the structural, (magneto-)optical, and (magneto-)electrical properties was investigated by a variety of techniques. Using Rutherford backscattering spectroscopy, atomic force microscopy, Raman spectroscopy, and X-ray diffraction we could show that the thin films exhibit a polycrystalline, rhombohedral structure after a post-deposition annealing of at least 700 °C. The dielectric tensor in the spectral range from 1.7 eV to 5 eV determined from spectroscopic ellipsometry in combination with magneto-optical Kerr effect spectroscopy was found to be comparable to that of lattice matched films on single crystal substrates reported in literature [1]. The values of the metal-isolator transition temperature and temperature-dependent resistivities also reflect a high degree of crystalline quality of the thermally treated films.

  4. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  5. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    Science.gov (United States)

    Dimitrakellis, P.; Kalampounias, A. G.; Spiliopoulos, N.; Amanatides, E.; Mataras, D.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-07-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  6. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    International Nuclear Information System (INIS)

    Dimitrakellis, P.; Amanatides, E.; Mataras, D.; Kalampounias, A. G.; Spiliopoulos, N.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-01-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  7. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  8. Electronic structures of ultra-thin silicon carbides deposited on graphite

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    Electronic structures of ultra-thin silicon carbide films have been investigated by X-ray photoelectron spectroscopy (XPS) and Si K-edge X-ray absorption near edge structure (XANES) using linearly polarized synchrotron soft X-rays. Silicon carbide films were deposited on the surface of highly oriented pyrolytic graphite (HOPG) by ion beam deposition method. Tetramethylsilane (Si(CH 3 ) 4 ) was used as a discharge gas. The XPS and XANES features for the thick layers were similar to those for the bulk SiC. For sub-monolayered films, the Si 1s binding energy in XPS was higher by 2.5 eV than that for bulk SiC. This suggests the existence of low-dimensional SiC x where the silicon atoms are more positively charged than those in bulk SiC. After annealing the sub-monolayered film at 850 deg. C, a new peak appeared around 1840 eV in the XANES spectrum. The energy of this new peak was lower than those for any other silicon compounds. The low-energy feature of the XANES peak suggests the existence of π*-like orbitals around the silicon atom. On the basis of the polarization dependencies of the XANES spectra, it was revealed that the direction of the π*-like orbitals are nearly perpendicular to the surface. We conclude that sub-monolayered SiC x film exhibits flat-lying structure of which configuration is similar to a single sheet of graphite

  9. RBS and XRD analysis of silicon doped titanium diboride films

    International Nuclear Information System (INIS)

    Mollica, S.; Sood, D.K.; Ghantasala, M.K.; Kothari, R.

    1999-01-01

    Titanium diboride is a newly developed material suitable for protective coatings. Its high temperature oxidation resistance at temperatures of 700 deg C and beyond is limited due to its poor oxidative behaviour. This paper presents a novel approach to improving the coatings' oxidative characteristics at temperatures of 700 deg C by doping with silicon. Titanium diboride films were deposited onto Si(100) wafer substrates using a DC magnetron sputtering system. Films were deposited in two different compositions, one at pure TiB 2 and the other with 20 % Si doping. These samples were vacuum annealed at 700 deg C at 1x10 -6 Torr to investigate the anaerobic behaviour of the material at elevated temperatures and to ensure that they were crystalline. Samples were then oxidised in air at 700 deg C to investigate their oxidation resistance. Annealing the films at 700 deg C in air results in the oxidation of the film as titanium and boron form TiO 2 and B 2 O 3 . Annealing is seen to produce only minor changes in the films. There is some silicon diffusion from the substrate at elevated temperatures, which is related to the porous nature of the deposited film and the high temperature heat treatments. However, silicon doped films showed relatively less oxidation characteristics after annealing in air compared with the pure TiB 2 samples

  10. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    International Nuclear Information System (INIS)

    Mouro, J.; Gualdino, A.; Chu, V.; Conde, J. P.

    2013-01-01

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n + -type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force

  11. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Mouro, J.; Gualdino, A.; Chu, V. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Conde, J. P. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Department of Bioengineering, Instituto Superior Técnico (IST), 1049-001 Lisbon (Portugal)

    2013-11-14

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.

  12. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  13. Room temperature pulsed laser deposition of Si{sub x} C thin films in different compositions

    Energy Technology Data Exchange (ETDEWEB)

    Hanyecz, I.; Budai, J. [University of Szeged, Department of Optics and Quantum Electronics, P.O. Box 406, Szeged (Hungary); Oszko, A. [University of Szeged, Department of Solid State and Radiochemistry, P.O. Box 168, Szeged (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, Budapest (Hungary); Toth, Z. [Research Group on Laser Physics of the Hungarian Academy of Sciences, P.O. Box 406, Szeged (Hungary)

    2010-09-15

    Amorphous silicon-carbon alloy films in different compositions were prepared by pulsed laser deposition from two-component targets containing pure silicon and carbon parts. The silicon-carbon ratio in the films was varied by adjusting the number of laser shots on the constituent silicon and carbon targets. The composition, optical properties, thickness, and bonding structure of the films were determined by backscattering spectrometry, spectroscopic ellipsometry, and X-ray photoelectron spectroscopy, respectively. Backscattering spectrometry data were used to determine the deposition rate of silicon and carbon. This enabled the calculation of the number of the shots onto each target to reach a predefined composition. As the film composition changed from carbon to silicon, it was shown that the microscopic and macroscopic properties of the films also changed from a diamond-like carbon phase to an amorphous silicon phase via graphite- and silicon-carbide-like composite. (orig.)

  14. Deposition of thin films by retardation of an isotope separator beam

    International Nuclear Information System (INIS)

    Colligon, J.S.; Grant, W.A.; Williams, J.S.; Lawson, R.P.W.

    1976-01-01

    An ion optical lens system capable of retarding and focusing a mass-analysed ion beam, produced in the University of Salford isotope separator, from an energy of 20 keV to 50-60 eV is described. Using this system it is technically feasible to deposit spectroscopically pure ions of all species onto a substrate to produce thin film for devices and junctions. Preliminary investigations of the technique have been carried out using lead and copper ions which were deposited onto silicon single-crystal substrates. These ions were selected because their high mass relative to silicon allowed analyses of the deposited films by low-angle Rutherford backscattering of 2 MeV He ions; the single-crystal silicon substrate enabled the extent of damage due to unretarded neutral particles to be estimated from channelling data. Results for lead films showed that films less than 150 A in thickness were discontinuous and scanning electron microscopy confirmed their 'island' structure. For thicker deposits, of order 600 A, the films were continuous. Results are also presented for copper-lead sandwich layers produced by successive depositions. Channelling experiments indicated that the neutral component was less than 5% of the total ion-beam intensity. Investigations of the spatial distribution of the lead films indicated a non-uniformity which, it is suggested, arises from a fault in the retardation lens design. (author)

  15. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  16. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  17. Enhanced electrical and magnetic properties in La0.7Sr0.3MnO3 thin films deposited on CaTiO3-buffered silicon substrates

    Directory of Open Access Journals (Sweden)

    C. Adamo

    2015-06-01

    Full Text Available We investigate the suitability of an epitaxial CaTiO3 buffer layer deposited onto (100 Si by reactive molecular-beam epitaxy (MBE for the epitaxial integration of the colossal magnetoresistive material La0.7Sr0.3MnO3 with silicon. The magnetic and electrical properties of La0.7Sr0.3MnO3 films deposited by MBE on CaTiO3-buffered silicon (CaTiO3/Si are compared with those deposited on SrTiO3-buffered silicon (SrTiO3/Si. In addition to possessing a higher Curie temperature and a higher metal-to-insulator transition temperature, the electrical resistivity and 1/f noise level at 300 K are reduced by a factor of two in the heterostructure with the CaTiO3 buffer layer. These results are relevant to device applications of La0.7Sr0.3MnO3 thin films on silicon substrates.

  18. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  19. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Science.gov (United States)

    Shibuya, Keisuke; Sawa, Akihito

    2015-10-01

    We systematically examined the effects of the substrate temperature (TS) and the oxygen pressure (PO2) on the structural and optical properties polycrystalline V O2 films grown directly on Si(100) substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal-insulator transition (MIT) temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  20. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Directory of Open Access Journals (Sweden)

    Keisuke Shibuya

    2015-10-01

    Full Text Available We systematically examined the effects of the substrate temperature (TS and the oxygen pressure (PO2 on the structural and optical properties polycrystalline V O2 films grown directly on Si(100 substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal–insulator transition (MIT temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  1. High-rate silicon nitride deposition for photovoltaics : from fundamentals to industrial application

    NARCIS (Netherlands)

    Kessels, W.M.M.; Oever, van den P.J.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Sanden, van de M.C.M.

    2005-01-01

    The development of a novel plasma technique for high rate (> 1 nm/s) silicon nitride deposition for multifunctional antireflection coatings on crystalline silicon solar cells is described. The research has involved the analysis of the structural and optical properties of the silicon nitride films as

  2. High-rate silicon nitride deposition for photovoltaics : from fundamentals to industrial application

    NARCIS (Netherlands)

    Kessels, W.M.M.; Oever, van den P.J.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Sanden, van de M.C.M.

    2004-01-01

    The development of a novel plasma technique for high rate (> 1 nm/s) silicon nitride deposition for multifunctional antireflection coatings on crystalline silicon solar cells is described. The research has involved the analysis of the structural and optical properties of the silicon nitride films as

  3. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  4. Structural and spectroscopic analysis of hot filament decomposed ethylene deposited at low temperature on silicon surface

    International Nuclear Information System (INIS)

    Tung, F.-K.; Perevedentseva, E.; Chou, P.-W.; Cheng, C.-L.

    2005-01-01

    The deposition of decomposed ethylene on silicon wafer at lower temperature using hot filament chemical vapor deposition (HFCVD) method was applied to compose thin film of carbon and its compounds with silicon and hydrocarbon structures. The films were analyzed using Raman spectroscopy, X-ray diffraction, and scanning electron microscopy with elemental microanalysis by energy dispersive X-ray spectrometer. The structure and morphology of the early stage of the film deposition was analyzed. The obtaining of SiC as well as diamond-like structure with this method and catalytic influence of chemical admixtures on the film structure and properties are discussed

  5. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  6. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  7. Effect of deposition distance on thickness and microstructure of silicon thin film produced by electron beam evaporation; Efeito da distancia de deposicao na espessura e microestrutura de filme fino obtido por evaporacao por feixe de eletrons

    Energy Technology Data Exchange (ETDEWEB)

    Toledo, T.F.; Ramanery, F.P.; Branco, J.R.T. [Fundacao Centro Tecnologico de Minas Gerais, Belo Horizonte, MG (Brazil)], e-mail: thalitaqui@yahoo.com.br; Cunha, M.A. [Acos Especiais Itabira S.A. (Acesita), Belo Horizonte, MG (Brazil)

    2006-07-01

    The interest for materials with new characteristics and properties made thin films an area of highest research interest. Silicon thin films have been widely used in solar cells, being the main active layer. In this work, the effect of deposition distance on thickness and microstructure of silicon films was investigated. The electron beam evaporation technique with argon plasma assistance was used to obtain films on stainless steel 304, Fe-Si alloy and soda lime glass. The experiments were made varying electron beam current and deposition pressure. The results are discussed based on Hertz-Knudsen's law and thin films microstructure evolution models. The samples were characterized by scanning electron microscopy, atomic force microscopy, X-ray diffraction and profilometer. (author)

  8. Quantitative Auger depth profiling of LPCVD and PECVD silicon nitride films

    International Nuclear Information System (INIS)

    Keim, E.G.; Aite, K.

    1989-01-01

    Thin silicon nitride films (100-210 nm) with refractive indices varying from 1.90 to 2.10 were deposited on silicon substrates by low pressure chemical vapour deposition (LPCVD) and plasma enhanced chemical vapour deposition (PECVD). Rutherford backscattering spectrometry (RBS), ellipsometry, surface profiling measurements and Auger electron spectroscopy (AES) in combination with Ar + sputtering were used to characterize these films. We have found that the use of (p-p)heights of the Si LVV and N KLL Auger transitions in the first derivative of the energy distribution (dN(E)/dE) leads to an accurate determination of the silicon nitride composition in Auger depth profiles over a wide range of atomic Si/N ratios. Moreover, we have shown that the Si KLL Auger transition, generally considered to be a better probe than the low energy Si LVV Auger transition in determining the chemical composition of silicon nitride layers, leads to deviating results. (orig.)

  9. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  10. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  11. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  12. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  13. Laser deposition of resonant silicon nanoparticles on perovskite for photoluminescence enhancement

    Science.gov (United States)

    Tiguntseva, E. Y.; Zalogina, A. S.; Milichko, V. A.; Zuev, D. A.; Omelyanovich, M. M.; Ishteev, A.; Cerdan Pasaran, A.; Haroldson, R.; Makarov, S. V.; Zakhidov, A. A.

    2017-11-01

    Hybrid lead halide perovskite based optoelectronics is a promising area of modern technologies yielding excellent characteristics of light emitting diodes and lasers as well as high efficiencies of photovoltaic devices. However, the efficiency of perovskite based devices hold a potential of further improvement. Here we demonstrate high photoluminescence efficiency of perovskites thin films via deposition of resonant silicon nanoparticles on their surface. The deposited nanoparticles have a number of advances over their plasmonic counterparts, which were applied in previous studies. We show experimentally the increase of photoluminescence of perovskite film with the silicon nanoparticles by 150 % as compared to the film without the nanoparticles. The results are supported by numerical calculations. Our results pave the way to high throughput implementation of low loss resonant nanoparticles in order to create highly effective perovskite based optoelectronic devices.

  14. Crystalline silicon films grown by pulsed dc magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, Peter; Fenske, Frank; Fuhs, Walther; Selle, Burkhardt [Hahn-Meitner-Institut Berlin, Abt. Silizium-Photovoltaik, Kekulestr. 5, D-12489 Berlin (Germany)

    2002-04-01

    Pulsed dc magnetron sputtering is used as a novel method for the deposition of crystalline silicon films on glass substrates. Hydrogen-free polycrystalline Si-films are deposited with high deposition rates at temperatures of 400-450 C and pulse frequencies f in the range 0-250 kHz. Strong preferential (100) orientation of the crystallites is observed with increasing f. High frequency and similarly high negative substrate bias cause an increase of the Ar content and an enhancement of structural disorder. Measurements of the transient floating potential suggest that the observed structural effects are related to bombardment of the growing film by Ar{sup +} ions of high energy.

  15. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  16. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  17. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  18. Application of CBD-Zinc Sulfide Film as an Antireflection Coating on Very Large Area Multicrystalline Silicon Solar Cell

    Directory of Open Access Journals (Sweden)

    U. Gangopadhyay

    2007-01-01

    Full Text Available The low-cost chemical bath deposition (CBD technique is used to prepare CBD-ZnS films as antireflective (AR coating for multicrystalline silicon solar cells. The uniformity of CBD-ZnS film on large area of textured multicrystalline silicon surface is the major challenge of CBD technique. In the present work, attempts have been made for the first time to improve the rate of deposition and uniformity of deposited film by controlling film stoichiometry and refractive index and also to minimize reflection loss by proper optimization of molar percentage of different chemical constituents and deposition conditions. Reasonable values of film deposition rate (12.13 Å′/min., good film uniformity (standard deviation <1, and refractive index (2.35 along with a low percentage of average reflection (6-7% on a textured mc-Si surface are achieved with proper optimization of ZnS bath. 12.24% efficiency on large area (125 mm × 125 mm multicrystalline silicon solar cells with CBD-ZnS antireflection coating has been successfully fabricated. The viability of low-cost CBD-ZnS antireflection coating on large area multicrystalline silicon solar cell in the industrial production level is emphasized.

  19. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  20. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  1. Structures of sub-monolayered silicon carbide films

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    The electronic and geometrical structures of silicon carbide thin films are presented. The films were deposited on graphite by ion-beam deposition using tetramethylsilane (TMS) as an ion source. In the Si K-edge near-edge X-ray absorption fine structure (NEXAFS) spectra for sub-monolayered film, sharp peaks due to the resonance from Si 1s to π*-like orbitals were observed, suggesting the existence of Si=C double bonds. On the basis of the polarization dependencies of the Si 1s → π* peak intensities, it is elucidated that the direction of the π*-like orbitals is just perpendicular to the surface. We conclude that the sub-monolayered SiC x film has a flat-lying hexagonal structure of which configuration is analogous to the single sheet of graphite

  2. Application of CBD-Zinc Sulfide Film as an Antireflection Coating on Very Large Area Multicrystalline Silicon Solar Cell

    OpenAIRE

    U. Gangopadhyay; K. Kim; S. K. Dhungel; H. Saha; J. Yi

    2007-01-01

    The low-cost chemical bath deposition (CBD) technique is used to prepare CBD-ZnS films as antireflective (AR) coating for multicrystalline silicon solar cells. The uniformity of CBD-ZnS film on large area of textured multicrystalline silicon surface is the major challenge of CBD technique. In the present work, attempts have been made for the first time to improve the rate of deposition and uniformity of deposited film by controlling film stoichiometry and refractive index and also to minimize...

  3. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  4. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  5. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. Effect of PECVD deposition parameters on structural and optoelectronics properties of hydrogenated polymorphous silicon thin films deposited by dichlorosilane for implementation in solar cells

    International Nuclear Information System (INIS)

    Álvarez-Macías, C.; Hernández González, Oscar Daniel; Barrera Calva, Enrique; Gómez González, L.; Santana, G.

    2015-01-01

    Hydrogenated polymorphous silicon (pm-Si: H) thin films were deposited at room temperature by plasma enhanced chemical vapor deposition (PECVD) using SiH2Cl2 as precursor gas. We examine the effect of deposition pressure (250 y 500 mTorr) and H2 dilution (flow rates 25, 50, 75 y 100 sccm) on the structural and optoelectronics properties. The nano-structural properties was confirmed by Raman spectroscopy studies in terms of the changes in crystallite sizes and their volume fractions. On the other hand, by FTIR analysis we notice bond configurations associated to photostability of the nanostructures, which was confirmed by Light soaking experiments during 250h. We found a tunable band gap and important behaviors on the electronic transport properties measurements for samples with high and low incorporation of oxygen whose compositions were determined by XPS measurements. Understanding structural and chemical properties of pm- Si: H thin films is key towards optimizing their electrical and optical properties for applications in solar cells. (full text)

  8. Polymorphous silicon thin films produced in dusty plasmas: application to solar cells

    International Nuclear Information System (INIS)

    Roca i Cabarrocas, Pere; Chaabane, N; Kharchenko, A V; Tchakarov, S

    2004-01-01

    We summarize our current understanding of the optimization of PIN solar cells produced by plasma enhanced chemical vapour deposition from silane-hydrogen mixtures. To increase the deposition rate, the discharge is operated under plasma conditions close to powder formation, where silicon nanocrystals contribute to the deposition of so-called polymorphous silicon thin films. We show that the increase in deposition rate can be achieved via an accurate control of the plasma parameters. However, this also results in a highly defective interface in the solar cells due to the bombardment of the P-layer by positively charged nanocrystals during the deposition of the I-layer. We show that decreasing the ion energy by increasing the total pressure or by using silane-helium mixtures allows us to increase both the deposition rate and the solar cells efficiency, as required for cost effective thin film photovoltaics

  9. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  10. Low cost thin film poly-silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2005-07-01

    This report presents the results of a project to design and develop a high density plasma based thin-film poly-silicon (TFPS) deposition system based on PQL proprietary advanced plasma technology to produce semiconductor quality TFPS for fabricating a TFPS solar cell. Details are given of the TFPS deposition system, the material development programme, solar cell structure, and cell efficiencies. The reproducibility of the deposition process and prospects for commercial exploitation are discussed.

  11. Low-temperature synthesis of homogeneous nanocrystalline cubic silicon carbide films

    International Nuclear Information System (INIS)

    Cheng Qijin; Xu, S.

    2007-01-01

    Silicon carbide films are fabricated by inductively coupled plasma chemical vapor deposition from feedstock gases silane and methane heavily diluted with hydrogen at a low substrate temperature of 300 deg. C. Fourier transform infrared absorption spectroscopy, Raman spectroscopy, x-ray photoelectron spectroscopy, and high-resolution transmission electron microscopy analyses show that homogeneous nanocrystalline cubic silicon carbide (3C-SiC) films can be synthesized at an appropriate silane fraction X[100%xsilane flow(SCCM)/silane+methane flow(SCCM)] in the gas mixture. The achievement of homogeneous nanocrystalline 3C-SiC films at a low substrate temperature of 300 deg. C is a synergy of a low deposition pressure (22 mTorr), high inductive rf power (2000 W), heavy dilution of feedstock gases silane and methane with hydrogen, and appropriate silane fractions X (X≤33%) in the gas mixture employed in our experiments

  12. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  13. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  14. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Torres, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Ambrosio, R. [Universidad Autonoma de Ciudad Juarez, Electrical Deparment, Chihuahua (Mexico); Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico)

    2011-10-25

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH{sub 4} and H{sub 2}) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity ({sigma}(T)), activation energy (E{sub a}), optical band gap (E{sub g}) and deposition rate (V{sub d}) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E{sub a} and room temperature conductivity, {sigma}{sub RT}, which are key parameters for thermal detection applications.

  15. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    International Nuclear Information System (INIS)

    Moreno, M.; Torres, A.; Ambrosio, R.; Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A.

    2011-01-01

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH 4 and H 2 ) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity (σ(T)), activation energy (E a ), optical band gap (E g ) and deposition rate (V d ) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E a and room temperature conductivity, σ RT , which are key parameters for thermal detection applications.

  16. Research and development of photovoltaic power system. Development of novel technologies for fabrication of high quality silicon thin films for solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Kohinshitsu silicon usumaku sakusei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering

    1994-12-01

    Described herein are the results of the FY1994 research program for development of novel technologies for fabrication of high quality thin films of silicon for solar cells. The study on the mechanisms and effects of chemical annealing reveals that the film structure greatly varies depending on substrate temperature during the hydrotreatment process, based on the tests with substrate temperature, deposition of superthin film (T1) and hydrotreatment (T2) as the variable parameters. Chemical annealing at low temperature produces a high-quality a-Si:H film of low defect content. The study on fabrication of thin polycrystalline silicon films at low temperature observes on real time the process of deposition of the thin films on polycrystalline silicon substrates, where a natural oxide film is removed beforehand from the substrate. The results indicate that a thin polycrystalline silicon film of 100% crystallinity can be formed even on a polycrystalline silicon substrate by controlling starting gas composition and substrate temperature. The layer-by-layer method is used as the means for forming the seed crystals on a glass substrate, where deposition and hydrotreatment are repeated alternately, to produce the thin crystalline silicon films of high crystallinity. 3 figs.

  17. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  18. Monolithically interconnected Silicon-Film{trademark} module technology: Annual technical report, 25 November 1997--24 November 1998

    Energy Technology Data Exchange (ETDEWEB)

    Hall, R.B.; Ford, D.H.; Rand, J.A.; Ingram, A.E.

    1999-11-11

    AstroPower continued its development of an advanced thin-silicon-based photovoltaic module product. This module combines the performance advantages of thin, light-trapped silicon layers with the capability of integration into a low-cost, monolithically interconnected array. This report summarizes the work carried out over the first year of a three-year, cost-shared contract, which has yielded the following results: Development of a low-cost, insulating, ceramic substrate that provides mechanical support at silicon growth temperatures, is matched to the thermal expansion of silicon, provides the optical properties required for light trapping through random texturing, and can be formed in large areas on a continuous basis. Different deposition techniques have been investigated, and AstroPower has developed deposition processes for the back conductive layer, the p-type silicon layer, and the mechanical/chemical barrier layer. Polycrystalline films of silicon have been grown on ceramics using AstroPower's Silicon-Film{trademark} process. These films are from 50 to 75 {micro}m thick, with columnar grains extending through the thickness of the film. Aspect ratios from 5:1 to 20:1 have been observed in these films.

  19. In and Ga Codoped ZnO Film as a Front Electrode for Thin Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Duy Phong Pham

    2014-01-01

    Full Text Available Doped ZnO thin films have attracted much attention in the research community as front-contact transparent conducting electrodes in thin film silicon solar cells. The prerequisite in both low resistivity and high transmittance in visible and near-infrared region for hydrogenated microcrystalline or amorphous/microcrystalline tandem thin film silicon solar cells has promoted further improvements of this material. In this work, we propose the combination of major Ga and minor In impurities codoped in ZnO film (IGZO to improve the film optoelectronic properties. A wide range of Ga and In contents in sputtering targets was explored to find optimum optical and electrical properties of deposited films. The results show that an appropriate combination of In and Ga atoms in ZnO material, followed by in-air thermal annealing process, can enhance the crystallization, conductivity, and transmittance of IGZO thin films, which can be well used as front-contact electrodes in thin film silicon solar cells.

  20. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  1. Experimental analysis of silicon oxycarbide thin films and waveguides

    Science.gov (United States)

    Memon, Faisal Ahmed; Morichetti, Francesco; Somaschini, Claudio; Iseni, Giosue; Melloni, Andrea

    2017-05-01

    Silicon oxycarbide (SiOC) thin films are produced with reactive rf magnetron sputtering of a silicon carbide (SiC) target on Si (100) and SiO2/Si substrates under varying deposition conditions. The optical properties of the deposited SiOC thin films are characterized with spectroscopic ellispometry at multiple angles of incidence over a wavelength range 300- 1600 nm. The derived optical constants of the SiOC films are modeled with Tauc-Lorentz model. The refractive index n of the SiOC films range from 1.45 to 1.85 @ 1550 nm and the extinction coefficient k is estimated to be less than 10-4 in the near-infrared region above 1000 nm. The topography of SiOC films is studied with SEM and AFM giving rms roughness of 0.9 nm. Channel waveguides with a SiOC core with a refractive index of 1.7 have been fabricated to demonstrate the potential of sputtered SiOC for integrated photonics applications. Propagation loss as low as 0.39 +/- 0.05 dB/mm for TE and 0.41 +/- 0.05 dB/mm for TM polarizations at telecommunication wavelength 1550 nm is demonstrated.

  2. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  3. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  4. Enhanced photoluminescence from ring resonators in hydrogenated amorphous silicon thin films at telecommunications wavelengths.

    Science.gov (United States)

    Patton, Ryan J; Wood, Michael G; Reano, Ronald M

    2017-11-01

    We report enhanced photoluminescence in the telecommunications wavelength range in ring resonators patterned in hydrogenated amorphous silicon thin films deposited via low-temperature plasma enhanced chemical vapor deposition. The thin films exhibit broadband photoluminescence that is enhanced by up to 5 dB by the resonant modes of the ring resonators due to the Purcell effect. Ellipsometry measurements of the thin films show a refractive index comparable to crystalline silicon and an extinction coefficient on the order of 0.001 from 1300 nm to 1600 nm wavelengths. The results are promising for chip-scale integrated optical light sources.

  5. Effects of plasma-deposited silicon nitride passivation on the radiation hardness of CMOS integrated circuits

    International Nuclear Information System (INIS)

    Clement, J.J.

    1980-01-01

    The use of plasma-deposited silicon nitride as a final passivation over metal-gate CMOS integrated circuits degrades the radiation hardness of these devices. The hardness degradation is manifested by increased radiation-induced threshold voltage shifts caused principally by the charging of new interface states and, to a lesser extent, by the trapping of holes created upon exposure to ionizing radiation. The threshold voltage shifts are a strong function of the deposition temperature, and show very little dependence on thickness for films deposited at 300 0 C. There is some correlation between the threshold voltage shifts and the hydrogen content of the PECVD silicon nitride films used as the final passivation layer as a function of deposition temperature. The mechanism by which the hydrogen contained in these films may react with the Si/SiO 2 interface is not clear at this point

  6. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  7. Optical characterisation of sputtered hydrogenated amorphous silicon thin films

    International Nuclear Information System (INIS)

    Mellassi, K.; Chafik El Idrissi, M.; Chouiyakh, A.; Rjeb, A.; Barhdadi, A.

    2000-09-01

    The present work is devoted to the study of some optical properties of hydrogenated amorphous silicon (a-Si:H) thin films prepared by radio-frequency cathodic sputtering technique. It is essentially focused on investigating separately the effects of increasing partial hydrogen pressure during the deposition stage, and the effects of post deposition thermal annealing on the main optical parameters of the deposited layers (refraction index, optical gap Urbach energy, etc.). We show that low hydrogen pressures allow a saturation of the dangling bonds in the material, while high pressures lead to the creation of new defects. We also show that thermal annealing under moderate temperatures allows a good improvement of the structural quality of deposited films. (author)

  8. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  9. New results in pulsed laser deposition of poly-methyl-methacrylate thin films

    International Nuclear Information System (INIS)

    Cristescu, R.; Socol, G.; Mihailescu, I.N.; Popescu, M.; Sava, F.; Ion, E.; Morosanu, C.O.; Stamatin, I.

    2003-01-01

    Thin organic films based on poly-methyl-methacrylate (PMMA) polymer have been obtained by pulsed laser deposition (PLD) on silicon substrates. The films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy (RS). We observed that the film composition and structure depend on the laser fluence and on the temperature of the substrate during deposition

  10. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  11. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  12. Post-growth annealing of zinc oxide thin films pulsed laser deposited under enhanced oxygen pressure on quartz and silicon substrates

    International Nuclear Information System (INIS)

    Rusop, M.; Uma, K.; Soga, T.; Jimbo, T.

    2006-01-01

    Zinc oxide (ZnO) thin films have been prepared by pulsed laser deposition (PLD) technique at room temperature on quartz and single crystal silicon (1 0 0) substrates. The oxygen ambient gas pressure was attained at 6 Torr during the deposition. The deposited films were post-growth annealed in air at various annealing temperatures for 30 min. The X-ray diffraction (XRD), optical and electrical properties have been measured to study the properties of the films as a function of annealing temperatures. XRD has shown the strength of (0 0 2) peak increases and FWHM value decreases as the annealing temperatures increases from 200 to 600 deg. C. The post-growth annealed at 600 deg. C show dominant c-axis oriented hexagonal wurtize crystal structure and exhibit high average transmittance about 85% in the visible region and very sharp absorption edge at 376 nm with energy band gap of approximately 3.46 eV. Electrical measurement indicates the resistivity decreases with the annealing temperatures up to 600 deg. C, after which it increases with higher annealing temperatures at 800 deg. C. The complex of oxygen vacancy in the ZnO films may be the source of low conductivity in undoped ZnO films

  13. Amorphous Silicon-Germanium Films with Embedded Nano crystals for Thermal Detectors with Very High Sensitivity

    International Nuclear Information System (INIS)

    Calleja, C.; Torres, A.; Rosales-Quintero, P.; Moreno, M.

    2016-01-01

    We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nano crystals in a plasma enhanced chemical vapor deposition (PECVD) reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR), which is a signature of the sensitivity in thermal detectors (micro bolometers). Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9%K -1 ). Our results show that amorphous silicon-germanium films with embedded nano crystals can be used as thermo sensitive films in high performance infrared focal plane arrays (IRFPAs) used in commercial thermal cameras.

  14. Microscopic silicon-based lateral high-aspect-ratio structures for thin film conformality analysis

    International Nuclear Information System (INIS)

    Gao, Feng; Arpiainen, Sanna; Puurunen, Riikka L.

    2015-01-01

    Film conformality is one of the major drivers for the interest in atomic layer deposition (ALD) processes. This work presents new silicon-based microscopic lateral high-aspect-ratio (LHAR) test structures for the analysis of the conformality of thin films deposited by ALD and by other chemical vapor deposition means. The microscopic LHAR structures consist of a lateral cavity inside silicon with a roof supported by pillars. The cavity length (e.g., 20–5000 μm) and cavity height (e.g., 200–1000 nm) can be varied, giving aspect ratios of, e.g., 20:1 to 25 000:1. Film conformality can be analyzed with the microscopic LHAR by several means, as demonstrated for the ALD Al 2 O 3 and TiO 2 processes from Me 3 Al/H 2 O and TiCl 4 /H 2 O. The microscopic LHAR test structures introduced in this work expose a new parameter space for thin film conformality investigations expected to prove useful in the development, tuning and modeling of ALD and other chemical vapor deposition processes

  15. Electrochemical deposition of Prussian blue on hydrogen terminated silicon(111)

    International Nuclear Information System (INIS)

    Zhao Jianwei; Zhang Yan; Shi Chuanguo; Chen, Hongyuan; Tong Lianming; Zhu Tao; Liu Zhongfan

    2006-01-01

    Electrochemical deposition of Prussian blue (PB) was performed by cyclic voltammetry on hydrogen terminated n-type Si(111) surface. The characterization of the samples based on atomic force microscopy and X-ray diffraction spectroscopy showed a nanocrystal form of the PB films on the silicon surface. The thickness of PB films as a function of the potential cycling number was monitored simultaneously by Raman spectroscopy, proving that the growth of the films is in a good controllable manner

  16. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  17. Wet-Chemical Surface Texturing of Sputter-Deposited ZnO:Al Films as Front Electrode for Thin-Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Xia Yan

    2015-01-01

    Full Text Available Transparent conductive oxides (TCOs play a major role as the front electrodes of thin-film silicon (Si solar cells, as they can provide optical scattering and hence improved photon absorption inside the devices. In this paper we report on the surface texturing of aluminium-doped zinc oxide (ZnO:Al or AZO films for improved light trapping in thin-film Si solar cells. The AZO films are deposited onto soda-lime glass sheets via pulsed DC magnetron sputtering. Several promising AZO texturing methods are investigated using diluted hydrochloric (HCl and hydrofluoric acid (HF, through a two-step etching process. The developed texturing procedure combines the advantages of the HCl-induced craters and the smaller and jagged—but laterally more uniform—features created by HF etching. In the two-step process, the second etching step further enhances the optical haze, while simultaneously improving the uniformity of the texture features created by the HCl etch. The resulting AZO films show large haze values of above 40%, good scattering into large angles, and a surface angle distribution that is centred at around 30°, which is known from the literature to provide efficient light trapping for thin-film Si solar cells.

  18. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  19. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  20. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  1. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  2. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  3. Direct deposition of gold on silicon with focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Irradiation with ions at very low energies (below 500 eV) no longer induces a removal of substrate material, but the ions are directly deposited on the surface. In this way, gold has been deposited on silicon with focused ion beam exposure and the properties of the film have been investigated with atomic force microscopy and Auger electron spectroscopy. (author) 3 figs., 1 ref.

  4. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  5. Lanthanide-Assisted Deposition of Strongly Electro-optic PZT Thin Films on Silicon: Toward Integrated Active Nanophotonic Devices.

    Science.gov (United States)

    George, J P; Smet, P F; Botterman, J; Bliznuk, V; Woestenborghs, W; Van Thourhout, D; Neyts, K; Beeckman, J

    2015-06-24

    The electro-optical properties of lead zirconate titanate (PZT) thin films depend strongly on the quality and crystallographic orientation of the thin films. We demonstrate a novel method to grow highly textured PZT thin films on silicon using the chemical solution deposition (CSD) process. We report the use of ultrathin (5-15 nm) lanthanide (La, Pr, Nd, Sm) based intermediate layers for obtaining preferentially (100) oriented PZT thin films. X-ray diffraction measurements indicate preferentially oriented intermediate Ln2O2CO3 layers providing an excellent lattice match with the PZT thin films grown on top. The XRD and scanning electron microscopy measurements reveal that the annealed layers are dense, uniform, crack-free and highly oriented (>99.8%) without apparent defects or secondary phases. The EDX and HRTEM characterization confirm that the template layers act as an efficient diffusion barrier and form a sharp interface between the substrate and the PZT. The electrical measurements indicate a dielectric constant of ∼650, low dielectric loss of ∼0.02, coercive field of 70 kV/cm, remnant polarization of 25 μC/cm(2), and large breakdown electric field of 1000 kV/cm. Finally, the effective electro-optic coefficients of the films are estimated with a spectroscopic ellipsometer measurement, considering the electric field induced variations in the phase reflectance ratio. The electro-optic measurements reveal excellent linear effective pockels coefficients of 110 to 240 pm/V, which makes the CSD deposited PZT thin film an ideal candidate for Si-based active integrated nanophotonic devices.

  6. Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films

    Science.gov (United States)

    Wienkes, Lee Raymond

    Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.

  7. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  8. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  9. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  10. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  11. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    Science.gov (United States)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  12. NO2 sensing properties of amorphous silicon films

    International Nuclear Information System (INIS)

    Georgieva, V; Gadjanova, V; Donkov, N; Stefanov, P; Sendova-Vassileva, M; Grechnikov, A

    2012-01-01

    The sensitivity to NO 2 was studied of amorphous silicon thin films obtained by e-beam evaporation. The process was carried out at an operational-mode vacuum of 1.5x10 -5 Torr at a deposition rate of 170 nm/min. The layer's structure was analyzed by Raman spectroscopy, while its composition was determined by X-ray photoemission spectroscopy (XPS). To estimate their sensitivity to NO 2 , the Si films were deposited on a 16-MHz quartz crystal microbalance (QCM) and the correlation was used between the QCM frequency variation and the mass-loading after exposure to NO 2 in concentrations from 10 ppm to 5000 ppm. A considerable sensitivity of the films was found in the interval 1000 ppm-2500 ppm NO 2 , leading to frequency shifts from 131 Hz to 208 Hz. The results obtained on the films' sorption properties can be applied to the development sensor elements.

  13. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  14. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan [Macronix International Co. Ltd, No 16, Li-Hsin Road, Hsinchu Science Park, Hsinchu 300, Taiwan (China); Lo, Yun-Shan; Wu, Tai-Bor, E-mail: jhliao@mxic.com.t [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China)

    2009-09-07

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  15. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    International Nuclear Information System (INIS)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan; Lo, Yun-Shan; Wu, Tai-Bor

    2009-01-01

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  16. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  17. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  18. Structural, Electrical and Optical Properties of TiO2 Thin Film Deposited on the Nano Porous Silicon Template

    Science.gov (United States)

    Bahar, Mahmood; Dermani, Ensieh Khalili

    The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.

  19. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  20. Electronic properties of intrinsic and doped amorphous silicon carbide films

    International Nuclear Information System (INIS)

    Vetter, M.; Voz, C.; Ferre, R.; Martin, I.; Orpella, A.; Puigdollers, J.; Andreu, J.; Alcubilla, R.

    2006-01-01

    Hydrogenated amorphous silicon carbide (a-SiC x : H) films have shown excellent surface passivation of crystalline silicon. With the aim of large area deposition of these films the influence of the rf plasma power was investigated. It is found that homogenous deposition with effective surface recombination velocity lower than 100 cms -1 is possible up to 6'' diameter in a simple parallel plate reactor by optimizing deposition parameters. For application in solar cell processes the conductivity of these a-SiC x : H films might become of importance since good surface passivation results from field-effect passivation which needs an insulating dielectric layer. Therefore, the temperature dependence of the dark dc conductivity of these films was investigated in the temperature range from - 20 to 260 deg. C. Two transition temperatures, T s ∼80 deg. C and T s ∼170 deg. C, were found where conductivity increases, resp. decreases over-exponential. From Arrhenius plots activation energy (E a ) and conductivity pre-factor (σ 0 ) were calculated for a large number of samples with different composition. A correlation between E a and σ 0 was found giving a Meyer-Neldel relation with a slope of 59 mV, corresponding to a material characteristic temperature T m = 400 deg. C, and an intercept at σ 00 = 0.1 Ω -1 cm -1

  1. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  2. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  3. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  4. Residual stress and Young's modulus of pulsed laser deposited PZT thin films: Effect of thin film composition and crystal direction of Si cantilevers

    NARCIS (Netherlands)

    Nazeer, H.; Nguyen, Duc Minh; Rijnders, Augustinus J.H.M.; Abelmann, Leon; Sardan Sukas, Ö.

    2016-01-01

    We investigated the residual stress and Young's modulus of Pb(ZrxTi1 - x)O3 (PZT) thin films with a (110) preferred orientation and a composition x ranging from 0.2 to 0.8. The films are grown by pulsed laser deposition on silicon cantilevers aligned along the <110> and <100> silicon crystal

  5. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  6. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  7. Quantitative characterization of silicon- and aluminium oxynitride films produced by reactive dc-magnetron sputtering

    International Nuclear Information System (INIS)

    Dreer, S.

    2000-05-01

    The deposition of aluminum and silicon oxynitride films by reactive dc-magnetron sputtering was systematically planned by design of experiments, carried out and evaluated with the application of specialized statistics software. The influence of the deposition parameters on the resulting films was evaluated by multiple regression analysis. With the obtained data a model of the deposition process for the quantitative prediction of the deposition parameters necessary to obtain films with desired composition was built. This is also of technological importance, since the physical properties of the films strongly depend on their composition. Furthermore, the long term repeatability of the deposition process was implemented into the model. A precise and economic way for quantitative bulk analysis of silicon/aluminum, oxygen and nitrogen based on EPMA was presented and the use of data gained by the latter method is discussed for the calculation of relative sensitivity factors for SIMS and hf-SNMS. Advantages and disadvantages of SIMS, hf-SNMS, hf-GD-OES, and sputter AES were compared. The combination FT-IR/EPMA/SIMS at present offers the best possibility for a quantitative bulk and in depth distribution analysis of such films in the range of 20 to 1000 nm thickness. The films were also characterized by XRD and PAA. The refractive index and the growth rate of the films were determined by spectroscopic ellipsometry. With indentation by a nano hardness tester the hardness and the Young's modulus of the films were obtained. The results of these measurements were evaluated by statistical software. The dependencies of the physical properties on the deposition parameters and on the film thickness were evaluated and quantified. Furthermore, the dependencies of the physical properties on the film composition represented by the oxygen content were evaluated. (author)

  8. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  9. Piezoresistive pressure sensor using low-temperature aluminium induced crystallization of sputter-deposited amorphous silicon film

    International Nuclear Information System (INIS)

    Tiwari, Ruchi; Chandra, Sudhir

    2013-01-01

    In the present work, we have investigated the piezoresistive properties of silicon films prepared by the radio frequency magnetron sputtering technique, followed by the aluminium induced crystallization (AIC) process. Orientation and grain size of the polysilicon films were studied by x-ray diffraction analysis and found to be in the range 30–50 nm. Annealing of the Al–Si stack on an oxidized silicon substrate was performed in air ambient at 300–550 °C, resulting in layer exchange and transformation from amorphous to polysilicon phase. Van der Pauw and Hall measurement techniques were used to investigate the sheet resistance and carrier mobility of the resulting polycrystalline silicon film. The effect of Al thickness on the sheet resistance and mobility was also studied in the present work. A piezoresistive pressure sensor was fabricated on an oxidized silicon substrate in a Wheatstone bridge configuration, comprising of four piezoresistors made of polysilicon film obtained by the AIC process. The diaphragm was formed by the bulk-micromachining of silicon substrate. The response of the pressure sensor with applied negative pressure in 10–95 kPa range was studied. The gauge factor was estimated to be 5 and 18 for differently located piezoresistors on the diaphragm. The sensitivity of the pressure sensor was measured to be ∼ 30 mV MPa −1 , when the Wheatstone bridge was biased at 1 V input voltage. (paper)

  10. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Pulsed Laser deposition of Al2O3 thin film on silicon

    International Nuclear Information System (INIS)

    Lamagna, A.; Duhalde, S.; Correra, L.; Nicoletti, S.

    1998-01-01

    Al 2 O 3 thin films were fabricated by pulsed laser deposition (PLD) on Si 3 N 4 /Si, to improve the thermal and electrical isolation of gas sensing devices. The microstructure of the films is analysed as a function of the deposition conditions (laser fluence, oxygen pressure, target-substrate distance and substrate temperature). X-ray analysis shows that only a sharp peak that coincides with the corundum (116) reflection can be observed in all the films. But, when they are annealed at temperatures above 1,200 degree centigrade, a change in the crystalline structure of some films occurs. The stoichiometry and morphology of the films with and without thermal treatment are compared using environmental scanning electron microscopy (SEM) and EDAX analysis. (Author) 14 refs

  12. Materials and Light Management for High-Efficiency Thin-Film Silicon Solar Cells

    OpenAIRE

    Tan, H.

    2015-01-01

    Direct conversion of sunlight into electricity is one of the most promising approaches to provide sufficient renewable energy for humankind. Solar cells are such devices which can efficiently generate electricity from sunlight through the photovoltaic effect. Thin-film silicon solar cells, a type of photovoltaic (PV) devices which deploy the chemical-vapor-deposited hydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H) and their alloys as the absorber layers and doped ...

  13. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates

    NARCIS (Netherlands)

    Bhamla, M.S.; Balemans, C.; Fuller, G.G.

    2015-01-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show

  14. Cobalt nanosheet arrays supported silicon film as anode materials for lithium ion batteries

    International Nuclear Information System (INIS)

    Huang, X.H.; Wu, J.B.; Cao, Y.Q.; Zhang, P.; Lin, Y.; Guo, R.Q.

    2016-01-01

    Cobalt nanosheet arrays supported silicon film is prepared and used as anode materials for lithium ion batteries. The film is fabricated using chemical bath deposition, hydrogen reduction and radio-frequency magnetron sputtering techniques. The microstructure and morphology are characterized by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS). In this composite film, the silicon layer is supported by interconnected aligned cobalt nanosheet arrays that act as the three-dimensional current collector and buffering network. The electrochemical performance as anode materials for lithium ion batteries is investigated by cyclic voltammetry (CV) and galvanostatic charge-discharge tests. The results show that the film prepared by sputtering for 1500 s exhibits high capacity, good rate capability and stable cycle ability. It is believed that the cobalt nanosheet arrays play important roles in the electrochemical performance of the silicon layer.

  15. Optical and electronic properties of HWCVD and PECVD silicon films irradiated using excimer and Nd:Yag lasers

    International Nuclear Information System (INIS)

    Shaikh, M.Z.; O'Neill, K.A.; Anthony, S.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Thin silicon film samples were deposited using HWCVD and PECVD techniques to study the influence of laser annealing on their optical and electronic properties. Samples were annealed in air using a XeCl excimer and Nd:Yag lasers. Excimer laser annealing (ELA) at 50 to 222 mJ/cm 2 increased conductivity in PECVD films by 2 to 3 orders of magnitude and in HWCVD films by 1 to 2 orders of magnitude. ELA was also seen to decrease the optical gap in PECVD films by 0.5 eV and HWCVD films by 0.15 eV. Silicon-oxygen bond content was higher in as-deposited HWCVD films than PECVD films. Hydrogen content (at.%) in PECVD films was higher than HWCVD for higher H dilution ratios. A Nd:Yag laser 3-beam interference pattern was used to produce a periodic array of crystals in both PECVD and HWCVD films

  16. Structural, Optical, and Vibrational Properties of ZnO Microrods Deposited on Silicon Substrate

    Science.gov (United States)

    Lahlouh, Bashar I.; Ikhmayies, Shadia J.; Juwhari, Hassan K.

    2018-03-01

    Zinc oxide (ZnO) microrod films deposited by spray pyrolysis on silicon substrate at 350 ± 5°C have been studied and evaluated, and compared with thin films deposited by electron beam to confirm the identity of the studied samples. The films were characterized using different techniques. The microrod structure was studied and confirmed by scanning electron microscopy. Fourier-transform infrared (FTIR) spectroscopy and x-ray diffraction analysis confirmed successful deposition of ZnO thin films with the expected wurtzite structure. Reflectance data showed a substantial drop across the whole studied wavelength range. The photoluminescence (PL) spectra of the studied samples showed a peak at ˜ 360 nm, representing a signature of ZnO. The shift in the PL peak position is due to defects and other species present in the films, as confirmed by FTIR and energy-dispersive x-ray spectroscopy results.

  17. Fabrication of polycrystalline silicon thin films on glass substrates using fiber laser crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Dao, Vinh Ai; Han, Kuymin; Heo, Jongkyu; Kyeong, Dohyeon; Kim, Jaehong; Lee, Youngseok; Kim, Yongkuk; Jung, Sungwook; Kim, Kyunghae [Information and Communication Device Laboratory, School of Information and Communication Engineering, Sungkyunkwan University (Korea, Republic of); Yi, Junsin, E-mail: yi@yurim.skku.ac.k [Information and Communication Device Laboratory, School of Information and Communication Engineering, Sungkyunkwan University (Korea, Republic of)

    2009-05-29

    Laser crystallization of amorphous silicon (a-Si), using a fiber laser of {lambda} = 1064 nm wavelength, was investigated. a-Si films with 50 nm thickness deposited on glass were prepared by a plasma enhanced chemical vapor deposition. The infrared fundamental wave ({lambda} = 1064 nm) is not absorbed by amorphous silicon (a-Si) films. Thus, different types of capping layers (a-CeO{sub x}, a-SiN{sub x}, and a-SiO{sub x}) with a desired refractive index, n and thickness, d were deposited on the a-Si surface. Crystallization was a function of laser energy density, and was performed using a fiber laser. The structural properties of the crystallized films were measured via Raman spectra, a scanning electron microscope (SEM), and an atomic force microscope (AFM). The relationship between film transmittance and crystallinity was discussed. As the laser energy density increased from 10-40 W, crystallinity increased from 0-90%. However, the higher laser density adversely affected surface roughness and uniformity of the grain size. We found that favorable crystallization and uniformity could be accomplished at the lower energy density of 30 W with a-SiO{sub x} as the capping layer.

  18. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  19. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  20. Laser deposition of SmCo thin film and coating on different substrates

    International Nuclear Information System (INIS)

    Allocca, L; Bonavolonta, C; Valentino, M; Giardini, A; Lopizzo, T; Morone, A; Verrastro, M F; Viggiano, V

    2008-01-01

    Thin films and coatings of permanent magnetic materials are very important for different electronic and micromechanical applications. This paper deals with the fabrication, using pulsed laser deposition (PLD) technique, of good quality magnetic SmCo thin films on polycarbonate, steel, silicon and amorphous quartz substrates, for low cost electronic applications like radio frequency identification (RFID) antennas and electromechanical devices for fuel feeding control in the automotive. X-ray fluorescence and magnetic scanning measurements using giant magneto-resistive (GMR) sensors have been performed to study the functional magnetic properties of the deposited thin films.

  1. Defects study of hydrogenated amorphous silicon samples and their relation with the substrate and deposition conditions

    International Nuclear Information System (INIS)

    Darwich, R.

    2009-07-01

    The goal of this work is to study the properties of the defects aiming to explore the types of defects and the effect of various deposition parameters such as substrate temperature, the kind of the substrate, gas pressure and deposition rate. Two kinds of samples have been used; The first one was a series of Schottky diodes, and the second one a series of solar cells (p-i-n junction) deposited on crystalline silicon or on corning glass substrates with different deposition parameters. The deposition parameters were chosen to obtain materials whose their structures varying from amorphous to microcrystalline silicon including polymorphous silicon. Our results show that the polymorphous silicon samples deposited at high deposition rates present the best photovoltaic properties in comparison with those deposited at low rates. Also we found that the defects concentration in high deposition rate samples is less at least by two orders than that obtained in low deposition rate polymorphous, microcrystalline and amorphous samples. This study shows also that there is no effect of the substrate, or the thin films of highly doped amorphous silicon deposited on the substrate, on the creation and properties of these defects. Finally, different experimental methods have been used; a comparison between their results has been presented. (author)

  2. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  3. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  4. Deposition of high Tc superconductor thin films by pulsed excimer laser ablation and their post-synthesis processing

    International Nuclear Information System (INIS)

    Ogale, S.B.

    1992-01-01

    This paper describes the use of pulsed excimer laser ablation technique for deposition of high quality superconductor thin films on different substrate materials such as Y stabilized ZrO 2 , SrTiO 3 , LiNbO 3 , Silicon and Stainless Steels, and dopant incorporation during the film depositions. Processing of deposited films using ion and laser beams for realisation of device features are presented. 28 refs., 16 figs

  5. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  6. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  7. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  8. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    Science.gov (United States)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  9. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W; Decker, Ulrich; Rauschenbach, Bernd

    2015-01-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ∼21% and 3–4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications. (paper)

  10. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  11. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  12. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  13. Room temperature photoluminescence spectrum modeling of hydrogenated amorphous silicon carbide thin films by a joint density of tail states approach and its application to plasma deposited hydrogenated amorphous silicon carbide thin films

    International Nuclear Information System (INIS)

    Sel, Kıvanç; Güneş, İbrahim

    2012-01-01

    Room temperature photoluminescence (PL) spectrum of hydrogenated amorphous silicon carbide (a-SiC x :H) thin films was modeled by a joint density of tail states approach. In the frame of these analyses, the density of tail states was defined in terms of empirical Gaussian functions for conduction and valance bands. The PL spectrum was represented in terms of an integral of joint density of states functions and Fermi distribution function. The analyses were performed for various values of energy band gap, Fermi energy and disorder parameter, which is a parameter that represents the width of the energy band tails. Finally, the model was applied to the measured room temperature PL spectra of a-SiC x :H thin films deposited by plasma enhanced chemical vapor deposition system, with various carbon contents, which were determined by X-ray photoelectron spectroscopy measurements. The energy band gap and disorder parameters of the conduction and valance band tails were determined and compared with the optical energies and Urbach energies, obtained by UV–Visible transmittance measurements. As a result of the analyses, it was observed that the proposed model sufficiently represents the room temperature PL spectra of a-SiC x :H thin films. - Highlights: ► Photoluminescence spectra (PL) of the films were modeled. ► In the model, joint density of tail states and Fermi distribution function are used. ► Various values of energy band gap, Fermi energy and disorder parameter are applied. ► The model was applied to the measured PL of the films. ► The proposed model represented the room temperature PL spectrum of the films.

  14. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  15. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Grimaldi, M.G.

    2013-06-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced.

  16. Formation of patterned arrays of Au nanoparticles on SiC surface by template confined dewetting of normal and oblique deposited nanoscale films

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M.G.

    2013-01-01

    We report on the formation of patterned arrays of Au nanoparticles (NPs) on 6H SiC surface. To this end, we exploit the thermal-induced dewetting properties of a template confined deposited nanoscale Au film. In this approach, the Au surface pattern order, on the SiC substrate, is established by a template confined deposition using a micrometric template. Then, a dewetting process of the patterned Au film is induced by thermal processes. We compare the results, about the patterns formation, obtained for normal and oblique deposited Au films. We show that the normal and oblique depositions, through the same template, originate different patterns of the Au film. As a consequence of these different starting patterns, after the thermal processes, different patterns for the arrays of NPs originating from the dewetting mechanisms are obtained. For each fixed deposition angle α, the pattern evolution is analyzed, by scanning electron microscopy, as a function of the annealing time at 1173 K (900 °C). From these analyses, quantitative evaluations on the NPs size evolution are drawn. - Highlights: • Micrometric template-confined nanoscale gold films are deposited on silicon carbide. • The dewetting process of template-confined gold films on silicon carbide is studied. • Comparison of dewetting process of normal and oblique deposited gold films is drawn. • Patterned arrays of gold nanoparticles on silicon carbide surface are produced

  17. Substrate temperature dependence of microcrystallinity in plasma-deposited, boron-doped hydrogenated silicon alloys

    International Nuclear Information System (INIS)

    Rajeswaran, G.; Kampas, F.J.; Vanier, P.E.; Sabatini, R.L.; Tafto, J.

    1983-01-01

    The glow-discharge decomposition of silane diluted in hydrogen using diborane as a dopant results in the deposition of p-type microcrystalline silicon films at relatively low temperatures. The conductivity of these films is critically dependent on the substrate temperature when the ratio of silane flow rate to total gas flow rate is 1%. Electron micrographs show that highly conducting films contain numerous clusters of 2.5-nm crystallites that are embedded in an amorphous medium

  18. Highly -oriented growth of polycrystalline silicon films on glass by pulsed magnetron sputtering

    International Nuclear Information System (INIS)

    Reinig, P.; Selle, B.; Fenske, F.; Fuhs, W.; Alex, V.; Birkholz, M.

    2002-01-01

    Nominally undoped polycrystalline silicon (poly-Si) thin films were deposited on glass at 450 deg. C at high deposition rate (>100 nm/min) by pulsed dc magnetron sputtering. The pulse frequency was found to have a significant influence on the preferred grain orientation. The x-ray diffraction pattern exhibits a strong enhancement of the (400) reflex with increasing pulse frequency. The quantitative evaluation reveals that over 90% of the grains are oriented. The observed change in preferred grain orientation in poly-Si films at low temperatures is associated with concurrent ion bombardment of the growing film

  19. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  20. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  1. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  2. Study of Nitrogen Effect on the Boron Diffusion during Heat Treatment in Polycrystalline Silicon/Nitrogen-Doped Silicon Thin Films

    Science.gov (United States)

    Saci, Lynda; Mahamdi, Ramdane; Mansour, Farida; Boucher, Jonathan; Collet, Maéva; Bedel Pereira, Eléna; Temple-Boyer, Pierre

    2011-05-01

    The present paper studies the boron (B) diffusion in nitrogen (N) doped amorphous silicon (a-Si) layer in original bi-layer B-doped polycrystalline silicon (poly-Si)/in-situ N-doped Si layers (NIDOS) thin films deposited by low pressure chemical vapor deposition (LPCVD) technique. The B diffusion in the NIDOS layer was investigated by secondary ion mass spectrometry (SIMS) and Fourier transform infrared spectroscopy (FTIR) analysis. A new extended diffusion model is proposed to fit the SIMS profile of the bi-layer films. This model introduces new terms which take into account the effect of N concentration on the complex diffusion phenomena of B atoms in bi-layer films. SIMS results show that B diffusion does not exceed one third of NIDOS layer thickness after annealing. The reduction of the B diffusion in the NIDOS layer is due to the formation of complex B-N as shown by infrared absorption measurements. Electrical measurements using four-probe and Hall effect techniques show the good conductivity of the B-doped poly-Si layer after annealing treatment.

  3. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  4. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  5. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  6. Solid phase crystallized polycrystalline thin-films on glass from evaporated silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Song Dengyuan; Inns, Daniel; Straub, Axel; Terry, Mason L.; Campbell, Patrick; Aberle, Armin G.

    2006-01-01

    Polycrystalline silicon (poly-Si) thin-films are made on planar and textured glass substrates by solid phase crystallization (SPC) of in situ doped amorphous silicon (a-Si) deposited by electron-beam evaporation. These materials are referred to by us as EVA materials (SPC of evaporated a-Si). The properties of EVA poly-Si films are characterised by Raman microscopy, transmission electron microscopy, and X-ray diffraction. A narrow and symmetrical Raman peak at a wave number of about 520 cm -1 is observed for all samples, showing that the films are fully crystallized. X-ray diffraction (XRD) reveals that the films are preferentially (111)-oriented. Furthermore, the full width at half maximum of the dominant (111) XRD peaks indicates that the structural quality of the films is affected by the a-Si deposition temperature and the surface morphology of the glass substrates. A-Si deposition at 200 instead of 400 deg. C leads to an enhanced poly-Si grain size. On textured glass, the addition of a SiN barrier layer between the glass and the Si improves the poly-Si material quality. No such effect occurs on planar glass. Mesa-type solar cells are made from these EVA films on planar and textured glass. A strong correlation between the cells' current-voltage characteristics and their crystalline material quality is observed

  7. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  8. MgB2 thin films on silicon nitride substrates prepared by an in situ method

    International Nuclear Information System (INIS)

    Monticone, Eugenio; Gandini, Claudio; Portesi, Chiara; Rajteri, Mauro; Bodoardo, Silvia; Penazzi, Nerino; Dellarocca, Valeria; Gonnelli, Renato S

    2004-01-01

    Large-area MgB 2 thin films were deposited on silicon nitride and sapphire substrates by co-deposition of Mg and B. After a post-annealing in Ar atmosphere at temperatures between 773 and 1173 K depending on the substrate, the films showed a critical temperature higher than 35 K with a transition width less than 0.5 K. The x-ray diffraction pattern suggested a c-axis preferential orientation in films deposited on amorphous substrate. The smooth surface and the good structural properties of these MgB 2 films allowed their reproducible patterning by a standard photolithographic process down to dimensions of the order of 10 μm and without a considerable degradation of the superconducting properties

  9. Deposition of dielectric films on silicon using a fore-vacuum plasma electron source

    Energy Technology Data Exchange (ETDEWEB)

    Zolotukhin, D. B.; Tyunkov, A. V.; Yushkov, Yu. G., E-mail: yuyushkov@gmail.com [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Oks, E. M. [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Institute of High Current Electronics SB RAS, 2/3, Akademichesky Ave., Tomsk 634055 (Russian Federation)

    2016-06-15

    We describe an experiment on the use of a fore-vacuum-pressure, plasma-cathode, electron beam source with current up to 100 mA and beam energy up to 15 keV for deposition of Mg and Al oxide films on Si substrates in an oxygen atmosphere at a pressure of 10 Pa. The metals (Al and Mg) were evaporated and ionized using the electron beam with the formation of a gas-metal beam-plasma. The plasma was deposited on the surface of Si substrates. The elemental composition of the deposited films was analyzed.

  10. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  11. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  12. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  13. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  14. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  15. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  16. Plasma deposition of thin film silicon at low substrate temperature and at high growth rate

    NARCIS (Netherlands)

    Verkerk, A.D.|info:eu-repo/dai/nl/304831719

    2009-01-01

    To expand the range of applications for thin film solar cells incorporating hydrogenated amorphous silicon (a-Si:H) and hydrogenated nanocrystalline silicon (nc-Si:H), the growth rate has to be increased 0.5 or less to several nm/s and the substrate temperature should be lowered to around 100 C. In

  17. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  18. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  19. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  20. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  1. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  2. Pulsed 1064 nm Nd-YAG Laser Deposition of Titanium on Silicon in a Nitrogen Environment

    Directory of Open Access Journals (Sweden)

    Wilson Garcia

    1999-12-01

    Full Text Available Pulsed laser deposition (PLD technique was demonstrated for the deposition of titanium nitride (TiN thin films on Si (100 substrates. A 1064 nm pulsed Nd-YAG laser is focused on a titanium (99.5% target in a nitrogen environment to generate the atomic flux needed for the film deposition. Spectroscopic analysis of the plasma emission indicates the presence of atomic titanium and nitrogen, which are the precursors of TiN. Images of the films grown at different laser pulse energies show an increase in the number and size of deposited droplets and clusters with increasing laser pulse energy. A decrease in cluster and droplet size is also observed, with an increase in substrate temperature. EDS data show an increase in the titanium peak relative to the silicon as the ambient nitrogen pressure is decreased. An increase in deposition time was found to result in large clusters and irregularly shaped structures on the substrate. Post-deposition annealing of the samples enhanced the crystallinity of the film.

  3. Thin film polycrystalline silicon solar cells. Quarterly technical progress report No. 3, 1 April 1980-30 June 1980

    Energy Technology Data Exchange (ETDEWEB)

    Sarma, K. R.; Rice, M. J.; Legge, R.; Ellis, R. J.

    1980-06-01

    During this third quarter of the program, the high pressure plasma (hpp) deposition process has been thoroughly evaluated using SiHCl/sub 3/ and SiCl/sub 4/ silicon source gases, by the gas chromatographic analysis of the effluent gases from the reactor. Both the deposition efficiency and reactor throughput rate were found to be consistently higher for hpp mode of operation compared to conventional CVD mode. The figure of merit for various chlorosilanes as a silicon source gas for hpp deposition is discussed. A new continuous silicon film deposition scheme is developed, and system design is initiated. This new system employs gas interlocks and eliminates the need for gas curtains which have been found to be problematic. Solar cells (2 cm x 2 cm area) with AM1 efficiencies of up to 12% were fabricated on RTR grain enhanced hpp deposited films. The parameters of a 12% cell under simulated AM1 illumination were: V/sub OC/ = 0.582 volts, J/sub SC/ = 28.3 mA/cm/sup 2/ and F.F. = 73.0%.

  4. Low-macroscopic field emission from silicon-incorporated diamond-like carbon film synthesized by dc PECVD

    International Nuclear Information System (INIS)

    Ahmed, Sk.F.; Mitra, M.K.; Chattopadhyay, K.K.

    2007-01-01

    Silicon-incorporated diamond-like carbon (Si-DLC) films were deposited via dc plasma-enhanced chemical vapor deposition (PECVD), on glass and alumina substrates at a substrate temperature 300 deg. C. The precursor gas used was acetylene and for Si incorporation, tetraethyl orthosilicate dissolved in methanol was used. Si atomic percentage in the films was varied from 0% to 19.3% as measured from energy-dispersive X-ray analysis (EDX). The binding energies of C 1s, Si 2s and Si 2p were determined from X-ray photoelectron spectroscopic studies. We have observed low-macroscopic field electron emission from Si-DLC thin films deposited on glass substrates. The emission properties have been studied for a fixed anode-sample separation of 80 μm for different Si atomic percentages in the films. The turn-on field was also found to vary from 16.19 to 3.61 V/μm for a fixed anode-sample separation of 80 μm with a variation of silicon atomic percentage in the films 0% to 19.3%. The turn-on field and approximate work function are calculated and we have tried to explain the emission mechanism there from. It was found that the turn-on field and effective emission barrier were reduced by Si incorporation than undoped DLC

  5. Chemical solution deposition of CaCu3Ti4O12 thin film

    Indian Academy of Sciences (India)

    Administrator

    CaCu3Ti4O12; thin film; chemical solution deposition; dielectric properties. 1. Introduction. The CaCu3Ti4O12. (CCTO) compound has recently attracted considerable ... and Kelvin probe force microscopy (Chung et al 2004). Intrinsic .... SEM images of CCTO thin films as a function of sintering temperature. silicon based ...

  6. On the structural and optical properties of sputtered hydrogenated amorphous silicon thin films

    International Nuclear Information System (INIS)

    Barhdadi, A.; Chafik El ldrissi, M.

    2002-08-01

    The present work is essentially focused on the study of optical and structural properties of hydrogenated amorphous silicon thin films (a-Si:H) prepared by radio-frequency cathodic sputtering. We examine separately the influence of hydrogen partial pressure during film deposition, and the effect of post-deposition thermal annealings on the main optical characteristics of the layers such as refraction index, optical gap and Urbach energy. Using the grazing X-rays reflectometry technique, thin film structural properties are examined immediately after films deposition as well as after surface oxidation or annealing. We show that low hydrogen pressures allow a saturation of dangling bonds in the layers, while high doses lead to the creation of new defects. We show also that thermal annealing under moderate temperatures improves the structural quality of the deposited layers. For the films examined just after deposition, the role of hydrogen appears in the increase of their density. For those analysed after a short stay in the ambient, hydrogen plays a protective role against the oxidation of their surfaces. This role disappears for a long time stay in the ambient. (author)

  7. Production of selective membranes using plasma deposited nanochanneled thin films

    Directory of Open Access Journals (Sweden)

    Rodrigo Amorim Motta Carvalho

    2006-12-01

    Full Text Available The hydrolization of thin films obtained by tetraethoxysilane plasma polymerization results in the formation of a nanochanneled silicone like structure that could be useful for the production of selective membranes. Therefore, the aim of this work is to test the permeation properties of hydrolyzed thin films. The films were tested for: 1 permeation of polar organic compounds and/or water in gaseous phase and 2 permeation of salt in liquid phase. The efficiency of permeation was tested using a quartz crystal microbalance (QCM technique in gas phase and conductimetric analysis (CA in liquid phase. The substrates used were: silicon for characterization of the deposited films, piezoelectric quartz crystals for tests of selective membranes and cellophane paper for tests of permeation. QCM analysis showed that the nanochannels allow the adsorption and/or permeation of polar organic compounds, such as acetone and 2-propanol, and water. CA showed that the films allow salt permeation after an inhibition time needed for hydrolysis of the organic radicals within the film. Due to their characteristics, the films can be used for grains protection against microorganism proliferation during storage without preventing germination.

  8. Microcrystalline silicon carbide alloys prepared with HWCVD as highly transparent and conductive window layers for thin film solar cells

    International Nuclear Information System (INIS)

    Finger, F.; Astakhov, O.; Bronger, T.; Carius, R.; Chen, T.; Dasgupta, A.; Gordijn, A.; Houben, L.; Huang, Y.; Klein, S.; Luysberg, M.; Wang, H.; Xiao, L.

    2009-01-01

    Crystalline silicon carbide alloys have a very high potential as transparent conductive window layers in thin-film solar cells provided they can be prepared in thin-film form and at compatible deposition temperatures. The low-temperature deposition of such material in microcrystalline form (μc-Si:C:H) was realized by use of monomethylsilane precursor gas diluted in hydrogen with the Hot-Wire Chemical Vapor Deposition process. A wide range of deposition parameters has been investigated and the structural, electronic and optical properties of the μc-SiC:H thin films have been studied. The material, which is strongly n-type from unintentional doping, has been used as window layer in n-side illuminated microcrystalline silicon solar cells. High short-circuit current densities are obtained due to the high transparency of the material resulting in a maximum solar cell conversion efficiency of 9.2%.

  9. Relationship between plasma parameters and film microstructure in radio frequency magnetron sputter deposition of barium strontium titanate

    Science.gov (United States)

    Panda, B.; Dhar, A.; Nigam, G. D.; Bhattacharya, D.; Ray, S. K.

    1998-01-01

    Radio frequency magnetron sputtered Ba0.8Sr0.2TiO3 thin films have been deposited on silicon and Si/SiO2/SiN/Pt substrates. The analysis of plasma discharge has been carried out using the Langmuir probe technique. Both the pressure and power have been found to influence the ion density and self-bias of the target. Introduction of oxygen into the discharge effectively decreases the ion density. The structural and electrical properties have been investigated using x-ray diffraction, atomic force microscopy of deposited films and capacitance-voltage, conductance-voltage, and current density-electric field characteristics of fabricated capacitors. The growth and orientation of the films have been found to depend upon the type of substrates and deposition temperatures. The texture in the film is promoted at a pressure 0.25 Torr with a moderately high value of ion density and low ion bombardment energy. Films deposited on Si/SiO2/SiN/Pt substrate have shown higher dielectric constant (191) and lower leakage current density (2.8×10-6 A/cm2 at 100 kV/cm) compared to that on silicon.

  10. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  11. Microstructure Related Characterization of a-Si:H Thin Films PECVD Deposited under Varied Hydrogen Dilution

    Directory of Open Access Journals (Sweden)

    Veronika Vavrunkova

    2007-01-01

    Full Text Available We report on the structure and optical properties of hydrogenated silicon thin films deposited by plasma - enhanced chemical vapor deposition (PECVD from silane diluted with hydrogen in a wide dilution range. The samples deposited with dilutions below 30 were detected as amorphous hydrogenated silicon (a-Si:H with crystalline grains of several nanometers in size which represent the medium-range order of a-Si:H. The optical characterization confirmed increasing ordering with the increasing dilution. The optical band gap was observed to be increasing function of the dilution.

  12. Suppression of photo-leakage current in amorphous silicon thin-film transistors by n-doped nanocrystalline silicon

    International Nuclear Information System (INIS)

    Lin, Hung-Chien; Ho, King-Yuan; Hsu, Chih-Chieh; Yan, Jing-Yi; Ho, Jia-Chong

    2011-01-01

    The reduction of photo-leakage current of amorphous silicon thin-film transistors (a-Si TFTs) is investigated and is found to be successfully suppressed by the use of an n-doped nanocrystalline silicon layer (n+ nc-Si) as an ohmic contact layer. The shallow-level defects of n+ nc-Si can become trapping centres of photo-induced electrons as the a-Si TFT is operated under light illumination. A lower oxygen concentration during n+ nc-Si deposition can increase the creation of shallow-level defects and improve the contrast ratio of active matrix organic light-emitting diode panels.

  13. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  14. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  15. Observation of ultraslow stress release in silicon nitride films on CaF2

    International Nuclear Information System (INIS)

    Guo, Tianyi; Deen, M. Jamal; Xu, Changqing; Fang, Qiyin; Selvaganapathy, P. Ravi; Zhang, Haiying

    2015-01-01

    Silicon nitride thin films are deposited by plasma-enhanced chemical vapor deposition on (100) and (111) CaF 2 crystalline substrates. Delaminated wavy buckles formed during the release of internal compressive stress in the films and the stress releasing processes are observed macroscopically and microscopically. The stress release patterns start from the substrate edges and propagate to the center along defined directions aligned with the crystallographic orientations of the substrate. The stress releasing velocity of SiN x film on (111) CaF 2 is larger than that of SiN x film with the same thickness on (100) CaF 2 . The velocities of SiN x film on both (100) and (111) CaF 2 increase with the film thickness. The stress releasing process is initiated when the films are exposed to atmosphere, but it is not a chemical change from x-ray photoelectron spectroscopy

  16. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  17. Solid-phase crystallization of amorphous silicon on ZnO:Al for thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Becker, C.; Conrad, E.; Dogan, P.; Fenske, F.; Gorka, B.; Haenel, T.; Lee, K.Y.; Rau, B.; Ruske, F.; Weber, T.; Gall, S.; Rech, B. [Helmholtz-Zentrum Berlin fuer Materialien und Energie (formerly Hahn-Meitner-Institut Berlin), Kekulestr. 5, D-12489 Berlin (Germany); Berginski, M.; Huepkes, J. [Institute of Photovoltaics, Forschungszentrum Juelich GmbH, D-52425 Juelich (Germany)

    2009-06-15

    The suitability of ZnO:Al thin films for polycrystalline silicon (poly-Si) thin-film solar cell fabrication was investigated. The electrical and optical properties of 700 -nm-thick ZnO:Al films on glass were analyzed after typical annealing steps occurring during poly-Si film preparation. If the ZnO:Al layer is covered by a 30 nm thin silicon film, the initial sheet resistance of ZnO:Al drops from 4.2 to 2.2 {omega} after 22 h annealing at 600 C and only slightly increases for a 200 s heat treatment at 900 C. A thin-film solar cell concept consisting of poly-Si films on ZnO:Al coated glass is introduced. First solar cell results will be presented using absorber layers either prepared by solid-phase crystallization (SPC) or by direct deposition at 600 C. (author)

  18. Novel texturing method for sputtered zinc oxide films prepared at high deposition rate from ceramic tube targets

    Directory of Open Access Journals (Sweden)

    Hüpkes J.

    2011-10-01

    Full Text Available Sputtered and wet-chemically texture etched zinc oxide (ZnO films on glass substrates are regularly applied as transparent front contact in silicon based thin film solar cells. In this study, chemical wet etching in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl on aluminum doped zinc oxide (ZnO:Al films deposited by magnetron sputtering from ceramic tube targets at high discharge power (~10 kW/m target length is investigated. Films with thickness of around 800 nm were etched in diluted HCl acid and HF acid to achieve rough surface textures. It is found that the etching of the films in both etchants leads to different surface textures. A two steps etching process, which is especially favorable for films prepared at high deposition rate, was systematically studied. By etching first in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl these films are furnished with a surface texture which is characterized by craters with typical diameter of around 500 − 1000 nm. The resulting surface structure is comparable to etched films sputtered at low deposition rate, which had been demonstrated to be able to achieve high efficiencies in silicon thin film solar cells.

  19. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  20. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  1. Studies of the composition, tribology and wetting behavior of silicon nitride films formed by pulsed reactive closed-field unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Yao, Zh.Q.; Yang, P.; Huang, N.; Wang, J.; Wen, F.; Leng, Y.X.

    2006-01-01

    Silicon nitride films were formed by pulsed reactive closed-field unbalanced magnetron sputtering of high purity Si targets in an Ar-N 2 mixture. The effects of N 2 fraction on the chemical composition, and tribological and wetting behaviors were investigated. The films deposited at a high N 2 fraction were consistently N-rich. The surface microstructure changed from continuous granular surrounded by tiny void regions to a homogeneous and dense microstructure, and densitied as the N 2 fraction is increased. The as-deposited films have a relatively low friction coefficient and better wear resistance than 316L stainless steel under dry sliding friction and experienced only abrasive wear. The decreased surface roughness and increased nitrogen incorporation in the film give rise to increased contact angle with double-stilled water from 24 deg. to 49.6 deg. To some extent, the silicon nitride films deposited are hydrophilic in nature

  2. Influence of argon dilution on growth and properties of hydrogenated nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India); Kumar, Sushil; Gope, Jhuma; Rauthan, C.M.S.; Dixit, P.N. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2010-05-15

    The effect of argon concentration (66-87%) in total gaseous mixture (SiH{sub 4}+H{sub 2}+Ar) on growth and properties of hydrogenated nanocrystalline silicon films deposited by RF (13.56 MHz) PECVD technique was investigated. Raman and XRD measurements revealed increasing argon fraction favored enhancement of crystallinity, enlargement of crystallites and relaxation of strained bonds. Photoluminescence spectra of nc-Si:H films exhibited two radiative transitions in the photon energy ranges of 2.8-3.1 eV and 1.6-2.1 eV. The high energy PL peaks are attributed to surface effect of the films whereas peaks in the range of 1.6-2.1 eV are due to nanocrystallinity in the films. Argon dilution also helped enhancement of deposition rate and conductivity of the films. A film deposited at 81% of argon fraction possesses high crystallinity (75%), conductivity in the order of 10{sup -5} ({omega} cm){sup -1}, size of the crystallite (Raman=12 nm, XRD=18 nm), and low residual stress (125 MPa). (author)

  3. Effect of dc negative-bias and silicon introduction on performance of Si-B-N composite film by RF-PECD technique

    International Nuclear Information System (INIS)

    Meng Hua; Yu Xiang; Yu Junfeng; Wang Chengbiao

    2005-01-01

    Under action of different dc negative-bias voltages on samples incorporating with silicon, a series of Si-B-N composite films were synthesized on steel 1045 using RF-PECVD technique (radio-frequency plasma enhanced chemical vapor deposition), and the surface analysis of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and etc. were followed. The experimental results showed: Si-B-N composite films had an obvious mixture phase of c-BN and h-BN crystal at a certain dc negative bias, and the film's mechanical performances including micro-hardness and adhesion were improved. Moreover, bias effect on deposition performance of Si-B-N composite film has been systematically investigated, and silicon introduction was found to be necessary for the growth of Si-B-N film and the improvement of adhesion

  4. Preparation and characterization of electrochemically deposited carbon nitride films on silicon substrate

    International Nuclear Information System (INIS)

    Yan Xingbin; Xu Tao; Chen Gang; Yang Shengrong; Liu Huiwen; Xue Qunji

    2004-01-01

    Carbon nitride films (CN x films) were deposited on Si(100) substrates by the electrolysis of methanol-urea solution at high voltage, atmospheric pressure, and low temperature. The microstructure and morphology of the resulting CN x films were analysed by means of Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), Fourier-transform infrared spectrometry (FTIR), x-ray diffraction (XRD), and atomic force microscopy. The tribological properties of the CN x films were examined on an UMT-2MT friction and wear test rig. The Raman spectrum showed two characteristic bands: a graphite G band and a disordered D band of carbon, which suggested the presence of an amorphous carbon matrix. XPS and FTIR measurements suggested the existence of both single and double carbon-nitride bonds in the film and the hydrogenation of the carbon nitride phase. The XRD spectrum showed various peaks of different d values, which could confirm the existence of the polycrystalline carbon nitride phase. The hydrogenated CN x films were compact and uniform, with a root mean square roughness of about 18 nm. The films showed excellent friction-reduction and wear-resistance, with the friction coefficient in the stable phase being about 0.08. In addition, the growth mechanism of the CN x films in liquid phase electro-deposition was discussed as well. It was assumed that the molecules of CH 3 OH and CO(NH 2 ) 2 were polarized under high electric field, and the CN x film was formed on the substrate through the reaction of the -CH 3 and -NH 2 groups on the cathode

  5. Study of some structural properties of hydrogenated amorphous silicon thin films prepared by radiofrequency cathodic sputtering

    International Nuclear Information System (INIS)

    Mellassi, K.; Chafik El Idrissi, M.; Barhdadi, A.

    2001-08-01

    In this work, we have used the grazing X-rays reflectometry technique to characterise hydrogenated amorphous silicon thin films deposited by radio-frequency cathodic sputtering. Relfectometry measurements are taken immediately after films deposition as well as after having naturally oxidised their surfaces during a more or less prolonged stay in the ambient. For the films examined just after deposition, the role of hydrogen appears in the increase of their density. For those analysed after a short stay in the ambient, hydrogen plays a protective role against the oxidation of their surfaces. This role disappears when the stay in the ambient is so long. (author)

  6. Effect of annealing on the structural properties of electron beam deposited CIGS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Venkatachalam, M. [Department of Electronics, Erode Arts College, Erode (India)], E-mail: prabhu7737@yahoo.com; Kannan, M.D.; Jayakumar, S.; Balasundaraprabhu, R. [Thin Film Center, PSG College of Technology, Coimbatore (India); Muthukumarasamy, N. [Department of Physics, Coimbatore Institute of Technology, Coimbatore (India)

    2008-08-30

    CIGS bulk compound of three different compositions CuIn{sub 0.85}Ga{sub 0.15}Se{sub 2}, CuIn{sub 0.80}Ga{sub 0.20}Se{sub 2} and CuIn{sub 0.75}Ga{sub 0.25}Se{sub 2} have been prepared by direct reaction of elemental copper, indium, gallium and selenium. CIGS thin films of the three compositions have been deposited onto glass and silicon substrates using the prepared bulk by electron beam deposition method. The structural properties of the deposited films have been studied using X-ray diffraction technique. The as-deposited CIGS films have been found to be amorphous in nature. To study the effect of annealing on the structural properties, the films have been annealed in vacuum of the order of 10{sup -5} Torr. The X-ray diffractograms of the annealed CIGS films exhibited peaks revealing that the annealed films are crystalline in nature with tetragonal chalcopyrite structure. The (112) peak corresponding to the chalcopyrite structure has been observed to be the dominating peak in all the annealed films. The position of the (112) peak and other peaks in the X-ray diffraction pattern has been observed to shift to higher values of 2{theta} with the increase of gallium concentration. The lattice parameter values 'a' and 'c' have been calculated and they are found to be dependent on the concentration of gallium in the films. The FWHM in the X-ray diffraction pattern is found to decrease with an increase in annealing temperature indicating that the crystalline nature of the CIGS improves with increase in annealing temperature. The films grown on silicon substrates have been found to be of better crystalline quality than those deposited on glass substrates. The micro structural parameters like grain size, dislocation density and strain have been evaluated. The chemical constituents present in the deposited CIGS films have been identified using energy dispersive X-ray analysis. The surface topographical study on the films has been performed by AFM. The

  7. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    Science.gov (United States)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  8. Characteristics of thin film fullerene coatings formed under different deposition conditions by power ion beams

    International Nuclear Information System (INIS)

    Petrov, A.V.; Ryabchikov, A.I.; Struts, V.K.; Usov, Yu.P.; Renk, T.J.

    2007-01-01

    Carbon allotropic form - C 60 and C 70 can be used in microelectronics, superconductors, solar batteries, logic and memory devices to increase processing tool wear resistance, as magnetic nanocomposite materials for record and storage information, in biology, medicine and pharmacology. In many cases it is necessary to have a thin-film containing C 60 and C 70 fullerene carbon coatings. A possibility in principle of thin carbon films formation with nanocrystalline structure and high content ∼30-95% of C 60 and C 70 fullerene mixture using the method of graphite targets sputtering by a power ion beam has been shown. Formation of thin-film containing C 60 and C 70 fullerene carbon coatings were carried out by means of deposition of ablation plasma on silicon substrates. Ablation plasma was generated as result of interaction of high-power pulsed ion beams (HPPIB) with graphite targets of different densities. It has been demonstrated that formation of fullerenes, their amount and characteristics of thin-film coatings depend on the deposition conditions. The key parameter for such process is the deposition rate, which determines thin film formation conditions and, subsequently, its structure and mechanical properties. Nano-hardness, Young module, adhesion to mono-crystalline silicon substrate, friction coefficient, roughness surface of synthesized coatings at the different deposition conditions were measured. These characteristics are under influence of such main process parameters as energy density of HPPIB, which, in turn, determinates the density and temperature of ablation plasma and deposition speed, which is thickness of film deposited for one pulse of ion current. Nano-hardness and Young module meanings are higher at the increasing of power density of ion beam. Adhesion value is less at the high deposition speed. As rule, friction coefficient depends on vice versa from roughness. (authors)

  9. Transparent, high mobility InGaZnO thin films deposited by PLD

    International Nuclear Information System (INIS)

    Suresh, Arun; Gollakota, Praveen; Wellenius, Patrick; Dhawan, Anuj; Muth, John F.

    2008-01-01

    Transparent oxide semiconductor, InGaZnO, thin films were prepared by pulsed laser deposition at room temperature. The carrier concentration was found to vary by several orders of magnitude from insulating to 10 19 carriers/cm 3 depending on the oxygen partial pressure during deposition. Hall mobilities as high as 16 cm 2 /V s were observed. This is approximately an order of magnitude higher than the mobility of amorphous silicon and indicates that InGaO 3 (ZnO) x with x ≤ 5 may be suitable for transparent, thin film transistor applications. Post-deposition annealing was found to strongly influence the carrier concentration while annealing effects on the electron mobility was less influential

  10. Mass transfer in silicon at deposition of Ti thin films assisted by self ion irradiation

    International Nuclear Information System (INIS)

    Mikhalkovich, O.M.; Tashlykov, I.S.; Gusakov, V.E.

    2011-01-01

    In this paper a composite structure, processes of diffusion in Si, modified by means of ion-assisted deposition of coatings in conditions of a self-irradiation are discussed. Rutherford backscattering in combination with a channelling (RBS/Ch) of He + ions and computer program RUMP were applied to investigate an element composition. It is established, that coatings include atoms of metal, hydrogen, carbon, oxygen, silicon. The interstitial Si atoms, generated by radiation effect, diffuse during deposition of thin coating, both in a depth of a wafers, and in coatings. The influence of irradiation of ions Xe+ on diffusion processes in silicon are revealed. (authors)

  11. Effect of deposition temperature on electron-beam evaporated polycrystalline silicon thin-film and crystallized by diode laser

    Energy Technology Data Exchange (ETDEWEB)

    Yun, J., E-mail: j.yun@unsw.edu.au; Varalmov, S.; Huang, J.; Green, M. A. [School of Photovoltaic and Renewable Energy Engineering, University of New South Wales, Sydney, New South Wales 2052 (Australia); Kim, K. [School of Photovoltaic and Renewable Energy Engineering, University of New South Wales, Sydney, New South Wales 2052 (Australia); Suntech R and D Australia, Botany, New South Wales 2019 (Australia)

    2014-06-16

    The effects of the deposition temperature on the microstructure, crystallographic orientation, and electrical properties of a 10-μm thick evaporated Si thin-film deposited on glass and crystallized using a diode laser, are investigated. The crystallization of the Si thin-film is initiated at a deposition temperature between 450 and 550 °C, and the predominant (110) orientation in the normal direction is found. Pole figure maps confirm that all films have a fiber texture and that it becomes stronger with increasing deposition temperature. Diode laser crystallization is performed, resulting in the formation of lateral grains along the laser scan direction. The laser power required to form lateral grains is higher in case of films deposited below 450 °C for all scan speeds. Pole figure maps show 75% occupancies of the (110) orientation in the normal direction when the laser crystallized film is deposited above 550 °C. A higher density of grain boundaries is obtained when the laser crystallized film is deposited below 450 °C, which limits the solar cell performance by n = 2 recombination, and a performance degradation is expected due to severe shunting.

  12. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  13. Titanium dioxide antireflection coating for silicon solar cells by spray deposition

    Science.gov (United States)

    Kern, W.; Tracy, E.

    1980-01-01

    A high-speed production process is described for depositing a single-layer, quarter-wavelength thick antireflection coating of titanium dioxide on metal-patterned single-crystal silicon solar cells for terrestrial applications. Controlled atomization spraying of an organotitanium solution was selected as the most cost-effective method of film deposition using commercial automated equipment. The optimal composition consists of titanium isopropoxide as the titanium source, n-butyl acetate as the diluent solvent, sec-butanol as the leveling agent, and 2-ethyl-1-hexanol to render the material uniformly depositable. Application of the process to the coating of circular, large-diameter solar cells with either screen-printed silver metallization or with vacuum-evaporated Ti/Pd/Ag metallization showed increases of over 40% in the electrical conversion efficiency. Optical characteristics, corrosion resistance, and several other important properties of the spray-deposited film are reported. Experimental evidence indicates a wide tolerance in the coating thickness upon the overall efficiency of the cell. Considerations pertaining to the optimization of AR coatings in general are discussed, and a comprehensive critical survey of the literature is presented.

  14. Investigation of droplet formation in pulsed Nd:YAG laser deposition of metals and silicon

    Energy Technology Data Exchange (ETDEWEB)

    Siew, Wee-Ong; Lee, Wai-Keat; Wong, Hin-Yong; Tou, Teck-Yong [Multimedia University, Faculty of Engineering, Cyberjaya, Selangor (Malaysia); Yong, Thian-Khok [Multimedia University, Faculty of Engineering, Cyberjaya, Selangor (Malaysia); Universiti Tunku Abdul Rahman, Faculty of Engineering and Science, Kuala Lumpur (Malaysia); Yap, Seong-Shan [Multimedia University, Faculty of Engineering, Cyberjaya, Selangor (Malaysia); Norwegian University of Science and Technology, Institute of Physics, Trondheim (Norway)

    2010-12-15

    In the process of pulsed laser deposition of nickel (Ni) and ruthenium (Ru) thin films, the occurrence of phase explosion in ablation was found to affect the deposition rate and enhance the optical emissions from the plasma plume. Faster thin-film growth rates coincide with the onset of phase explosion as a result of superheating and/or sub-surface boiling which also increased the particulates found on the thin-film surface. These particulates were predominantly droplets which may not be round but flattened and also debris for the case of silicon (Si) ablation. The droplets from Ni and Ru thin films were compared in terms of size distribution and number density for different laser fluences. The origins of these particulates were correlated to the bubble and ripple formations on the targets while the transfer to the thin film surface was attributed to the laser-induced ejection from the targets. (orig.)

  15. Investigation of droplet formation in pulsed Nd:YAG laser deposition of metals and silicon

    International Nuclear Information System (INIS)

    Siew, Wee-Ong; Lee, Wai-Keat; Wong, Hin-Yong; Tou, Teck-Yong; Yong, Thian-Khok; Yap, Seong-Shan

    2010-01-01

    In the process of pulsed laser deposition of nickel (Ni) and ruthenium (Ru) thin films, the occurrence of phase explosion in ablation was found to affect the deposition rate and enhance the optical emissions from the plasma plume. Faster thin-film growth rates coincide with the onset of phase explosion as a result of superheating and/or sub-surface boiling which also increased the particulates found on the thin-film surface. These particulates were predominantly droplets which may not be round but flattened and also debris for the case of silicon (Si) ablation. The droplets from Ni and Ru thin films were compared in terms of size distribution and number density for different laser fluences. The origins of these particulates were correlated to the bubble and ripple formations on the targets while the transfer to the thin film surface was attributed to the laser-induced ejection from the targets. (orig.)

  16. Heat treatable indium tin oxide films deposited with high power pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Horstmann, F.; Sittinger, V.; Szyszka, B.

    2009-01-01

    In this study, indium tin oxide (ITO) films were prepared by high power pulse magnetron sputtering [D. J. Christie, F. Tomasel, W. D. Sproul, D. C. Carter, J. Vac. Sci. Technol. A, 22 (2004) 1415. ] without substrate heating. The ITO films were deposited from a ceramic target at a deposition rate of approx. 5.5 nm*m/min kW. Afterwards, the ITO films were covered with a siliconoxynitride film sputtered from a silicon alloy target in order to prevent oxidation of the ITO film during annealing at 650 deg. C for 10 min in air. The optical and electrical properties as well as the texture and morphology of these films were investigated before and after annealing. Mechanical durability of the annealed films was evaluated at different test conditions. The results were compared with state-of-the art ITO films which were obtained at optimized direct current magnetron sputtering conditions

  17. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  18. Structural and electronic characterization of 355 nm laser-crystallized silicon: Interplay of film thickness and laser fluence

    International Nuclear Information System (INIS)

    Semler, Matthew R.; Swenson, Orven F.; Hoey, Justin M.; Guruvenket, Srinivasan; Gette, Cody R.; Hobbie, Erik K.

    2014-01-01

    We present a detailed study of the laser crystallization of amorphous silicon thin films as a function of laser fluence and film thickness. Silicon films grown through plasma-enhanced chemical vapor deposition were subjected to a Q-switched, diode-pumped solid-state laser operating at 355 nm. The crystallinity, morphology, and optical and electronic properties of the films are characterized through transmission and reflectance spectroscopy, resistivity measurements, Raman spectroscopy, X-ray diffraction, atomic force microscopy, and optical and scanning-electron microscopy. Our results reveal a unique surface morphology that strongly couples to the electronic characteristics of the films, with a minimum laser fluence at which the film properties are optimized. A simple scaling model is used to relate film morphology to conductivity in the laser-processed films

  19. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates.

    Science.gov (United States)

    Bhamla, M Saad; Balemans, Caroline; Fuller, Gerald G

    2015-07-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show that these surfactant layers extend the lifetime of the aqueous films. The films eventually "dewet" by the nucleation and growth of dry areas and the onset of this dewetting can be controlled by the surface rheology of the DPPC layer. We thus demonstrate that increasing the interfacial rheology of the DPPC layer leads to stable films that delay dewetting. We also show that dewetting can be exploited to controllably pattern the underlying curved SiHy substrates with DPPC layers. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Sprayed and Spin-Coated Multilayer Antireflection Coating Films for Nonvacuum Processed Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Abdullah Uzum

    2017-01-01

    Full Text Available Using the simple and cost-effective methods, spin-coated ZrO2-polymer composite/spray-deposited TiO2-compact multilayer antireflection coating film was introduced. With a single TiO2-compact film on the surface of a crystalline silicon wafer, 5.3% average reflectance (the reflectance average between the wavelengths of 300 nm and 1100 nm was observed. Reflectance decreased further down to 3.3% after forming spin-coated ZrO2 on the spray-deposited TiO2-compact film. Silicon solar cells were fabricated using CZ-Si p-type wafers in three sets: (1 without antireflection coating (ARC layer, (2 with TiO2-compact ARC film, and (3 with ZrO2-polymer composite/TiO2-compact multilayer ARC film. Conversion efficiency of the cells improved by a factor of 0.8% (from 15.19% to 15.88% owing to the multilayer ARC. Jsc was improved further by 2 mA cm−2 (from 35.3 mA cm−2 to 37.2 mA cm−2 when compared with a single TiO2-compact ARC.

  1. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  2. Control of the optical properties of silicon and chromium mixed oxides deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Vergara, L.; Galindo, R. Escobar; Martinez, R.; Sanchez, O.; Palacio, C.; Albella, J.M.

    2011-01-01

    The development of mixed-oxide thin films allows obtaining materials with better properties than those of the different binary oxides, which makes them suitable for a great number of applications in different fields, such as tribology, optics or microelectronics. In this paper we investigate the deposition of mixed chromium and silicon oxides deposited by reactive magnetron sputtering with a view to use them as optical coatings with an adjustable refractive index. These films have been characterized by means of Rutherford backscattering spectrometry, Auger electron spectroscopy, X-ray diffraction, scanning electron microscopy, Fourier-transform infrared spectroscopy and spectroscopic ellipsometry so as to determine how the deposition conditions influence the characteristics of the material. We have found that the deposition parameter whose influence determines the properties of the films to a greater extent is the amount of oxygen in the reactive sputtering gas.

  3. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  4. RAMAN spectra of amorphous silicon thin films deposited by glow discharges

    International Nuclear Information System (INIS)

    Bustarret, E.; Alvarez, F.; Brenzikofer, R.; Vilche Pena, A.; Chambouleyron, I.

    1983-01-01

    The local disorder present in films of a-Si:H and a-Si x N 1-x :H has been studied through first order Raman spectroscopy, using the 5145A line of an Argon laser in a backscattering geometry at room temperature. This allowed us to compare thin films deposited in two different reactors where the capacitively coupled glow-discharge was produced either in a ''cross field'' or a ''parallel field'' geometry. Gaseous mixtures of SiH 4 . N 2 , He and Ar have been used in both cases. The systematic variation of the preparation parameters leads to a whole class of ''alloys'' including partially micro-crysttalized films. (author) [pt

  5. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  6. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    Science.gov (United States)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  7. Optical and structural characterization of nickel oxide-based thin films obtained by chemical bath deposition

    International Nuclear Information System (INIS)

    Vidales-Hurtado, M.A.; Mendoza-Galvan, A.

    2008-01-01

    Nickel oxide-based thin films were obtained using the chemical bath deposition method on glass and silicon substrates. The precursor solution used was a mixture of nickel nitrate, urea, and deionized water. Molar concentration of nickel (0.3-1.0 M), deposition time, and immersing cycles were considered as deposition variables. Infrared spectroscopy and X-ray diffraction data reveal that all as-deposited films correspond to the transparent turbostratic phase α(II)-Ni(OH) 2 . However, the rate of deposition depends on nickel content in the solution. After annealing in air at temperatures above of 300 deg. C, the films are transformed to the NiO phase and show a grey/black color. In these films, scanning electron microscopy images show aggregates of thin stacked sheets on their surface, such aggregates can be easily removed leaving only a thin NiO layer of about 30 nm adhered firmly to the substrate, regardless of nickel concentration in the solution and deposition time. In order to obtain thicker NiO films with good optical properties a procedure is developed performing several immersing-annealing cycles

  8. Design of Faraday cup ion detectors built by thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Szalkowski, G.A., E-mail: gszalkowski3@gatech.edu [Department of Nuclear Engineering, Georgia Institute of Technology, 770 State St., Atlanta, GA 30332 (United States); Darrow, D.S., E-mail: ddarrow@pppl.gov [Princeton Plasma Physics Laboratory, P. O. Box 451, Princeton, NJ 08543 (United States); Cecil, F.E., E-mail: fcecil@mines.edu [Department of Physics, Colorado School of Mines, Golden, CO 80401 (United States)

    2017-03-11

    Thin film Faraday cup detectors can provide measurements of fast ion loss from magnetically confined fusion plasmas. These multilayer detectors can resolve the energy distribution of the lost ions in addition to giving the total loss rate. Prior detectors were assembled from discrete foils and insulating sheets. Outlined here is a design methodology for creating detectors using thin film deposition that are suited to particular scientific goals. The intention is to use detectors created by this method on the Joint European Torus (JET) and the National Spherical Torus Experiment-Upgrade (NSTX-U). The detectors will consist of alternating layers of aluminum and silicon dioxide, with layer thicknesses chosen to isolate energies of interest. Thin film deposition offers the advantage of relatively simple and more mechanically robust construction compared to other methods, as well as allowing precise control of film thickness. Furthermore, this depositional fabrication technique places the layers in intimate thermal contact, providing for three-dimensional conduction and dissipation of the ion-produced heating in the layers, rather than the essentially two-dimensional heat conduction in the discrete foil stack implementation.

  9. Electrical characterization of MIS devices using PECVD SiN{sub x}:H films for application of silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Jin-Su; Cho, Jun-Sik; Park, Joo-Hyung; Ahn, Seung-Kyu; Shin, Kee-Shik; Yoon, Kyung-Hoon [Korea Institute of Energy Research, Daejeon (Korea, Republic of); Yi, Jun-Sin [Sungkyunkwan University, Suwon (Korea, Republic of)

    2012-07-15

    The surface passivation of crystalline silicon solar cells using plasma enhanced chemical vapor deposition (PECVD), hydrogenated, silicon-nitride (SiN{sub x}:H) thin films has become significant due to a low-temperature, low-cost and very effective defect passivation process. Also, a good quality antireflection coating can be formed. In this work, SiN{sub x}:H thin films were deposited by varying the gas ratio R (=NH{sub 3}/SiH{sub 4}+NH{sub 3}) and were annealed by rapid thermal processing (RTP). Metal-insulator- semiconductor (MIS) devices were fabricated using SiN{sub x}:H thin films as insulator layers and they were analyzed in the temperature range of 100 - 400 K by using capacitance-voltage (C-V) and current-voltage (I-V) measurements. The annealed SiN{sub x}:H thin films were evaluated by using the electrical properties at different temperature to determine the effect of surface passivation. We achieved an energy conversion efficiency of 18.1% under one-sun standard testing conditions for large-area (156 mm x 156 mm) crystalline-silicon solar cells.

  10. Characterization of 13 and 30 mum thick hydrogenated amorphous silicon diodes deposited over CMOS integrated circuits for particle detection application

    CERN Document Server

    Despeisse, M; Commichau, S C; Dissertori, G; Garrigos, A; Jarron, P; Miazza, C; Moraes, D; Shah, A; Wyrsch, N; Viertel, Gert M; 10.1016/j.nima.2003.11.022

    2004-01-01

    We present the experimental results obtained with a novel monolithic silicon pixel detector which consists in depositing a n-i-p hydrogenated amorphous silicon (a-Si:H) diode straight above the readout ASIC (this technology is called Thin Film on ASIC, TFA). The characterization has been performed on 13 and 30mum thick a-Si:H films deposited on top of an ASIC containing a linear array of high- speed low-noise transimpedance amplifiers designed in a 0.25mum CMOS technology. Experimental results presented have been obtained with a 600nm pulsed laser. The results of charge collection efficiency and charge collection speed of these structures are discussed.

  11. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  12. Characterization of electron beam evaporated carbon films and compound formation on titanium and silicon

    International Nuclear Information System (INIS)

    Luthin, J.; Linsmeier, C.

    2001-01-01

    The formation of carbon-based mixed materials is unavoidable on the plasma-facing components (e.g. first wall and divertor) of fusion devices when carbon is used together with other materials. On the surfaces of these components very different conditions with respect to particle and energy impact occur. To predict the mixed material formation under these conditions the precise knowledge of the fundamental mechanisms governing these interactions is essential. In this paper we present the results of carbon interaction with titanium and silicon, as model substances for metallic and covalent carbides, during thermal treatment. To perform basic studies of the reactions of carbon with different elements, thin carbon films are produced by electron beam evaporation on the different substrates under UHV conditions. All measurements for chemical analysis are performed using X-ray photoelectron spectroscopy (XPS). We discuss first the properties of the deposited carbon films. The carbon films are characterized on inert gold surfaces and are compared to bulk graphite. Annealing of the carbon films up to 970 K leads to a transition from a disordered carbon network into a graphitic structure. Preparation of carbon films at room temperature on titanium or silicon leads to a limited carbide formation at the carbon/substrate interface. Carbon deposited in excess of several monolayers is present in elementary form. Annealing of the samples leads to complete carbidization consuming the available carbon in both cases. Titanium reacts to TiC and additional substoichiometric carbide, silicon forms SiC with exact stoichiometry. (orig.)

  13. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  14. Ferroelectrics onto silicon prepared by chemical solution deposition methods: from the thin film to the self-assembled systems

    Directory of Open Access Journals (Sweden)

    Calzada, M. L.

    2006-06-01

    Full Text Available The work of the authors during the last years on ferroelectric thin and ultra-thin films deposited by Chemical Solution Deposition (CSD onto silicon based substrates is reviewed in this paper. Ferroelectric layers integrated with silicon substrates have potential use in the new micro/nanoelectronic devices. Two hot issues are here considered: 1 the use of low processing temperatures of the ferroelectric film, with the objective of not producing any damage on the different elements of the device heterostructure, and 2 the downscaling of the ferroelectric material with the aim of achieving the high densities of integration required in the next generation of nanoelectronic devices. The UV-assisted Rapid Thermal Processing has successfully been used in our laboratory for the fabrication of ferroelectric films at low temperatures. Preliminary results on the CSD preparation of nanosized ferroelectric structures are shown.

    Este artículo revisa el trabajo realizado por los autores durante los últimos años sobre lámina delgada y ultra-delgada ferroeléctrica preparada mediante el depósito químico de disoluciones (CSD sobre substratos de silicio. Las películas ferroeléctricas integradas con silicio tienen potenciales usos en los nuevos dispositivos micro/nanoelectrónicos. Dos aspectos claves son aquí considerados: 1 el uso de bajas temperaturas de procesado de la lámina ferroeléctrica, con el fin de no dañar los diferentes elementos que forman la heteroestructura del dispositivo y 2 la disminución de tamaño del material ferroeléctrico con el fin de conseguir las altas densidades de integración requeridas en la próxima generación de dispositivos nanoelectróncos. Los procesos térmicos rápidos asistidos con irradiación UV se están usando en nuestro laboratorio para conseguir la fabricación del material ferroeléctrico a temperaturas bajas compatibles con la tecnología del silicio. Se muestran resultados preliminares sobre

  15. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  16. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi [Nagaoka Univ. of Technology, Extreme Energy-Density Research Inst., Nagaoka, Niigata (Japan)

    2002-06-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  17. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    International Nuclear Information System (INIS)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi

    2002-01-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  18. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  19. Vibrational Spectroscopy of Chemical Species in Silicon and Silicon-Rich Nitride Thin Films

    Directory of Open Access Journals (Sweden)

    Kirill O. Bugaev

    2012-01-01

    Full Text Available Vibrational properties of hydrogenated silicon-rich nitride (SiN:H of various stoichiometry (0.6≤≤1.3 and hydrogenated amorphous silicon (a-Si:H films were studied using Raman spectroscopy and Fourier transform infrared spectroscopy. Furnace annealing during 5 hours in Ar ambient at 1130∘C and pulse laser annealing were applied to modify the structure of films. Surprisingly, after annealing with such high-thermal budget, according to the FTIR data, the nearly stoichiometric silicon nitride film contains hydrogen in the form of Si–H bonds. From analysis of the FTIR data of the Si–N bond vibrations, one can conclude that silicon nitride is partly crystallized. According to the Raman data a-Si:H films with hydrogen concentration 15% and lower contain mainly Si–H chemical species, and films with hydrogen concentration 30–35% contain mainly Si–H2 chemical species. Nanosecond pulse laser treatments lead to crystallization of the films and its dehydrogenization.

  20. Influence of silicon orientation and cantilever undercut on the determination of Young's modulus of pulsed laser deposited PZT

    NARCIS (Netherlands)

    Nazeer, H.; Woldering, L.A.; Abelmann, Leon; Nguyen, Duc Minh; Rijnders, Augustinus J.H.M.; Elwenspoek, Michael Curt

    In this work we show for the first time that the effective in-plane Young’s modulus of PbZr0.52Ti0.48O3 (PZT) thin films, deposited by pulsed laser deposition (PLD) on dedicated single crystal silicon cantilevers, is independent of the in-plane orientation of cantilevers.

  1. Formation of nanocrystals embedded in a silicon nitride film at a low temperature ({<=}200 deg. C)

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyoung-Min; Kim, Tae-Hwan [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of); Hong, Wan-Shick [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of)], E-mail: wshong@uos.ac.kr

    2008-12-15

    Silicon-rich silicon nitride films with embedded silicon nanocrystals (Si NCs) were fabricated successfully on plastic substrates at a low temperature by catalytic chemical vapor deposition. A mixture of SiH{sub 4}, NH{sub 3} and H{sub 2} was used as a source gas. Formation of the silicon nanocrystals was analyzed by photoluminescence spectra and was confirmed by transmission electron microscopy. The formation of Si NCs required an H{sub 2}/SiH{sub 4} mixture ratio that was higher than four.

  2. High-rate synthesis of microcrystalline silicon films using high-density SiH4/H2 microwave plasma

    International Nuclear Information System (INIS)

    Jia, Haijun; Saha, Jhantu K.; Ohse, Naoyuki; Shirai, Hajime

    2007-01-01

    A high electron density (> 10 11 cm -3 ) and low electron temperature (1-2 eV) plasma is produced by using a microwave plasma source utilizing a spoke antenna, and is applied for the high-rate synthesis of high quality microcrystalline silicon (μc-Si) films. A very fast deposition rate of ∼ 65 A/s is achieved at a substrate temperature of 150 deg. C with a high Raman crystallinity and a low defect density of (1-2) x 10 16 cm -3 . Optical emission spectroscopy measurements reveal that emission intensity of SiH and intensity ratio of H α /SiH are good monitors for film deposition rate and film crystallinity, respectively. A high flux of film deposition precursor and atomic hydrogen under a moderate substrate temperature condition is effective for the fast deposition of highly crystallized μc-Si films without creating additional defects as well as for the improvement of film homogeneity

  3. Raman spectra of amorphous silicon thin films deposited by glow discharge

    International Nuclear Information System (INIS)

    Bustarret, E.; Alvarez, F.; Brenzikofer, R.; Vilche Pena, A.; Chambouleyron, I.

    1983-01-01

    The local disorder present in films of a-Si:H and a-Si sub(x) N 1 - sub(x):H has been studied through first order Raman spectroscopy, using the 5145A line of an Argon laser in a backscattering geometry at room temperature. This allowed us to compare thin films deposited in two different reactors where the capacitively coupled glow-discharge was produced either in a 'cross field' or a 'parallel field' geometry. Gaseous mixtures of SiH 4 , N 2 , He and Ar have been used in both cases. The systematic variation of the preparation parameters leads to a whole class of 'alloys' including partially micro-crystallized films. (Author) [pt

  4. Structure and x-ray density of electrochemically deposited rhenium films

    International Nuclear Information System (INIS)

    Petrovich, V.A.; Fedenkov, A.L.; Shepurev, S.Yu.

    1988-01-01

    The electrodeposition of rhenium was carried out at a constant cathode-current density and room temperature. The backing was grade KEF-0.02 single-crystal silicon. The absorption coefficient μ of the film was determined for the K α radiation of the copper line. The investigation enabled us to conclude that electrochemically deposited rhenium films can be used as a material for the masking coatings of x-ray patterns, since the absorption coefficients of the x-ray radiation of the resultant films are superior to the similar parameters of traditionally employed materials, and surpass these materials in terms of corrosion resistance and simplicity of production

  5. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  6. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  7. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  8. MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application

    Directory of Open Access Journals (Sweden)

    Amornrat Limmanee

    2014-01-01

    Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.

  9. Constitution, microstructure, mechanical properties, and performance of magnetron-sputtered carbon films with additions of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, C. [Forschungszentrum Karlsruhe GmbH, Institut fuer Materialforschung I, Postfach 3640, 76021 Karlsruhe (Germany); Leiste, H.; Stueber, M.; Ulrich, S.; Holleck, H.

    2003-11-01

    Amorphous carbon films with additions of silicon were deposited by non-reactive magnetron sputtering on WC-Co hard metal and on silicon substrates. The targets were hot-pressed, homogenous mixtures of graphite and silicon carbide powder. Additional argon ion bombardment of the growing film was applied by a substrate bias varied between 0V and -800 V. The deposited amorphous carbon films with two different silicon contents (5 at.% and 23 at.% respectively) were characterised with respect to their microstructure, density, thickness, residual stress, Vickers hardness, Young's modulus, critical load of failure, friction coefficient, and wear behaviour. The residual stress of the carbon films could be remarkably lowered by silicon additions (23 at.%). The hardness reached high values (2200 HV0.05) and the friction coefficient for unlubricated sliding friction against a steel (1.3505) counterpart was as low as 0.06. (Abstract Copyright [2003], Wiley Periodicals, Inc.) [German] Amorphe Kohlenstoffschichten mit Zusaetzen von Silizium wurden nichtreaktiv durch Magnetronzerstaeubung auf Substraten aus WC-Co Hartmetall und Silizium abgeschieden. Die neuartigen Targets wurden durch Heisspressen einer homogenen Mischung aus Graphit- und Siliziumcarbidpulver hergestellt. Durch Anlegen einer elektrischen Substratvorspannung von 0 V bis -800 V wurden die aufwachsenden Schichten zusaetzlich mit Argonionen unterschiedlicher Energie beschossen. Die abgeschiedenen amorphen Kohlenstoffschichten mit Zusaetzen von Silizium (5 at% bzw. 23 at%) wurden hinsichtlich Struktur, Dichte, Dicke, Eigenspannungen, Vickershaerte, reduziertem Elastizitaetsmodul, kritischer Last des Versagens im Ritztest, Reibwert und Verschleissverhalten untersucht. Fuer Schichten mit hohem Siliziumgehalt (23 at%) erfolgte eine erhebliche Reduzierung der Eigenspannung, die Haerte wurde bei sehr hohen Werten stabilisiert (2200 HV0,05) und der Reibungskoeffizient fuer ungeschmierte Gleitreibung gegen Stahl (1

  10. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  11. Optical and structural properties of SiOxNyHz films deposited by electron cyclotron resonance and their correlation with composition

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F. J.; Bohne, W.; Roehrich, J.; Selle, B.; Martinez, F. L.

    2003-01-01

    SiO x N y H z films were deposited from O 2 , N 2 , and SiH 4 gas mixtures at room temperature using the electron cyclotron resonance plasma method. The absolute concentrations of all the species present in the films (Si, O, N, and H) were measured with high precision by heavy-ion elastic recoil detection analysis. The composition of the films was controlled over the whole composition range by adjusting the precursor gases flow ratio during deposition. The relative incorporation of O and N is determined by the ratio Q=φ(O 2 )/φ(SiH 4 ) and the relative content of Si is determined by R=[φ(O 2 )+φ(N 2 )]/φ(SiH 4 ) where φ(SiH 4 ), φ(O 2 ), and φ(N 2 ) are the SiH 4 , O 2 , and N 2 gas flows, respectively. The optical properties (infrared absorption and refractive index) and the density of paramagnetic defects were analyzed in dependence on the film composition. Single-phase homogeneous films were obtained at low SiH 4 partial pressure during deposition; while those samples deposited at high SiH 4 partial pressure show evidence of separation of two phases. The refractive index was controlled over the whole range between silicon nitride and silicon oxide, with values slightly lower than in stoichiometric films due to the incorporation of H, which results in a lower density of the films. The most important paramagnetic defects detected in the films were the K center and the E ' center. Defects related to N were also detected in some samples. The total density of defects in SiO x N y H z films was higher than in SiO 2 and lower than in silicon nitride films

  12. Characterization of 13 and 30 μm thick hydrogenated amorphous silicon diodes deposited over CMOS integrated circuits for particle detection application

    International Nuclear Information System (INIS)

    Despeisse, M.; Anelli, G.; Commichau, S.; Dissertori, G.; Garrigos, A.; Jarron, P.; Miazza, C.; Moraes, D.; Shah, A.; Wyrsch, N.; Viertel, G.

    2004-01-01

    We present the experimental results obtained with a novel monolithic silicon pixel detector which consists in depositing a n-i-p hydrogenated amorphous silicon (a-Si:H) diode straight above the readout ASIC (this technology is called Thin Film on ASIC, TFA). The characterization has been performed on 13 and 30 μm thick a-Si:H films deposited on top of an ASIC containing a linear array of high-speed low-noise transimpedance amplifiers designed in a 0.25 μm CMOS technology. Experimental results presented have been obtained with a 600 nm pulsed laser. The results of charge collection efficiency and charge collection speed of these structures are discussed

  13. Quantitative analysis of phosphosilicate glass films on silicon wafers for calibration of x-ray fluorescence spectrometry standards

    International Nuclear Information System (INIS)

    Weissman, S.H.

    1983-01-01

    The phosphorus and silicon contents of phosphosilicate glass films deposited by chemical vapor deposition (CVD) on silicon wafers were determined. These films were prepared for use as x-ray fluorescence (XRF) spectrometry standards. The thin films were removed from the wafer by etching with dilute hydrofluoric acid, and the P and Si concentrations in solution were determined by inductively coupled plasma atomic emission spectroscopy (ICP). The calculated phosphorus concentration ranged from 2.2 to 12 wt %, with an uncertainty of 2.73 to 10.1 relative percent. Variation between the calculated weight loss (summation of P 2 O 5 and SiO 2 amounts as determined by ICP) and the measured weight loss (determined gravimetrically) averaged 4.9%. Results from the ICP method, Fourier transform-infrared spectroscopy (FT-IR), dispersive infrared spectroscopy, electron microprobe, and x-ray fluorescence spectroscopy for the same samples are compared

  14. Observation of ultraslow stress release in silicon nitride films on CaF{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Tianyi [School of Biomedical Engineering, McMaster University, 1280 Main St W, Hamilton, Ontario L8S 4K1, Canada and Institute of Microelectronics, Chinese Academy of Science, Beijing 100029 (China); Deen, M. Jamal, E-mail: jamal@mcmaster.ca [Department of Electrical and Computer Engineering, McMaster University, 1280 Main St W, Hamilton, Ontario L8S 4K1, Canada and School of Biomedical Engineering, McMaster University, 1280 Main St W, Hamilton, Ontario L8S 4K1 (Canada); Xu, Changqing; Fang, Qiyin [Department of Engineering Physics, McMaster University, 1280 Main St W, Hamilton, Ontario L8S 4L7 (Canada); Selvaganapathy, P. Ravi [Department of Mechanical Engineering, McMaster University, 1280 Main St W, Hamilton, Ontario L8S 4L7 (Canada); Zhang, Haiying [Institute of Microelectronics, Chinese Academy of Science, Beijing 100029 (China)

    2015-07-15

    Silicon nitride thin films are deposited by plasma-enhanced chemical vapor deposition on (100) and (111) CaF{sub 2} crystalline substrates. Delaminated wavy buckles formed during the release of internal compressive stress in the films and the stress releasing processes are observed macroscopically and microscopically. The stress release patterns start from the substrate edges and propagate to the center along defined directions aligned with the crystallographic orientations of the substrate. The stress releasing velocity of SiN{sub x} film on (111) CaF{sub 2} is larger than that of SiN{sub x} film with the same thickness on (100) CaF{sub 2}. The velocities of SiN{sub x} film on both (100) and (111) CaF{sub 2} increase with the film thickness. The stress releasing process is initiated when the films are exposed to atmosphere, but it is not a chemical change from x-ray photoelectron spectroscopy.

  15. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  16. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  17. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    Science.gov (United States)

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  18. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  19. Self-assembled thin film of imidazolium ionic liquid on a silicon surface: Low friction and remarkable wear-resistivity

    International Nuclear Information System (INIS)

    Gusain, Rashi; Kokufu, Sho; Bakshi, Paramjeet S.; Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki; Khatri, Om P.

    2016-01-01

    Graphical abstract: - Highlights: • Ionic liquid thin film is deposited on a silicon surface via covalent interaction. • Chemical and morphological features of ionic liquid thin film are probed by XPS and AFM. • Ionic liquid thin film exhibited low and steady friction along with remarkable wear-resistivity. - Abstract: Imidazolium-hexafluorophosphate (ImPF_6) ionic liquid thin film is prepared on a silicon surface using 3-chloropropyltrimethoxysilane as a bifunctional chemical linker. XPS result revealed the covalent grafting of ImPF_6 thin film on a silicon surface. The atomic force microscopic images demonstrated that the ImPF_6 thin film is composed of nanoscopic pads/clusters with height of 3–7 nm. Microtribological properties in terms of coefficient of friction and wear-resistivity are probed at the mean Hertzian contact pressure of 0.35–0.6 GPa under the rotational sliding contact. The ImPF_6 thin film exhibited low and steady coefficient of friction (μ = 0.11) along with remarkable wear-resistivity to protect the underlying silicon substrate. The low shear strength of ImPF_6 thin film, the covalent interaction between ImPF_6 ionic liquid thin film and underlying silicon substrate, and its regular grafting collectively reduced the friction and improved the anti-wear property. The covalently grafted ionic liquid thin film further shows immense potential to expand the durability and lifetime of M/NEMS based devices with significant reduction of the friction.

  20. Self-assembled thin film of imidazolium ionic liquid on a silicon surface: Low friction and remarkable wear-resistivity

    Energy Technology Data Exchange (ETDEWEB)

    Gusain, Rashi [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Academy of Scientific and Innovative Research, New Delhi 110025 (India); Kokufu, Sho [Department of Materials Science and Engineering, Kyoto University, Kyoto 606-8501 (Japan); Bakshi, Paramjeet S. [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki [Department of Materials Science and Engineering, Kyoto University, Kyoto 606-8501 (Japan); Khatri, Om P., E-mail: opkhatri@iip.res.in [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Academy of Scientific and Innovative Research, New Delhi 110025 (India)

    2016-02-28

    Graphical abstract: - Highlights: • Ionic liquid thin film is deposited on a silicon surface via covalent interaction. • Chemical and morphological features of ionic liquid thin film are probed by XPS and AFM. • Ionic liquid thin film exhibited low and steady friction along with remarkable wear-resistivity. - Abstract: Imidazolium-hexafluorophosphate (ImPF{sub 6}) ionic liquid thin film is prepared on a silicon surface using 3-chloropropyltrimethoxysilane as a bifunctional chemical linker. XPS result revealed the covalent grafting of ImPF{sub 6} thin film on a silicon surface. The atomic force microscopic images demonstrated that the ImPF{sub 6} thin film is composed of nanoscopic pads/clusters with height of 3–7 nm. Microtribological properties in terms of coefficient of friction and wear-resistivity are probed at the mean Hertzian contact pressure of 0.35–0.6 GPa under the rotational sliding contact. The ImPF{sub 6} thin film exhibited low and steady coefficient of friction (μ = 0.11) along with remarkable wear-resistivity to protect the underlying silicon substrate. The low shear strength of ImPF{sub 6} thin film, the covalent interaction between ImPF{sub 6} ionic liquid thin film and underlying silicon substrate, and its regular grafting collectively reduced the friction and improved the anti-wear property. The covalently grafted ionic liquid thin film further shows immense potential to expand the durability and lifetime of M/NEMS based devices with significant reduction of the friction.

  1. Low temperature deposition: Properties of SiO{sub 2} films from TEOS and ozone by APCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Juarez, H; Diaz, T; Rosendo, E; Garcia, G; Mora, F; Escalante, G [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur and Av. San Claudio, San Manuel 72000, Puebla (Mexico); Pacio, M; GarcIa, A, E-mail: hjuarez@cs.buap.m [Ingenieria Electrica, Secciaan Electranica del Estado Salido, Centro de Investigacian y de Estudios Avanzados del I. P. N., Av. Instituto Politecnico Nacional 2508, San Pedro Zacatenco, 07360 Mexico, D. F. (Mexico)

    2009-05-01

    An Atmospheric Pressure Chemical Vapor Deposition (APCVD) system was implemented for SiO{sub 2} nanometric films deposition on silicon substrates. Tetraethoxysilane (TEOS) and ozone (O{sub 3}) were used and they were mixed into the APCVD system. The deposition temperatures were very low, from 125 to 250 {sup 0}C and the deposition time ranged from 1 to 15 minutes. The measured thicknesses from the deposited SiO{sub 2} films were between 5 and 300 nm. From the by Fourier-Transform Infrared (FTIR) spectra the typical absorption bands of the Si-O bond were observed and it was also observed a dependence on the vibrational modes corresponding to hydroxyl groups with the deposition temperature where the intensity of these vibrations can be related with the grade porosity grade of the films. Furthermore an analytical model has been evoked to determine the activation energy of the reactions in the surface and the gas phase in the deposit films process.

  2. Process control of high rate microcrystalline silicon based solar cell deposition by optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kilper, T.; Donker, M.N. van den; Carius, R.; Rech, B.; Braeuer, G.; Repmann, T.

    2008-01-01

    Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 x 30 cm 2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH * and H β emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH 4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control

  3. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  4. Pulsed laser deposited Pb(Zr,Ti)O3 thin films with excellent piezoelectric and mechanical properties

    NARCIS (Netherlands)

    Nazeer, H.; Nguyen, Duc Minh; Rijnders, Augustinus J.H.M.; Woldering, L.A.; Abelmann, Leon; Elwenspoek, Michael Curt

    We present for the first time the combined measured piezoelectric and mechanical properties of epitaxial, (110) oriented Pb(ZrxTi1-x) (PZT) thin films grown on microfabricated silicon cantilevers using pulsed laser deposition (PLD, x=0.4, 0.52, 0.6 and 0.8). The grown PZT thin films develop a strong

  5. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  6. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  7. Investigation of the optical property and structure of WO3 thin films with different sputtering depositions

    Science.gov (United States)

    Chen, Hsi-Chao; Jan, Der-Jun; Chen, Chien-Han; Huang, Kuo-Ting; Lo, Yen-Ming; Chen, Sheng-Hui

    2011-09-01

    The purpose of this research was to compare the optical properties and structure of tungsten oxide (WO3) thin films that was deposited by different sputtering depositions. WO3 thin films deposited by two different depositions of direct current (DC) magnetron sputtering and pulsed DC sputtering. A 99.95% WO3 target was used as the starting material for these depositions. These WO3 thin films were deposited on the ITO glass, PET and silicon substrate by different ratios of oxygen and argon. A shadow moiré interferometer would be introduced to measure the residual stress for PET substrate. RF magnetron sputtering had the large residual stress than the other's depositions. A Raman spectrum could exhibit the phase of oxidation of WO3 thin film by different depositions. At the ratio of oxygen and argon was about 1:1, and the WO3 thin films had the best oxidation. However, it was important at the change of the transmittance (ΔT = Tbleached - Tcolored) between the coloring and bleaching for the smart window. Therefore, we also found the WO3 thin films had the large variation of transmittance between the coloring and bleaching at the gas ratios of oxygen and argon of 1:1.

  8. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  9. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  10. PVD Silicon Carbide as a Thin Film Packaging Technology for Antennas on LCP Substrates for Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Stanton, John W.; Ponchak, George E.; Jordan, Jennifer L.; Zorman, Christian A.

    2010-01-01

    This paper describes an effort to develop a thin film packaging technology for microfabricated planar antennas on polymeric substrates based on silicon carbide (SiC) films deposited by physical vapor deposition (PVD). The antennas are coplanar waveguide fed dual frequency folded slot antennas fabricated on liquid crystal polymer (LCP) substrates. The PVD SiC thin films were deposited directly onto the antennas by RF sputtering at room temperature at a chamber pressure of 30 mTorr and a power level of 300 W. The SiC film thickness is 450 nm. The return loss and radiation patterns were measured before and after the SiC-coated antennas were submerged into perchloric acid for 1 hour. No degradation in RF performance or physical integrity of the antenna was observed.

  11. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  12. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  13. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  14. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  15. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  16. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  17. Characterization of hydrogenated amorphous silicon. Some behaviors of hydrogen and impurities studied by film characterization techniques

    Energy Technology Data Exchange (ETDEWEB)

    Imura, Takeshi; Kubota, Kazuyoshi; Ushita, Katsumi; Hiraki, Akio

    1980-06-01

    Rutherford backscattering spectrometry and infrared absorption measurement were applied to determine composition in hydrogenated amorphous silicon fabricated either by glow discharge in SiH/sub 4/ plus H/sub 2/ or by reactive sputtering in Ar containing H/sub 2/ in a tetrode or diode sputtering apparatus. The atomic density of Si, the content and depth distribution of H, and the amount of impurities such as Ar were studied for the films deposited under several conditions of substrate temperature and gas pressure and constitution. Some difference was clarified between glow-discharge and sputter deposited films.

  18. Controlled fluoridation of amorphous carbon films deposited at reactive plasma conditions

    Directory of Open Access Journals (Sweden)

    Yoffe Alexander

    2015-09-01

    Full Text Available A study of the correlations between plasma parameters, gas ratios, and deposited amorphous carbon film properties is presented. The injection of a C4F8/Ar/N2 mixture of gases was successfully used in an inductively coupled plasma system for the preparation of amorphous carbon films with different fluoride doping at room-temperature, using silicon as a substrate. This coating was formed at low-pressure and low-energy using an inductively coupled plasma process. A strong dependence between the ratios of gases during deposition and the composition of the substrate compounds was shown. The values of ratios between Ar (or Ar+N2 and C4F8 - 1:1 and between N2 and Ar - 1:2 in the N2/Ar/C4F8 mixture were found as the best for low fluoridated coatings. In addition, an example of improving the etch-passivation in the Bosch procedure was described. Scanning electron microscopy with energy dispersive spectroscopy options, X-ray diffraction, and X-ray reflectivity were used for quantitative analysis of the deposited films.

  19. Spin-on nanostructured silicon-silica film displaying room-temperature nanosecond lifetime photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, Y.; Hatton, B.; Miguez, H.; Coombs, N.; Fournier-Bidoz, S.; Ozin, G.A. [Materials Chemistry Research Group, Department of Chemistry, Lash Miller Chemical Laboratories, University of Toronto, 80 St. George Street, Toronto, Ontario, M5S 3H6 (Canada); Grey, J.K.; Beaulac, R.; Reber, C. [Department of Chemistry, University of Montreal, Montreal, Quebec H3C 3J7 (Canada)

    2003-04-17

    A yellow transparent mesoporous silica film has been achieved by the incorporation of silicon nanoclusters into its channels. The resulting nanocomposite - fabricated using a combination of evaporation induced self- assembly and chemical vapor deposition - emits light brightly at visible wavelengths and has nanosecond radiative lifetimes at room temperature when excited by ultraviolet light (see Figure). (Abstract Copyright [2003], Wiley Periodicals, Inc.)

  20. Low-temperature atomic layer deposition of MoO{sub x} for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Macco, B.; Vos, M.F.J.; Thissen, N.F.W.; Bol, A.A. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands); Kessels, W.M.M. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands); Solliance Solar Research, Eindhoven (Netherlands)

    2015-07-15

    The preparation of high-quality molybdenum oxide (MoO{sub x}) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 C. The films are amorphous, slightly substoichiometric with respect to MoO{sub 3}, and free of other elements apart from hydrogen (<11 at%). The films have a high transparency in the visible region and their compatibility with a-Si:H passivation schemes is demonstrated. It is discussed that these aspects, in conjunction with the low processing temperature and the ability to deposit very thin conformal films, make this ALD process promising for the future application of MoO{sub x} in hole-selective contacts for silicon heterojunction solar cells. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  2. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  3. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  4. EBSD analysis of polysilicon films formed by aluminium induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Tuezuen, O. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France)], E-mail: Ozge.Tuzun@iness.c-strasbourg.fr; Auger, J.M. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); SMS Centre, UMR CNRS 5146, Ecole des Mines de Saint Etienne, 158 Cours Fauriel, 42023 Saint Etienne Cedex 2 (France); Gordon, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Focsa, A.; Montgomery, P.C. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Maurice, C. [SMS Centre, UMR CNRS 5146, Ecole des Mines de Saint Etienne, 158 Cours Fauriel, 42023 Saint Etienne Cedex 2 (France); Slaoui, A. [InESS, UMR 7163 CNRS-ULP, 23 rue du Loess, F-67037 Strasbourg Cedex 2 (France); Beaucarne, G.; Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium)

    2008-08-30

    Among the methods for enlarging the grain size of polycrystalline silicon (poly-Si) thin films, aluminium induced crystallization (AIC) of amorphous silicon is considered to be a very promising approach. In the AIC process, a thin a-Si layer on top of an aluminium layer crystallizes at temperatures well below the eutectic temperature of the Al/Si system (T{sub eu} = 577 deg. C). By means of electron backscattering diffraction (EBSD), we have mainly studied the effect of the aluminium layer quality varying the deposition system on the grain size, the defects and the preferential crystallographic orientation. We have found a strong correlation between the mean grain size and the size distribution with the Al deposition system and the surface quality. Furthermore, we show for the first time that more than 50% of the surface of the AIC films grown on alumina substrates are (103) preferentially oriented, instead of the commonly observed (100) preferential orientation. This may have important consequences for epitaxial thickening of the AIC layer into polysilicon absorber layers for solar cells.

  5. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  6. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  7. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  8. Compositional dependence of the Young's modulus and piezoelectric coefficient of (110)-oriented pulsed laser deposited PZT thin films

    NARCIS (Netherlands)

    Nazeer, H.; Nguyen, Duc Minh; Rijnders, Augustinus J.H.M.; Sardan Sukas, Ö.; Abelmann, Leon; Elwenspoek, Michael Curt

    2014-01-01

    In this contribution, we report on the compositional dependence of the mechanical and piezoelectric properties of Pb(ZrₓTi₿₋ₓ)O₃ (PZT) thin films fabricated by pulsed laser deposition (PLD). These films grow epitaxially on silicon with a (110) preferred orientation and have excellent piezoelectric

  9. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  10. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  11. Infrared analysis of thin films amorphous, hydrogenated carbon on silicon

    CERN Document Server

    Jacob, W; Schwarz-Selinger, T

    2000-01-01

    The infrared analysis of thin films on a thick substrate is discussed using the example of plasma-deposited, amorphous, hydrogenated carbon layers (a-C:H) on silicon substrates. The framework for the optical analysis of thin films is presented. The main characteristic of thin film optics is the occurrence of interference effects due to the coherent superposition of light multiply reflected at the various internal and external interfaces of the optical system. These interference effects lead to a sinusoidal variation of the transmitted and reflected intensity. As a consequence, the Lambert-Beer law is not applicable for the determination of the absorption coefficient of thin films. Furthermore, observable changes of the transmission and reflection spectra occur in the vicinity of strong absorption bands due to the Kramers-Kronig relation. For a sound data evaluation these effects have to be included in the analysis. To be able to extract the full information contained in a measured optical thin film spectrum, ...

  12. Characterization of ethylcellulose and hydroxypropyl methylcellulose thin films deposited by matrix-assisted pulsed laser evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Palla-Papavlu, A., E-mail: apalla@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, PO Box MG-36, Magurele, RO-077125 Bucharest (Romania); Rusen, L.; Dinca, V.; Filipescu, M. [National Institute for Lasers, Plasma and Radiation Physics, PO Box MG-36, Magurele, RO-077125 Bucharest (Romania); Lippert, T. [Paul Scherrer Institut, General Energy Research Department, 5232 Villigen PSI (Switzerland); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, PO Box MG-36, Magurele, RO-077125 Bucharest (Romania)

    2014-05-01

    In this study is reported the deposition of hydroxypropyl methylcellulose (HPMC) and ethylcellulose (EC) by matrix-assisted pulsed laser evaporation (MAPLE). Both HPMC and EC were deposited on silicon substrates using a Nd:YAG laser (266 nm, 5 ns laser pulse and 10 Hz repetition rate) and then characterized by atomic force microscopy and Fourier transform infrared spectroscopy. It was found that for laser fluences up to 450 mJ/cm{sup 2} the structure of the deposited HPMC and EC polymer in the thin film resembles to the bulk. Morphological investigations reveal island features on the surface of the EC thin films, and pores onto the HPMC polymer films. The obtained results indicate that MAPLE may be an alternative technique for the fabrication of new systems with desired drug release profile.

  13. Characterization of ethylcellulose and hydroxypropyl methylcellulose thin films deposited by matrix-assisted pulsed laser evaporation

    Science.gov (United States)

    Palla-Papavlu, A.; Rusen, L.; Dinca, V.; Filipescu, M.; Lippert, T.; Dinescu, M.

    2014-05-01

    In this study is reported the deposition of hydroxypropyl methylcellulose (HPMC) and ethylcellulose (EC) by matrix-assisted pulsed laser evaporation (MAPLE). Both HPMC and EC were deposited on silicon substrates using a Nd:YAG laser (266 nm, 5 ns laser pulse and 10 Hz repetition rate) and then characterized by atomic force microscopy and Fourier transform infrared spectroscopy. It was found that for laser fluences up to 450 mJ/cm2 the structure of the deposited HPMC and EC polymer in the thin film resembles to the bulk. Morphological investigations reveal island features on the surface of the EC thin films, and pores onto the HPMC polymer films. The obtained results indicate that MAPLE may be an alternative technique for the fabrication of new systems with desired drug release profile.

  14. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  15. Post-deposition thermal annealing studies of hydrogenated microcrystalline silicon deposited at 40 deg. C

    International Nuclear Information System (INIS)

    Bronsveld, P.C.P.; Wagt, H.J. van der; Rath, J.K.; Schropp, R.E.I.; Beyer, W.

    2007-01-01

    Post-deposition thermal annealing studies, including gas effusion measurements, measurements of infrared absorption versus annealing state, cross-sectional transmission electron microscopy (X-TEM) and atomic force microscopy (AFM), are used for structural characterization of hydrogenated amorphous and microcrystalline silicon films, prepared by very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) at low substrate temperature (T S ). Such films are of interest for application in thin semiconductor devices deposited on cheap plastics. For T S ∼ 40 deg. C, H-evolution shows rather complicated spectra for (near-) microcrystalline material, with hydrogen effusion maxima seen at ∼ 200-250 deg. C, 380 deg. C and ∼ 450-500 deg. C, while for the amorphous material typical spectra for good-quality dense material are found. Effusion experiments of implanted He demonstrate for the microcrystalline material the presence of a rather open (void-rich) structure. A similar tendency can be concluded from Ne effusion experiments. Fourier Transform infrared (FTIR) spectra of stepwise annealed samples show Si-H bond rupture already at annealing temperatures of 150 deg. C. Combined AFM/X-TEM studies reveal a columnar microstructure for all of these (near-) microcrystalline materials, of which the open structure is the most probable explanation of the shift of the H-effusion maximum in (near-) microcrystalline material to lower temperature

  16. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  17. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  18. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  19. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  20. Ultrashort Pulsed Laser Ablation of Magnesium Diboride: Plasma Characterization and Thin Films Deposition

    Directory of Open Access Journals (Sweden)

    Angela De Bonis

    2015-01-01

    Full Text Available A MgB2 target has been ablated by Nd:glass laser with a pulse duration of 250 fs. The plasma produced by the laser-target interaction, showing two temporal separated emissions, has been characterized by time and space resolved optical emission spectroscopy and ICCD fast imaging. The films, deposited on silicon substrates and formed by the coalescence of particles with nanometric size, have been analyzed by scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, micro-Raman spectroscopy, and X-ray diffraction. The first steps of the films growth have been studied by Transmission Electron Microscopy. The films deposition has been studied by varying the substrate temperature from 25 to 500°C and the best results have been obtained at room temperature.

  1. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  2. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  3. Optical Properties of a-SiC:H Films Deposited by Glowdischarge Methods

    Directory of Open Access Journals (Sweden)

    Lusitra Munisa

    2003-12-01

    Full Text Available he optical properties of amorphous silicon carbon films deposited by glowdischarge method have been studied using ultra violet-visible (uv-vis spectroscopy. The refractive index was calculated by Swanepoel’s formula using transmission data then followed by numerical simulation. The films density tends to decrease with increasing carbon content. The widening of the optical gap by increasing carbon content indicates the enhancement of film’s transparence. Both real and imaginary parts of the dielectric constant show variation in magnitude as the carbon content increase.

  4. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    International Nuclear Information System (INIS)

    Chen Yong; Luo Guanghong; Diao Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-01-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3xω Nd:YAG laser in air, SF 6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ∼2 μm in SF 6 gas and to ∼5 μm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (∼10x) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits

  5. Temperature dependence of coercivity behavior in iron films on silicone oil surfaces

    International Nuclear Information System (INIS)

    Xu Xiaojun; Ye Quanlin; Ye Gaoxiang

    2007-01-01

    A new iron film system, deposited on silicone oil surfaces by vapor phase deposition method, has been fabricated and its microstructure as well as magnetic properties has been studied. It is found that the temperature dependence of the coercive field H c (T) of the films exhibits a peak around a critical temperature T crit =10-15 K: for the temperature T crit ,H c (T) increases with the temperature; if T>T crit , however, it decreases rapidly and then approaches a steady value as T further increases. Our study shows that, for T>T crit , the observed coercivity behavior is mainly dominated by the effect of the non-uniform single-domain particle size distribution, and for T crit , the anomalous coercivity behavior may be resulted from the surface anisotropy, the surface effect and the characteristic internal stress distribution in the films. The influence of the shape and size of the particles on the thermal dependence of the magnetization is also investigated

  6. Ionizing Energy Depositions After Fast Neutron Interactions in Silicon

    CERN Document Server

    Bergmann, Benedikt; Caicedo, Ivan; Kierstead, James; Takai, Helio; Frojdh, Erik

    2016-01-01

    In this study we present the ionizing energy depositions in a 300 μm thick silicon layer after fast neutron impact. With the Time-of-Flight (ToF) technique, the ionizing energy deposition spectra of recoil silicons and secondary charged particles were assigned to (quasi-)monoenergetic neutron energies in the range from 180 keV to hundreds of MeV. We show and interpret representative measured energy spectra. By separating the ionizing energy losses of the recoil silicon from energy depositions by products of nuclear reactions, the competition of ionizing (IEL) and non-ionizing energy losses (NIEL) of a recoil silicon within the silicon lattice was investigated. The data give supplementary information to the results of a previous measurement and are compared with different theoretical predictions.

  7. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  8. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  9. Effect of deposition and annealing conditions on the optical properties of amorphous silicon

    International Nuclear Information System (INIS)

    Mashin, A.I.; Ershov, A.V.; Khokhlov, D.A.

    1998-01-01

    The spectral characteristics of the refractive index and the extinction coefficient in the range 0.6-2.0 eV for amorphous silicon films prepared by electron-beam evaporation with variation of the substrate temperature, deposition rate, and annealing temperature in air are presented. The results obtained are discussed on the basis of the changes in the Penn gap energy as a function of the indicated preparation and treatment conditions

  10. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  11. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  12. Hydrogen in hydrogenated amorphous silicon thick film and its relation to the photoresponse of the film in contact with molybdenum

    International Nuclear Information System (INIS)

    Sridhar, N.; Chung, D.D.L.

    1992-01-01

    This paper reports that hydrogenated amorphous silicon films of thickness 0.5-7 μm on molybdenum substrates were deposited from silane by dc glow discharge and studied by mass spectrometric observation of the evolution of hydrogen upon heating and correlating this information with the photoresponse. The films were found to contain two types of hydrogen, namely weak bonded hydrogen, which evolved at 365 degrees C and was the minority, and strongly bonded hydrogen, which evolved at 460-670 degrees C and was the majority. The proportion of strongly bonded hydrogen increased with increasing film thickness and with increasing substrate temperature during deposition. The total amount of hydrogen increased when the substrate temperature was decreased from 350 to 275 degrees C. The strongly bonded hydrogen resided throughout the thickness of the film, whereas the weakly bonded hydrogen resided near the film surface. The evolution of the strongly bonded hydrogen was diffusion controlled, with an activation energy of 1.6 eV. The strongly bonded hydrogen enhanced the photoresponse, whereas the weakly bonded hydrogen degraded the photoresponse

  13. Amorphous silicon ionizing particle detectors

    Science.gov (United States)

    Street, Robert A.; Mendez, Victor P.; Kaplan, Selig N.

    1988-01-01

    Amorphous silicon ionizing particle detectors having a hydrogenated amorphous silicon (a--Si:H) thin film deposited via plasma assisted chemical vapor deposition techniques are utilized to detect the presence, position and counting of high energy ionizing particles, such as electrons, x-rays, alpha particles, beta particles and gamma radiation.

  14. Insight into excimer laser crystallization exploiting ellipsometry: Effect of silicon film precursor

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M.; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Mariucci, Luigi; Fortunato, Guglielmo [IFN-CNR, Via Cineto Romano, 42 - 00156 Rome (Italy)

    2007-07-16

    The optical diagnostic of spectroscopic ellipsometry is shown to be an effective tool to investigate the mechanism of excimer laser crystallization (ELC) of silicon thin films. A detailed spectroscopic ellipsometric investigation of the microstructures of polycrystalline Si films obtained on SiO{sub 2}/Si wafers by ELC of a-Si:H and nc-Si films deposited, respectively, by SiH{sub 4} plasma enhanced chemical vapor deposition (PECVD) and SiF{sub 4}-PECVD is presented. It is shown that ellipsometric spectra of the pseudodielectric function of polysilicon thin films allows to discern the three different ELC regimes of partial melting, super lateral growth and complete melting. Exploiting ellipsometry and atomic force microscopy, it is shown that ELC of nc-Si has very low energy density threshold of 95 mJ/cm{sup 2} for complete melting, and that re-crystallization to large grains of {approx} 2 {mu}m can be achieved by multi-shot irradiation at an energy density as low as 260 mJ/cm{sup 2} when using nc-Si when compared to 340 mJ/cm{sup 2} for the ELC of a-Si films.

  15. Investigating the chemical mist deposition technique for poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) on textured crystalline-silicon for organic/crystalline-silicon heterojunction solar cells

    Science.gov (United States)

    Hossain, Jaker; Ohki, Tatsuya; Ichikawa, Koki; Fujiyama, Kazuhiko; Ueno, Keiji; Fujii, Yasuhiko; Hanajiri, Tatsuro; Shirai, Hajime

    2016-03-01

    Chemical mist deposition (CMD) of poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) was investigated in terms of cavitation frequency f, solvent, flow rate of nitrogen, substrate temperature Ts, and substrate dc bias Vs as variables for efficient PEDOT:PSS/crystalline silicon (c-Si) heterojunction solar cells. The high-speed-camera and differential mobility analysis characterizations revealed that the average size and flux of PEDOT:PSS mist depend on f, type of solvent, and Vs. Film deposition occurred when positive Vs was applied to the c-Si substrate at Ts of 30-40 °C, whereas no deposition of films occurred with negative Vs, implying that the film is deposited mainly from negatively charged mist. The uniform deposition of PEDOT:PSS films occurred on textured c-Si(100) substrates by adjusting Ts and Vs. The adhesion of CMD PEDOT:PSS film to c-Si was greatly enhanced by applying substrate dc bias Vs compared with that of spin-coated film. The CMD PEDOT:PSS/c-Si heterojunction solar cell devices on textured c-Si(100) in 2 × 2 cm2 exhibited a power conversion efficiency η of 11.0% with better uniformity of the solar cell parameters. Furthermore, η was increased to 12.5% by adding an AR coating layer of molybdenum oxide MoOx formed by CMD. These findings suggest that CMD with negatively charged mist has great potential for the uniform deposition of organic and inorganic materials on textured c-Si substrates by suitably adjusting Ts and Vs.

  16. Reactive radio frequency sputtering deposition and characterization of zinc nitride and oxynitride thin films

    International Nuclear Information System (INIS)

    Jiang, Nanke; Georgiev, Daniel G.; Wen, Ting; Jayatissa, Ahalapitiya H.

    2012-01-01

    Zinc nitride films were deposited on glass or silicon substrates by reactive magnetron radio frequency sputtering of zinc in either N 2 –Ar or N 2 –Ar–O 2 ambient. The effects of varying the nitrogen contents and the substrate temperature were investigated. X-ray diffraction data showed that the as-deposited films contain the zinc nitride cubic crystalline phase with a preferred orientation, and Raman scattering measurements revealed Zn-N related modes. According to energy-dispersive X-ray spectroscopy analysis, the as-deposited films were nitrogen-rich and contained only a small fraction of oxygen. Hall-effect measurements showed that p-type zinc nitride with carrier concentration of ∼ 10 19 cm −3 , mobility of ∼ 10 1 cm 2 /Vs, resistivity of ∼ 10 −2 Ω ∗ cm, was obtained. The photon energy dependence of optical transmittance suggested that the material has an indirect bandgap.

  17. Pulsed-laser deposition of smooth thin films of Er, Pr and Nd doped glasses

    Energy Technology Data Exchange (ETDEWEB)

    Epurescu, G. [National Institute for Laser, Plasma and Radiation Physics, Atomistilor 409, P.O. Box MG 16, RO- 77125, Bucharest-Magurele (Romania)], E-mail: george@nipne.ro; Vlad, A. [National Institute for Laser, Plasma and Radiation Physics, Atomistilor 409, P.O. Box MG 16, RO- 77125, Bucharest-Magurele (Romania); Institut fuer Angewandte Physik, Johannes-Kepler-Universitaet Linz, A-4040 Linz (Austria); Bodea, M.A. [Institut fuer Angewandte Physik, Johannes-Kepler-Universitaet Linz, A-4040 Linz (Austria); Vasiliu, C. [National Institute for Optoelectronics INOE 2000, Atomistilor 1, P.O. Box MG 05, 077125 Bucharest-Magurele (Romania); Dumitrescu, O. [University Politehnica of Bucharest, Faculty of Industrial Chemistry, Science and Engineering of Oxide Materials Department, Polizu Str. 1, sect. 1, Bucharest (Romania); Niciu, H. [National Institute of Glass, Department for Laser Glass Technology, 47 Th. Pallady Str., Sect.3, Bucharest (Romania); Elisa, M. [National Institute for Optoelectronics INOE 2000, Atomistilor 1, P.O. Box MG 05, 077125 Bucharest-Magurele (Romania); Siraj, K.; Pedarnig, J.D.; Baeuerle, D. [Institut fuer Angewandte Physik, Johannes-Kepler-Universitaet Linz, A-4040 Linz (Austria); Filipescu, M.; Nedelcea, A. [National Institute for Laser, Plasma and Radiation Physics, Atomistilor 409, P.O. Box MG 16, RO- 77125, Bucharest-Magurele (Romania); Galca, A.C. [National Institute of Materials Physics, Atomistilor 105bis, P.O. Box MG 07, RO- 77125, Magurele (Romania); Grigorescu, C.E.A. [National Institute for Optoelectronics INOE 2000, Atomistilor 1, P.O. Box MG 05, 077125 Bucharest-Magurele (Romania); Dinescu, M. [National Institute for Laser, Plasma and Radiation Physics, Atomistilor 409, P.O. Box MG 16, RO- 77125, Bucharest-Magurele (Romania)

    2009-03-01

    Thin films of complex oxides have been obtained by pulsed-laser deposition (PLD) from glass targets belonging to the system Li{sub 2}O-Al{sub 2}O{sub 3}-P{sub 2}O{sub 5}-(RE){sub 2}O{sub 3}, with RE = Nd, Pr, Er. The films were deposited on quartz, silicon and ITO/glass substrates using a F{sub 2} laser ({lambda} = 157 nm, {iota} {approx} 20 ns) for ablation in vacuum. The structural, morphological and optical properties of the oxide films were investigated through IR and UV-VIS spectroscopy, Atomic Force Microscopy (AFM), Scanning Electron Microscopy, Energy Dispersive X-ray Spectroscopy (SEM-EDX) and Spectroscopic Ellipsometry. The laser wavelength was found to be the key parameter to obtain thin films with very smooth surface. In this way new possibilities are opened to grow multilayer structures for photonic applications.

  18. Influence of substrate bias voltage on structure and properties of the CrAlN films deposited by unbalanced magnetron sputtering

    Science.gov (United States)

    Lv, Yanhong; Ji, Li; Liu, Xiaohong; Li, Hongxuan; Zhou, Huidi; Chen, Jianmin

    2012-02-01

    The CrAlN films were deposited on silicon and stainless steel substrates by unbalanced magnetron sputtering system. The influence of substrate bias on deposition rate, composition, structure, morphology and properties of the CrAlN films was investigated. The results showed that, with the increase of the substrate bias voltage, the deposition rate decreased accompanied by a change of the preferred orientation of the CrAlN film from (2 2 0) to (2 0 0). The grain size and the average surface roughness of the CrAlN films declined as the bias voltage increases above -100 V. The morphology of the films changed from obviously columnar to dense glass-like structure with the increase of the bias voltage from -50 to -250 V. Meanwhile, the films deposited at moderate bias voltage had better mechanical and tribological properties, while the films deposited at higher bias voltage showed better corrosion resistance. It was found that the corrosion resistance improvement was not only attributed to the low pinhole density of the film, but also to chemical composition of films.

  19. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    International Nuclear Information System (INIS)

    Lin, Jianliang; Chistyakov, Roman

    2017-01-01

    Highlights: • Highly orientated AlN films were deposited by DOMS technique. • Controlled ion flux bombardment improved the texture and crystalline quality. • Excessive ion bombardment showed a detrimental effect on the c-axis orientation growth. • Improved c-axis alignment accompanied with stress relaxation with increasing film thickness. - Abstract: Highly c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm"−"2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm"−"2 improved the orientation. Further increasing the peak target current density to above 0.53 Acm"−"2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  20. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Jianliang, E-mail: Jianliang.lin@swri.org [Southwest Research Institute, San Antonio, TX 78238 (United States); Chistyakov, Roman [Zpulser LLC, Mansfield, MA 02048 (United States)

    2017-02-28

    Highlights: • Highly <0001> orientated AlN films were deposited by DOMS technique. • Controlled ion flux bombardment improved the <0001> texture and crystalline quality. • Excessive ion bombardment showed a detrimental effect on the c-axis orientation growth. • Improved c-axis alignment accompanied with stress relaxation with increasing film thickness. - Abstract: Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm{sup −2}) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm{sup −2} improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm{sup −2} showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  1. Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Guy Beaucarne

    2007-01-01

    with plasma-enhanced chemical vapor deposition (PECVD. In spite of the fundamental limitation of this material due to its disorder and metastability, the technology is now gaining industrial momentum thanks to the entry of equipment manufacturers with experience with large-area PECVD. Microcrystalline Si (also called nanocrystalline Si is a material with crystallites in the nanometer range in an amorphous matrix, and which contains less defects than amorphous silicon. Its lower bandgap makes it particularly appropriate as active material for the bottom cell in tandem and triple junction devices. The combination of an amorphous silicon top cell and a microcrystalline bottom cell has yielded promising results, but much work is needed to implement it on large-area and to limit light-induced degradation. Finally thin-film polysilicon solar cells, with grain size in the micrometer range, has recently emerged as an alternative photovoltaic technology. The layers have a grain size ranging from 1 μm to several tens of microns, and are formed at a temperature ranging from 600 to more than 1000∘C. Solid Phase Crystallization has yielded the best results so far but there has recently been fast progress with seed layer approaches, particularly those using the aluminum-induced crystallization technique.

  2. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    Science.gov (United States)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing; Diao, Dongfeng

    2016-07-01

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  3. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  4. Experimental study on surface wrinkling of silicon monoxide film on compliant substrate under thermally induced loads

    Science.gov (United States)

    Li, Chuanwei; Kong, Yingxiao; Jiang, Wenchong; Wang, Zhiyong; Li, Linan; Wang, Shibin

    2017-06-01

    The wrinkling of a silicon monoxide thin film on a compliant poly(dimethylsiloxane) (PDMS) substrate structure was experimentally investigated in this study. The self-expansion effect of PDMS during film deposition was utilized to impose a pretensile strain on the structure through a specially made fixture. A laser scanning confocal microscope (LSCM) system with an in situ heating stage was employed for the real-time measurement. The Young’s modulus of the silicon monoxide thin film as well as the PDMS substrate was measured on the basis of the elasticity theory. Moreover, the effects of temperature variations on geometric parameters in the postbuckling state, such as wavelength and amplitude, were analyzed. It was proved that wavelength is relatively immune to thermal loads, while amplitude is much more sensitive.

  5. Optical Properties Dependence with Gas Pressure in AlN Films Deposited by Pulsed Laser Ablation

    International Nuclear Information System (INIS)

    Perez, J A; Riascos, H; Caicedo, J C; Cabrera, G; Yate, L

    2011-01-01

    AlN films were deposited by pulsed laser deposition technique (PLD) using an Nd: YAG laser (λ = 1064 nm). The films were deposited in a nitrogen atmosphere as working gas; the target was an aluminum high purity (99.99%). The films were deposited with a laser fluence of 7 J/cm2 for 10 minutes on silicon (100) substrates. The substrate temperature was 300 deg. C and the working pressure was varied from 3 mtorr to 11 mtorr. The thickness measured by profilometer was 150 nm for all films. The crystallinity was observed via XRD pattern, the morphology and composition of the films were studied using scanning electron microscopy (SEM) and Energy Dispersive X-ray analysis (EDX), respectively. The optical reflectance spectra and color coordinates of the films were obtained by optical spectral reflectometry technique in the range of 400 cm-1- 900 cm-1 by an Ocean Optics 2000 spectrophotometer. In this work, a clear dependence of the reflectance, dominant wavelength and color purity was found in terms of the applied pressure to the AlN films. A reduction in reflectance of about 55% when the pressure was increased from 3 mtorr to 11 mtorr was observed. This paper deals with the formation of AlN thin films as promising materials for the integration of SAW devices on Si substrates due to their good piezoelectric properties and the possibility of deposition at low temperature compatible with the manufacturing of Si integrated circuits.

  6. Optical Properties Dependence with Gas Pressure in AlN Films Deposited by Pulsed Laser Ablation

    Energy Technology Data Exchange (ETDEWEB)

    Perez, J A; Riascos, H [Departamento de Fisica, Universidad Tecnologica de Pereira, Grupo plasma Laser y Aplicaciones A.A 097 (Colombia); Caicedo, J C [Grupo pelIculas delgadas, Universidad del Valle, Cali (Colombia); Cabrera, G; Yate, L, E-mail: jcaicedoangulo@gmail.com [Department de Fisica Aplicada i Optica, Universitat de Barcelona, Catalunya (Spain)

    2011-01-01

    AlN films were deposited by pulsed laser deposition technique (PLD) using an Nd: YAG laser ({lambda} = 1064 nm). The films were deposited in a nitrogen atmosphere as working gas; the target was an aluminum high purity (99.99%). The films were deposited with a laser fluence of 7 J/cm2 for 10 minutes on silicon (100) substrates. The substrate temperature was 300 deg. C and the working pressure was varied from 3 mtorr to 11 mtorr. The thickness measured by profilometer was 150 nm for all films. The crystallinity was observed via XRD pattern, the morphology and composition of the films were studied using scanning electron microscopy (SEM) and Energy Dispersive X-ray analysis (EDX), respectively. The optical reflectance spectra and color coordinates of the films were obtained by optical spectral reflectometry technique in the range of 400 cm-1- 900 cm-1 by an Ocean Optics 2000 spectrophotometer. In this work, a clear dependence of the reflectance, dominant wavelength and color purity was found in terms of the applied pressure to the AlN films. A reduction in reflectance of about 55% when the pressure was increased from 3 mtorr to 11 mtorr was observed. This paper deals with the formation of AlN thin films as promising materials for the integration of SAW devices on Si substrates due to their good piezoelectric properties and the possibility of deposition at low temperature compatible with the manufacturing of Si integrated circuits.

  7. Hydrogenated amorphous silicon thin film anode for proton conducting batteries

    Science.gov (United States)

    Meng, Tiejun; Young, Kwo; Beglau, David; Yan, Shuli; Zeng, Peng; Cheng, Mark Ming-Cheng

    2016-01-01

    Hydrogenated amorphous Si (a-Si:H) thin films deposited by chemical vapor deposition were used as anode in a non-conventional nickel metal hydride battery using a proton-conducting ionic liquid based non-aqueous electrolyte instead of alkaline solution for the first time, which showed a high specific discharge capacity of 1418 mAh g-1 for the 38th cycle and retained 707 mAh g-1 after 500 cycles. A maximum discharge capacity of 3635 mAh g-1 was obtained at a lower discharge rate, 510 mA g-1. This electrochemical discharge capacity is equivalent to about 3.8 hydrogen atoms stored in each silicon atom. Cyclic voltammogram showed an improved stability 300 mV below the hydrogen evolution potential. Both Raman spectroscopy and Fourier transform infrared spectroscopy studies showed no difference to the pre-existing covalent Si-H bond after electrochemical cycling and charging, indicating a non-covalent nature of the Si-H bonding contributing to the reversible hydrogen storage of the current material. Another a-Si:H thin film was prepared by an rf-sputtering deposition followed by an ex-situ hydrogenation, which showed a discharge capacity of 2377 mAh g-1.

  8. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  9. Seedless electroplating on patterned silicon

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    2006-01-01

    Nickel thin films have been electrodeposited without the use of an additional seed layer, on highly doped silicon wafers. These substrates conduct sufficiently well to allow deposition using a peripherical electrical contact on the wafer. Films 2 μm thick have been deposited using a nickel sulfamate

  10. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  11. Reflectance improvement by thermal annealing of sputtered Ag/ZnO back reflectors in a-Si:H thin film silicon solar cells

    DEFF Research Database (Denmark)

    Haug, Franz-Josef; Söderström, Karin; Pahud, Céline

    2011-01-01

    Silver can be used as the back contact and reflector in thin film silicon solar cells. When deposited on textured substrates, silver films often exhibit reduced reflectance due to absorption losses by the excitation of surface plasmon resonances. We show that thermal annealing of the silver back...

  12. Highly doped ZnO films deposited by spray-pyrolysis. Design parameters for optoelectronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Garcés, F.A., E-mail: felipe.garces@santafe-conicet.gov.ar [Instituto de Física del Litoral (UNL-CONICET), Güemes 3450, Santa Fe S3000GLN (Argentina); Budini, N. [Instituto de Física del Litoral (UNL-CONICET), Güemes 3450, Santa Fe S3000GLN (Argentina); Schmidt, J.A.; Arce, R.D. [Instituto de Física del Litoral (UNL-CONICET), Güemes 3450, Santa Fe S3000GLN (Argentina); Facultad de Ingeniería Química, Universidad Nacional del Litoral, Santiago del Estero 2829, Santa Fe S3000AOM (Argentina)

    2016-04-30

    Synthesis and preparation of ZnO films are relevant subjects for obtaining transparent and conducting layers with interesting applications in optoelectronics and photovoltaics. Optimization of parameters such as dopant type and concentration, deposition time and substrate temperature is important for obtaining ZnO layers with optimal properties. In this work we present a study about the induced effects of deposition time on optical and electrical properties of ZnO thin films. These films were deposited by spray pyrolysis of a suitable Zn precursor, obtained through the sol–gel method. The deposition time has direct incidence on internal stress in the crystal structure, generating defects that may affect transparency and electrical transport into the layers. We performed mosaicity measurements, through X-ray diffraction, and used it as a tool to get an insight on structural characteristics and homogeneity of ZnO layers. Also, through this technique, we analyzed thickness and doping effects on crystallinity and carrier transport properties. - Highlights: • Al-doped ZnO films with high conductivity and moderate Hall mobility were obtained. • Mosaicity between crystalline domains increased with film thickness. • Lattice parameters a and c diminished linearly as a function of Al concentration. • First steps for developing porous silicon/doped ZnO heterojunctions were presented.

  13. Highly doped ZnO films deposited by spray-pyrolysis. Design parameters for optoelectronic applications

    International Nuclear Information System (INIS)

    Garcés, F.A.; Budini, N.; Schmidt, J.A.; Arce, R.D.

    2016-01-01

    Synthesis and preparation of ZnO films are relevant subjects for obtaining transparent and conducting layers with interesting applications in optoelectronics and photovoltaics. Optimization of parameters such as dopant type and concentration, deposition time and substrate temperature is important for obtaining ZnO layers with optimal properties. In this work we present a study about the induced effects of deposition time on optical and electrical properties of ZnO thin films. These films were deposited by spray pyrolysis of a suitable Zn precursor, obtained through the sol–gel method. The deposition time has direct incidence on internal stress in the crystal structure, generating defects that may affect transparency and electrical transport into the layers. We performed mosaicity measurements, through X-ray diffraction, and used it as a tool to get an insight on structural characteristics and homogeneity of ZnO layers. Also, through this technique, we analyzed thickness and doping effects on crystallinity and carrier transport properties. - Highlights: • Al-doped ZnO films with high conductivity and moderate Hall mobility were obtained. • Mosaicity between crystalline domains increased with film thickness. • Lattice parameters a and c diminished linearly as a function of Al concentration. • First steps for developing porous silicon/doped ZnO heterojunctions were presented.

  14. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    Science.gov (United States)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  15. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse

    International Nuclear Information System (INIS)

    Yoo, Jae-Hyuck; Zheng, Cheng; Grigoropoulos, Costas P; In, Jung Bin; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim

    2015-01-01

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures. (paper)

  16. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse.

    Science.gov (United States)

    Yoo, Jae-Hyuck; In, Jung Bin; Zheng, Cheng; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim; Grigoropoulos, Costas P

    2015-04-24

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures.

  17. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  18. Effective optimization of surface passivation on porous silicon carbide using atomic layer deposited Al2O3

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2017-01-01

    Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...

  19. Carrier mobilities in microcrystalline silicon films

    International Nuclear Information System (INIS)

    Bronger, T.; Carius, R.

    2007-01-01

    For a better understanding of electronic transport mechanisms in thin-film silicon solar cell quality films, we have investigated the Hall mobility for electrons in microcrystalline/amorphous silicon over a range of crystallinities and doping concentrations. We find that Hall mobility increases with increasing doping concentration in accordance with earlier measurements. With increasing amorphous fraction, the measured mobility decreases suggesting a negative influence of the additional disorder. The results suggest a differential mobility model in which mobility depends on the energy level of the carriers that contribute to the electrical current

  20. Monitoring of the morphologic reconstruction of deposited ablation products in laser irradiation of silicon

    Directory of Open Access Journals (Sweden)

    Vlasova M.

    2008-01-01

    Full Text Available Using electron microscopy, atomic force microscopy, X-ray microanalysis, and IR spectroscopy, it was established that, in the regime of continuous laser irradiation of silicon at P = 170 W in different gaseous atmospheres with an oxygen impurity, SiOx composite films with a complex morphology form. The main components of ablation products are clusters that form during flight of ablation products and as a result of separation of SiOx-clusters from the zone of the irradiation channel. The roughness and density of the films depend on the heating temperature of the target surface and the type of deposited clusters.

  1. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  2. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  3. Effect of Deposition Rate on Structure and Surface Morphology of Thin Evaporated Al Films on Dielectrics and Semiconductors

    DEFF Research Database (Denmark)

    Bordo, K.; Rubahn, H. G.

    2012-01-01

    Aluminum (Al) films with thickness of 100 nm were grown on unheated glass, silicon and mica substrates by electron beam evaporation. The deposition rates were adjusted in the range between 0.1 nm/s and 2 nm/s, the pressure in the vacuum chamber during deposition was lower than 1.10(-3) Pa. The st...

  4. Crystallization of silicon films of submicron thickness by blue-multi-laser-diode annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mugiraneza, Jean de Dieu; Shirai, Katsuya; Suzuki, Toshiharu; Okada, Tatsuya; Noguchi, Takashi [University of the Ryukyus, Okinawa (Japan); Matsushima, Hideki; Hashimoto, Takao; Ogino, Yoshiaki; Sahota, Eiji [Hitachi Computer Peripherals Co. Ltd, Kanagawa (Japan)

    2012-01-15

    Blue-Multi-Laser-Diode Annealing (BLDA) was performed in the continuous wave (CW) mode on Si films as thick as 0.5 {mu}m and 1 {mu}m deposited by rf sputtering. As a result of controlling the laser power from 4.0 to 4.8 W, a whole Si layer of 0.5 {mu}m in thickness was completely crystallized and consisted of a columnar structure of fine grains beneath a partially melted Si surface owing to the high temperature gradient along the depth in the Si layer. After additional hydrogenation in a furnace ambient, the ratio of the photo/dark current under AM 1.5 illumination distinctly improved to 6 times higher than that of as-deposited condition. The BLDA is expected to be applied to thin-film solar cells and/or to thin film transistor (TFT) photo-sensor systems on panels as a new low-temperature poly-silicon (LTPS) fabrication technique.

  5. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  6. Deposition of thin layers of boron nitrides and hydrogenated microcrystalline silicon assisted by high current direct current arc plasma; Deposition assistee par un plasma a arc a haut courant continu de couches minces de Nitrure de Bore et de Silicium microcristallin hydrogene

    Energy Technology Data Exchange (ETDEWEB)

    Franz, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    1999-09-01

    In the frame of this thesis, a high current direct current arc (HCDCA) used for the industrial deposition of diamond, has been adapted to study the deposition of two types of coatings: a) boron nitride, whose cubic phase is similar to diamond, for tribological applications, b) hydrogenated microcrystalline silicon, for applications in the semiconductor fields (flat panel displays, solar cells,...). For the deposition of these coatings, the substrates were placed in the diffusion region of the arc. The substrate heating is mainly due to atomic species recombining on its surface. The deposition temperature, varying from 300 to 900 {sup o}C according to the films deposited, is determined by the substrate position, the arc power and the injected gas fluxes, without the use of any external heating or cooling system. Measurements performed on the arc plasma show that the electronic temperature is around 2 eV (23'000 K) while the gas temperature is lower than 5500 K. Typical electronic densities are in the range of 10{sup 12}-10{sup 1'}3 cm{sup -3}. For the deposition of boron nitride films, different boron precursors were used and a wide parameter range was investigated. The extreme difficulty of synthesising cubic boron nitride films by chemical vapour deposition (CVD) did not allow to stabilize the cubic phase of boron nitride in HCDCA. Coatings resulted in hexagonal or amorphous boron nitride with a chemical composition close to stoichiometric. The presence of hydrogen leads to the deposition of rough and porous films. Negative biasing of the samples, for positive ion bombardment, is commonly used to stabilize the cubic phase. In HCDCA and in our biasing range, only a densification of the films could be observed. A boron nitride deposition plasma study by infrared absorption spectroscopy in a capacitive radio frequency reactor has demonstrated the usefulness of this diagnostic for the understanding of the various chemical reactions which occur in this kind

  7. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing [Key Laboratory of Education Ministry for Modern Design and Rotor-Bearing System, School of Mechanical Engineering, Xi' an Jiaotong University, Xi' an 710049 (China); Diao, Dongfeng, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-07-18

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  8. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    International Nuclear Information System (INIS)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing; Diao, Dongfeng

    2016-01-01

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  9. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  10. Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

    NARCIS (Netherlands)

    Doeswijk, L.M.; de Moor, Hugo H.C.; Rogalla, Horst; Blank, David H.A.

    2002-01-01

    Since most commercially available solar cells are still made from silicon, we are exploring the introduction of passivating qualities in oxides, with the potential to serve as an antireflection coating. Pulsed laser deposition (PLD) was used to deposit TiO2 and SrTiO3 coatings on silicon substrates.

  11. Biochemical analyses of lipids deposited on silicone hydrogel lenses

    Directory of Open Access Journals (Sweden)

    Shin Hatou

    2010-07-01

    Conclusions: The quantity of total lipid and cholesterol deposited on the 3 silicone hydrogel lenses tested did not differ. However, there were significant differences in the amounts of phospholipid deposited among the 3 silicone hydrogel lenses, of which clinical significance should be explored in the future study.

  12. Hydrogenated amorphous silicon p-i-n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M. A.; van Swaaij, R.; R. van de Sanden,; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200 degrees C and growth rates of about 1?nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with

  13. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  14. Effect of working pressure on corrosion behavior of nitrogen doped diamond-like carbon thin films deposited by DC magnetron sputtering.

    Science.gov (United States)

    Khun, N W; Liu, E

    2011-06-01

    Nitrogen doped diamond-like carbon thin films were deposited on highly conductive p-silicon(100) substrates using a DC magnetron sputtering deposition system by varying working pressure in the deposition chamber. The bonding structure, adhesion strength, surface roughness and corrosion behavior of the films were investigated by using X-ray photoelectron spectroscopy, micro-Raman spectroscopy, micro-scratch test, atomic force microscopy and potentiodynamic polarization test. A 0.6 M NaCl electrolytic solution was used for the corrosion tests. The optimum corrosion resistance of the films was found at a working pressure of 7 mTorr at which a good balance between the kinetics of the sputtered ions and the surface mobility of the adatoms promoted a microstructure of the films with fewer porosities.

  15. Silicon-to-silicon wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Weichel, Steen; Reus, Roger De; Lindahl, M.

    1998-01-01

    Anodic bending of silicon to silicon 4-in. wafers using an electron-beam evaporated glass (Schott 8329) was performed successfully in air at temperatures ranging from 200 degrees C to 450 degrees C. The composition of the deposited glass is enriched in sodium as compared to the target material....... The roughness of the as-deposited films was below 5 nm and was found to be unchanged by annealing at 500 degrees C for 1 h in air. No change in the macroscopic edge profiles of the glass film was found as a function of annealing; however, small extrusions appear when annealing above 450 degrees C. Annealing...... of silicon/glass structures in air around 340 degrees C for 15 min leads to stress-free structures. Bonded wafer pairs, however, show no reduction in stress and always exhibit compressive stress. The bond yield is larger than 95% for bonding temperatures around 350 degrees C and is above 80% for bonding...

  16. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  17. Biocompatibility of Hydrogen-Diluted Amorphous Silicon Carbide Thin Films for Artificial Heart Valve Coating

    Science.gov (United States)

    Rizal, Umesh; Swain, Bhabani S.; Rameshbabu, N.; Swain, Bibhu P.

    2018-01-01

    Amorphous silicon carbide (a-SiC:H) thin films were synthesized using trichloromethylsilane by a hot wire chemical vapor deposition process. The deposited films were characterized by Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, x-ray diffraction and x-ray photoelectron spectroscopy to confirm its chemical bonding, structural network and composition of the a-SiC:H films. The optical microscopy images reveal that hydrogen dilution increased the surface roughness and pore density of a-SiC:H thin film. The Raman spectroscopy and FTIR spectra reveal chemical network consisting of Si-Si, C-C and Si-C bonds, respectively. The XRD spectroscopy and Raman spectroscopy indicate a-SiC:H still has short-range order. In addition, in vitro cytotoxicity test ensures the behavior of cell-semiconductor hybrid to monitor the proper coordination. The live-dead assays and MTT assay reveal an increase in green nucleus cell, and cell viability is greater than 88%, respectively, showing non-toxic nature of prepared a-SiC:H film. Moreover, the result indicated by direct contact assay, and cell prefers to adhere and proliferate on a-SiC:H thin films having a positive effect as artificial heart valve coating material.

  18. Growth of LiMn{sub 2}O{sub 4} thin films by pulsed-laser deposition and their electrochemical properties in lithium microbatteries

    Energy Technology Data Exchange (ETDEWEB)

    Julien, C. [Univ. Pierre et Marie Curie, Paris (France). LMDH; Haro-Poniatowski, E. [Laboratorio de Optica Cuantica, Universidad Autonoma Metropolitana Iztapalapa, Apdo. Postal 55-534, Mexico (Mexico); Camacho-Lopez, M.A. [LMDH, UMR 7603, Universite Pierre et Marie Curie, 4 place Jussieu, 75252, Paris (France); Escobar-Alarcon, L. [Departamento de Fisica, Instituto Nacional de Investigaciones Nucleares, Apdo. Postal 18-1027, Mexico (Mexico); Jimenez-Jarquin, J. [Laboratorio de Optica Cuantica, Universidad Autonoma Metropolitana Iztapalapa, Apdo. Postal 55-534, Mexico (Mexico)

    2000-03-01

    Films of LiMn{sub 2}O{sub 4} were grown by pulsed-laser deposition (PLD) onto silicon wafers using sintered targets which consisted in the mixture of LiMn{sub 2}O{sub 4} and Li{sub 2}O powders. The film formation has been studied as a function of the preparation conditions, i.e. composition of the target, substrate temperature, and oxygen partial pressure in the deposition chamber. Composition, morphology and structural properties of PLD films have been investigated using Rutherford backscattering spectroscopy, scanning electron microscopy, X-ray diffraction and Raman scattering spectroscopy. The films deposited from target LiMn{sub 2}O{sub 4}+15% Li{sub 2}O have an excellent crystallinity when deposited onto silicon substrate maintained at 300 C in an oxygen partial pressure of 100 mTorr. It is found that such a film crystallizes in the spinel structure (Fd3m symmetry) as evidenced by X-ray diffraction. Well-textured polycrystalline films exhibit crystallite size of 300 nm. Pulsed-laser deposited LiMn{sub 2}O{sub 4} thin films obtained with a polycrystalline morphology were successfully used as cathode materials in lithium microbatteries. The Li//LiMn{sub 2}O{sub 4} thin film cells have been tested by cyclic voltammetry and galvanostatic charge-discharge techniques in the potential range 3.0-4.2 V. Specific capacity as high as 120 mC/cm{sup 2} {mu}m was measured on polycrystalline films. The chemical diffusion coefficients for the Li{sub x}Mn{sub 2}O{sub 4} thin films appear to be in the range of 10{sup -11}-10{sup -12} cm{sup 2}/s. Electrochemical measurements show a good cycleability of PLD films when cells are charged-discharged at current densities of 5-25 {mu}A/cm{sup 2}. (orig.)

  19. Electrical properties of multilayer (DLC-TiC) films produced by pulsed laser deposition

    Science.gov (United States)

    Alawajji, Raad A.; Kannarpady, Ganesh K.; Nima, Zeid A.; Kelly, Nigel; Watanabe, Fumiya; Biris, Alexandru S.

    2018-04-01

    In this work, pulsed laser deposition was used to produce a multilayer diamond like carbon (ML (DLC-TiC)) thin film. The ML (DLC-TiC) films were deposited on Si (100) and glass substrates at various substrate temperatures in the range of 20-450 °C. Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and atomic force microscopy were utilized to characterize the prepared films. Raman analysis revealed that as the substrate temperature increased, the G-peak position shifted to a higher raman shift and the full width at half maximum of the G and D bands decreased. XPS analysis indicated a decrease in sp3/sp2 ratio and an increase in Ti-C bond intensity when the substrate temperature was increased. Additionally, the surface roughness of ML (DLC-TiC) filmswas affected by the type and temperature of the substrate. The electrical measurement results indicated that the electrical resistivity of the ML (DLC-TiC) film deposited on Si and glass substrates showed the same behavior-the resistivity decreased when substrate temperature increased. Furthermore, the ML (DLC-TiC) films deposited on silicon showed lower electrical resistivity, dropping from 8.39E-4 Ω-cm to 5.00E-4 Ω-cm, and, similarly, the films on the glass substrate displayed a drop in electrical resistivity from 1.8E-2 Ω-cm to 1.2E-3 Ω-cm. These enhanced electrical properties indicate that the ML (DLC-TiC) films have widespread potential as transducers for biosensors in biological research; electrochemical electrodes, because these films can be chemically modified; biocompatible coatings for medicals tools; and more.

  20. Formation and dielectric properties of polyelectrolyte multilayers studied by a silicon-on-insulator based thin film resistor.

    Science.gov (United States)

    Neff, Petra A; Wunderlich, Bernhard K; Klitzing, Regine V; Bausch, Andreas R

    2007-03-27

    The formation of polyelectrolyte multilayers (PEMs) is investigated using a silicon-on-insulator based thin film resistor which is sensitive to variations of the surface potential. The buildup of the PEMs at the silicon oxide surface of the device can be observed in real time as defined potential shifts. The influence of polymer charge density is studied using the strong polyanion poly(styrene sulfonate), PSS, combined with the statistical copolymer poly(diallyl-dimethyl-ammoniumchloride-stat-N-methyl-N-vinylacetamide), P(DADMAC-stat-NMVA), at various degrees of charge (DC). The multilayer formation stops after a few deposition steps for a DC below 75%. We show that the threshold of surface charge compensation corresponds to the threshold of multilayer formation. However, no reversion of the preceding surface charge was observed. Screening of polyelectrolyte charges by mobile ions within the polymer film leads to a decrease of the potential shifts with the number of layers deposited. This decrease is much slower for PEMs consisting of P(DADMAC-stat-NMVA) and PSS as compared to PEMs consisting of poly(allylamine-hydrochloride), PAH, and PSS. From this, significant differences in the dielectric constants of the polyelectrolyte films and in the concentration of mobile ions within the films can be derived.

  1. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  2. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  3. Crystallization of Electrodeposited Germanium Thin Film on Silicon (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Matsumura, Ryo; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Muta, Shunpei; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2013-11-06

    We report the crystallization of electrodeposited germanium (Ge) thin films on n-silicon (Si) (100) by rapid melting process. The electrodeposition was carried out in germanium (IV) chloride: propylene glycol (GeCl₄:C₃H₈O₂) electrolyte with constant current of 50 mA for 30 min. The measured Raman spectra and electron backscattering diffraction (EBSD) images show that the as-deposited Ge thin film was amorphous. The crystallization of deposited Ge was achieved by rapid thermal annealing (RTA) at 980 °C for 1 s. The EBSD images confirm that the orientations of the annealed Ge are similar to that of the Si substrate. The highly intense peak of Raman spectra at 300 cm -1 corresponding to Ge-Ge vibration mode was observed, indicating good crystal quality of Ge. An additional sub peak near to 390 cm -1 corresponding to the Si-Ge vibration mode was also observed, indicating the Ge-Si mixing at Ge/Si interface. Auger electron spectroscopy (AES) reveals that the intermixing depth was around 60 nm. The calculated Si fraction from Raman spectra was found to be in good agreement with the value estimated from Ge-Si equilibrium phase diagram. The proposed technique is expected to be an effective way to crystallize Ge films for various device applications as well as to create strain at the Ge-Si interface for enhancement of mobility.

  4. Hydrogenated amorphous silicon sensors based on thin film on ASIC technology

    CERN Document Server

    Despeisse, M; Anelli, G; Jarron, P; Kaplon, J; Rusack, R; Saramad, S; Wyrsch, N

    2006-01-01

    The performance and limitations of a novel detector technology based on the deposition of a thin-film sensor on top of processed integrated circuits have been studied. Hydrogenated amorphous silicon (a-Si:H) films have been deposited on top of CMOS circuits developed for these studies and the resulting "thin-film on ASIC" (TFA) detectors are presented. The leakage current of the a-Si:H sensor at high reverse biases turns out to be an important parameter limiting the performance of a TFA detector. Its detailed study and the pixel segmentation of the detector are presented. High internal electric fields (in the order of 10/sup 4/-10/sup 5/ V/cm) can be built in the a-Si:H sensor and overcome the low mobility of electrons and holes in a-Si:H. Signal induction by generated carrier motion and speed in the a-Si:H sensor have been studied with a 660 nm pulsed laser on a TFA detector based on an ASIC integrating 5 ns peaking time pre- amplifiers. The measurement set-up also permits to study the depletion of the senso...

  5. Influence of annealing temperature on the structural, mechanical and wetting property of TiO2 films deposited by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Pradhan, Swati S.; Sahoo, Sambita; Pradhan, S.K.

    2010-01-01

    TiO 2 films have been deposited on silicon substrates by radio frequency magnetron sputtering of a pure Ti target in Ar/O 2 plasma. The TiO 2 films deposited at room temperature were annealed for 1 h at different temperatures ranging from 400 o C to 800 o C. The structural, morphological, mechanical properties and the wetting behavior of the as deposited and annealed films were obtained using Raman spectroscopy, atomic force microscopy, transmission electron microscopy, nanoindentation and water contact angle (CA) measurements. The as deposited films were amorphous, and the Raman results showed that anatase phase crystallization was initiated at annealing temperature close to 400 o C. The film annealed at 400 o C showed higher hardness than the film annealed at 600 o C. In addition, the wettability of film surface was enhanced with an increase in annealing temperature from 400 o C to 800 o C, as revealed by a decrease in water CA from 87 o to 50 o . Moreover, the water CA of the films obtained before and after UV light irradiation revealed that the annealed films remained more hydrophilic than the as deposited film after irradiation.

  6. The multilayered structure of ultrathin amorphous carbon films synthesized by filtered cathodic vacuum arc deposition

    KAUST Repository

    Wang, Na

    2013-08-01

    The structure of ultrathin amorphous carbon (a-C) films synthesized by filtered cathodic vacuum arc (FCVA) deposition was investigated by high-resolution transmission electron microscopy, electron energy loss spectroscopy, and x-ray photoelectron spectroscopy. Results of the plasmon excitation energy shift and through-thickness elemental concentration show a multilayered a-C film structure comprising an interface layer consisting of C, Si, and, possibly, SiC, a buffer layer with continuously increasing sp 3 fraction, a relatively thicker layer (bulk film) of constant sp 3 content, and an ultrathin surface layer rich in sp 2 hybridization. A detailed study of the C K-edge spectrum indicates that the buffer layer between the interface layer and the bulk film is due to the partial backscattering of C+ ions interacting with the heavy atoms of the silicon substrate. The results of this study provide insight into the minimum thickness of a-C films deposited by FCVA under optimum substrate bias conditions. Copyright © 2013 Materials Research Society.

  7. Electrochromic properties of bipolar pulsed magnetron sputter deposited tungsten–molybdenum oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tai-Nan [Chemical Engineering Division, Institute of Nuclear Energy Research, Taoyuan 325, Taiwan, ROC (China); Lin, Yi Han; Lee, Chin Tan [Department of Electronic Engineering, National Quemoy University, Kinmen 892, Taiwan, ROC (China); Han, Sheng [Center of General Education, National Taichung Institute of Technology, Taichung 404, Taiwan, ROC (China); Weng, Ko-Wei, E-mail: kowei@nqu.edu.tw [Department of Electronic Engineering, National Quemoy University, Kinmen 892, Taiwan, ROC (China)

    2015-06-01

    There are great interests in electrochromic technology for smart windows and displays over past decades. In this study, the WMoO{sub x} thin films were deposited onto indium tin oxide glass and silicon substrates by pulsed magnetron sputter system with W and Mo targets. The films were deposited with fixed W target power while the variant parameter of Mo target power in the range 50, 100, 150 and 200 W was investigated. The working pressure was fixed at 1.33 Pa with a gas mixture of Ar (30 sccm) and O{sub 2} (15 sccm). The film thickness increased with the Mo target power. Higher plasma power resulted in a crystalline structure which would reduce the electrochromic property of the film. The influence of plasma powers applied to Mo target on the structural, optical and electrochromic properties of the WMoO{sub x} thin films has been investigated. WMoO{sub x} films grown at Mo target powers less than 100 W were found to be amorphous. The films deposited at 150 W, which is the optimal fabrication condition, exhibit better electrochromic properties with high optical modulation, high coloration efficiency and less color memory effect at wavelength 400, 550 and 800 nm. The improvement resulted from the effect of doping Mo has been tested. The maximum ΔT (%) values are 36.6% at 400 nm, 65.6% at 550 nm, and 66.6% at 800 nm for pure WO{sub 3} film. The addition of Mo content in the WMoO{sub x} films provides better resistance to the short wavelength light source and can be used in the concerned application. - Highlights: • WMoO{sub x} films are deposited by pulsed magnetron sputter with pure W and Mo targets. • Mo addition in WMoO{sub x} provides better resistance to short wavelength light source. • WMoO{sub x} films exhibit electrochemical stability in the cycling test.

  8. Hydrogenated amorphous silicon p–i–n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M.A.; Swaaij, van R.A.C.M.M.; Sanden, van de M.C.M.; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200¿°C and growth rates of about 1¿nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with increasing

  9. Enhanced photocurrent in thin-film amorphous silicon solar cells via shape controlled three-dimensional nanostructures

    International Nuclear Information System (INIS)

    Hilali, Mohamed M; Banerjee, Sanjay; Sreenivasan, S V; Yang Shuqiang; Miller, Mike; Xu, Frank

    2012-01-01

    In this paper, we have explored manufacturable approaches to sub-wavelength controlled three-dimensional (3D) nano-patterns with the goal of significantly enhancing the photocurrent in amorphous silicon solar cells. Here we demonstrate efficiency enhancement of about 50% over typical flat a-Si thin-film solar cells, and report an enhancement of 20% in optical absorption over Asahi textured glass by fabricating sub-wavelength nano-patterned a-Si on glass substrates. External quantum efficiency showed superior results for the 3D nano-patterned thin-film solar cells due to enhancement of broadband optical absorption. The results further indicate that this enhanced light trapping is achieved with minimal parasitic absorption losses in the deposited transparent conductive oxide for the nano-patterned substrate thin-film amorphous silicon solar cell configuration. Optical simulations are in good agreement with experimental results, and also show a significant enhancement in optical absorption, quantum efficiency and photocurrent. (paper)

  10. Electroless deposition of Ni-P on a silicon surface

    Directory of Open Access Journals (Sweden)

    hassan El Grini

    2017-06-01

    Full Text Available The present article concerns the metallization of silicon substrates by deposition of the nickel-phosphorus alloy produced by an autocatalytic chemical process. The deposition electrolyte is composed of a metal salt, a reducing agent (sodium hypophosphite, a complexing agent (sodium citrate and a buffer (ammonium acetate. The deposition could only be carried out after activation of the silicon by fixing catalytic species on its surface. The immersion of the silicon samples in palladium chloride made it possible to produce relatively thick and regular Ni-P coatings. The immersion time was optimized. The activation of Si was characterized by XPS and the Ni-P coating by XPS and M.E.B. The electrochemical study did not show any real mechanism changes compared to the Ni-P deposition on a conductive surface. 

  11. Film thickness determining method of the silicon isotope superlattices by SIMS

    International Nuclear Information System (INIS)

    Takano, Akio; Shimizu, Yasuo; Itoh, Kohei M.

    2008-01-01

    It is becoming important to evaluate silicon self-diffusion with progress of a silicon semiconductor industry. In order to evaluate the self-diffusion of silicon, silicon isotope superlattices (SLs) is the only marker. For this reason, it is important to correctly evaluate a film thickness and a depth distribution of isotope SLs by secondary ion mass spectrometry (SIMS). As for film thickness, it is difficult to estimate the thicknesses correctly if the cycles of SLs are short. In this work, first, we report the determination of the film thickness for short-period SLs using mixing roughness-information (MRI) analysis to SIMS profile. Next, the uncertainty of the conventional method to determine the film thicknesses of SLs is determined. It was found that the conventional methods cannot correctly determine film thickness of short-period-isotope SLs where film thickness differs for every layer

  12. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  13. Deposition of mullite and mullite-like coatings on silicon carbide by dual-source metal plasma immersion. Topical report, October 1995--September 1996

    Energy Technology Data Exchange (ETDEWEB)

    Brown, I.G.; Monteiro, O.R. [Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    Mullite and mullite-like coatings on silicon carbide have been produced by a Metal Plasma Immersion Ion Implantation and Deposition (Mepiiid) technique based on two cathodic vacuum arc sources and concurrent pulse biasing of the substrate in an oxygen atmosphere. The deposition was carried out at oxygen partial pressures of between 0.66 and 3.33 Pa. The Al:Si ratio in the films varied from 1:1 to 8:1 and was controlled by varying the pulse duration of the separate plasma guns. High bias voltage was used early in the deposition process in order to produce atomic mixing at the film-substrate interface, while lower bias voltage was used later in the deposition; low ion energy allows control of the physical properties of the film as well as faster deposition rates. The as-deposited films were amorphous, and crystalline mullite was formed by subsequent annealing at 1,100 C for 2 hours in air. Strong adhesion between the mullite and the SiC was achieved, in some cases exceeding the 70 MPa instrumental limit of the pull-tester.

  14. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Science.gov (United States)

    Wang, Fang-Hsing; Kuo, Hsin-Hui; Yang, Cheng-Fu; Liu, Min-Chu

    2014-01-01

    In this study, silicon nitride (SiNx) thin films were deposited on polyimide (PI) substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD) system. The gallium-doped zinc oxide (GZO) thin films were deposited on PI and SiNx/PI substrates at room temperature (RT), 100 and 200 °C by radio frequency (RF) magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si) thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI. PMID:28788494

  15. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Fang-Hsing Wang

    2014-02-01

    Full Text Available In this study, silicon nitride (SiNx thin films were deposited on polyimide (PI substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD system. The gallium-doped zinc oxide (GZO thin films were deposited on PI and SiNx/PI substrates at room temperature (RT, 100 and 200 °C by radio frequency (RF magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~1000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI.

  16. Low-density silicon thin films for lithium-ion battery anodes

    Energy Technology Data Exchange (ETDEWEB)

    Demirkan, M.T., E-mail: tmdemirkan@ualr.edu [Department of Physics and Astronomy, University of Arkansas at Little Rock, Little Rock, AR 72204 (United States); Department of Materials Science and Engineering, Gebze Technical University, Kocaeli (Turkey); Trahey, L. [Chemical Sciences and Engineering Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Karabacak, T. [Department of Physics and Astronomy, University of Arkansas at Little Rock, Little Rock, AR 72204 (United States)

    2016-02-01

    Density of sputter deposited silicon (Si) thin films was changed by a simple working gas pressure control process, and its effects on the cycling performance of Si films in Li-ion batteries as anodes was investigated. Higher gas pressure results in reduced film densities due to a shadowing effect originating from lower mean free path of sputter atoms, which leads to a wider angular distribution of the incoming flux and formation of a porous film microstructure. Si thin film anodes of different densities ranging from 2.27 g/cm{sup 3} (film porosity ~ 3%) down to 1.64 g/cm{sup 3} (~ 30% porosity) were fabricated by magnetron sputtering at argon pressures varying from 0.2 Pa to 2.6 Pa, respectively. High density Si thin film anodes of 2.27 g/cm{sup 3} suffered from an unstable cycling behavior during charging/discharging depicted by a continuous reduction in specific down to ~ 830 mAh/g at the 100th cycle. Electrochemical properties of lower density films with 1.99 g/cm{sup 3} (~ 15% porosity) and 1.77 g/cm{sup 3} (~ 24% porosity) got worse resulting in only ~ 100 mAh/g capacity at 100th cycle. On the other hand, as the density of anode was further reduced down to about 1.64 g/cm{sup 3} (~ 30% porosity), cycling stability and capacity retention significantly improved resulting in specific capacity values ~ 650 mAh/g at 100th cycle with coulombic efficiencies of > 98%. Enhancement in our low density Si film anodes are believed to mainly originate from the availability of voids for volumetric expansion during lithiation and resulting compliant behavior that provides superior mechanical and electrochemical stability. - Highlights: • Low density Si thin films were studied as Li-ion battery anodes. • Low density Si films were fabricated by magnetron sputter deposition. • Density of Si films reduced down to as low as ~ 1.64 g/cm{sup 3} with a porosity of ~ 30% • Low density Si films presented superior mechanical properties during cycling.

  17. Functionalized porphyrin conjugate thin films deposited by matrix assisted pulsed laser evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Iordache, S. [University of Bucharest, 3Nano-SAE Research Center, PO Box MG-38, Bucharest-Magurele (Romania); Cristescu, R., E-mail: rodica.cristescu@inflpr.ro [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, P.O. Box MG-36, Bucharest-Magurele (Romania); Popescu, A.C.; Popescu, C.E.; Dorcioman, G.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, P.O. Box MG-36, Bucharest-Magurele (Romania); Ciucu, A.A. [University of Bucharest, Faculty of Chemistry, Bucharest (Romania); Balan, A.; Stamatin, I. [University of Bucharest, 3Nano-SAE Research Center, PO Box MG-38, Bucharest-Magurele (Romania); Fagadar-Cosma, E. [Institute of Chemistry Timisoara of Romanian Academy, M. Viteazul Ave. 24, 300223-Timisoara (Romania); Chrisey, D.B. [Tulane University, Departments of Physics and Biomedical Engineering, New Orleans, LA 70118 (United States)

    2013-08-01

    We report on the deposition of nanostructured porphyrin-base, 5(4-carboxyphenyl)-10,15,20-tris(4-phenoxyphenyl)-porphyrin thin films by matrix assisted pulsed laser evaporation onto silicon substrates with screen-printed electrodes. AFM investigations have shown that at 400 mJ/cm{sup 2} fluence a topographical transition takes place from the platelet-like stacking porphyrin-based nanostructures in a perpendicular arrangement to a quasi-parallel one both relative to the substrate surface. Raman spectroscopy has shown that the chemical structure of the deposited thin films is preserved for fluences within the range of 200–300 mJ/cm{sup 2}. Cyclic voltammograms have demonstrated that the free porphyrin is appropriate as a single mediator for glucose in a specific case of screen-printed electrodes, suggesting potential for designing a new class of biosensors.

  18. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  19. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  20. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  1. Structural and electrical properties of room temperature pulsed laser deposited and post-annealed thin SrRuO3 films

    International Nuclear Information System (INIS)

    Gautreau, O.; Harnagea, C.; Normandin, F.; Veres, T.; Pignolet, A.

    2007-01-01

    Good quality strontium ruthenate (SrRuO 3 ) thin continuous films (15 to 125 nm thick) have been synthesized on silicon (100) substrates by room temperature pulsed laser deposition under vacuum followed by a post-deposition annealing, a route unexplored and yet not reported for SrRuO 3 film growth. The presence of an interfacial Sr 2 SiO 4 layer has been identified for films annealed at high temperature, and the properties of this interface layer as well as the properties of the SrRuO 3 film have been analyzed and characterized as a function of the annealing temperature. The room temperature resistivity of the SrRuO 3 films deposited by laser ablation at room temperature and post-annealed is 2000 μΩ.cm. A critical thickness of 120 nm has been determined above which the influence of the interface layer on the resistivity becomes negligible

  2. Simple Mathematical Models of High Energy Ion Beam Assisted Deposition Concentration Profiles in Binary Thin Films

    Czech Academy of Sciences Publication Activity Database

    Černý, F.; Konvičková, S.; Jech, V.; Hnatowicz, Vladimír

    2011-01-01

    Roč. 11, č. 10 (2011), s. 8936-8942 ISSN 1533-4880 R&D Projects: GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : SILICON-NITRIDE FILMS * ENHANCED DEPOSITION * IBAD-PROCESS Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.563, year: 2011

  3. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  4. Buffer layers for growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x films on silicon

    CERN Document Server

    Razumov, S V

    2001-01-01

    The results of the studies on the structural characteristics of the SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 buffer layers, obtained through the ion-plasma spraying on the silicon substrates, are presented. It is shown that the phase composition and internal stresses in the films are strongly dependent on the deposition temperature. The technological conditions of growth of primarily oriented SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 films are dortmund. The structural quality of the obtained buffer films is sufficient for further growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x high-quality films on the silicon substrates

  5. Method of preparing high-temperature-stable thin-film resistors

    Science.gov (United States)

    Raymond, L.S.

    1980-11-12

    A chemical vapor deposition method for manufacturing tungsten-silicide thin-film resistors of predetermined bulk resistivity and temperature coefficient of resistance (TCR) is disclosed. Gaseous compounds of tungsten and silicon are decomposed on a hot substrate to deposit a thin-film of tungsten-silicide. The TCR of the film is determined by the crystallinity of the grain structure, which is controlled by the temperature of deposition and the tungsten to silicon ratio. The bulk resistivity is determined by the tungsten to silicon ratio. Manipulation of the fabrication parameters allows for sensitive control of the properties of the resistor.

  6. Method of preparing high-temperature-stable thin-film resistors

    International Nuclear Information System (INIS)

    Raymond, L.S.

    1983-01-01

    A chemical vapor deposition method is disclosed for manufacturing tungsten-silicide thin-film resistors of predetermined bulk resistivity and temperature coefficient of resistance (TCR). Gaseous compounds of tungsten and silicon are decomposed on a hot substrate to deposit a thin-film of tungsten-silicide. The TCR of the film is determined by the crystallinity of the grain structure, which is controlled by the temperature of deposition and the tungsten to silicon ratio. The bulk resistivity is determined by the tungsten to silicon ratio. Manipulation of the fabrication parameters allows for sensitive control of the properties of the resistor

  7. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-02-01

    Ba0.7Sr0.3TiO3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 °C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (˜0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness.

  8. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 deg. C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (∼0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness

  9. Radical species involved in hotwire (catalytic) deposition of hydrogenated amorphous silicon

    International Nuclear Information System (INIS)

    Zheng Wengang; Gallagher, Alan

    2008-01-01

    Threshold ionization mass spectroscopy is used to measure the radicals that cause deposition of hydrogenated amorphous silicon by 'hotwire' (HW), or 'catalytic,' chemical vapor deposition. We provide the probability of silane (SiH 4 ) decomposition on the HW, and of Si and H release from the HW. The depositing radicals, and H atoms, are measured versus conditions to obtain their radical-silane reaction rates and contributions to film growth. A 0.01-3 Pa range of silane pressures and 1400-2400 K range of HW temperatures were studied, encompassing optimum device production conditions. Si 2 H 2 is the primary depositing radical under optimum conditions, accompanied by a few percent of Si atoms and a lot of H-atom reactions. Negligible SiH n radical production is observed and only a small flux of disilane is produced, but at the higher pressures some Si 3 H n is observed. A Si-SiH 4 reaction rate coefficient of 1.65 * 10 -11 cm 3 /s and a H + SiH 4 reaction rate coefficient of 5 * 10 -14 cm 3 /s are measured

  10. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  11. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  12. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Energy Technology Data Exchange (ETDEWEB)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, Minden 11800 Penang (Malaysia)

    2015-04-24

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×10{sup 16} atoms/cm{sup 3}) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  13. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Science.gov (United States)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z.

    2015-04-01

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×1016 atoms/cm3) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  14. Electric and Magnetic Properties of Sputter Deposited BiFeO3 Films

    Directory of Open Access Journals (Sweden)

    N. Siadou

    2013-01-01

    Full Text Available Polycrystalline BiFeO3 films have been magnetron sputter deposited at room temperature and subsequently heat-treated ex situ at temperatures between 400 and 700°C. The deposition was done in pure Ar atmosphere, as the use of oxygen-argon mixture was found to lead to nonstoichiometric films due to resputtering effects. At a target-to-substrate distance d=2′′ the BiFeO3 structure can be obtained in larger range process gas pressures (2–7 mTorr but the films do not show a specific texture. At d=6′′ codeposition from BiFeO3 and Bi2O3 has been used. Films sputtered at low rate tend to grow with the (001 texture of the pseudo-cubic BiFeO3 structure. As the film structure does not depend on epitaxy similar results are obtained on different substrates. A result of the volatility of Bi, Bi rich oxide phases occur after heat treatment at high temperatures. A Bi2SiO5 impurity phase forms on the substrate side, and does not affect the properties of the main phase. Despite the deposition on amorphous silicon oxide substrate weak ferromagnetism phenomena and displaced loops have been observed at low temperatures showing that their origin is not strain. Ba, La, Ca, and Sr doping suppress the formation of impurity phases and leakage currents.

  15. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  16. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  17. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    Science.gov (United States)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  18. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  19. Microstructure evolution in pulsed laser deposited epitaxial Ge-Sb-Te chalcogenide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ross, Ulrich; Lotnyk, Andriy, E-mail: andriy.lotnyk@iom-leipzig.de; Thelander, Erik; Rauschenbach, Bernd

    2016-08-15

    The thin film deposition and structure of highly oriented telluride compounds is of particular interest for phase-change applications in next-generation non-volatile memory such as heterostructure designs, as well as for the investigation of novel optical, thermoelectric and ferroelectric properties in layered telluride compounds. In this work, epitaxial Ge-Sb-Te thin films were successfully produced by pulsed laser deposition on silicon with and without amorphous SiO{sub x} interlayer at elevated process temperatures from a Ge{sub 2}Sb{sub 2}Te{sub 5} target. Aberration-corrected high-resolution scanning transmission electron microscopy (STEM) imaging reveals a distinct interface configuration of the trigonal phase connected by a quasi van der Waals gap (vacancy) to the Sb/Te-passivated single crystalline Si substrate, yet also an intermediate textured growth regime in which the substrate symmetry is only weakly coupled to the thin film orientation, as well as strong deviation of composition at high deposition temperatures. Textured growth of Ge-Sb-Te thin film was also observed on SiO{sub x}/Si substrate with no evidence of an intermediate Sb/Te surface layer on top of an SiO{sub x} layer. In addition, particular defect structures formed by local reorganization of the stacking sequence across the vacancy gap are observed and appear to be intrinsic to these van der Waals-layered compounds. Theoretical image simulations of preferred stacking sequences can be matched to individual building blocks in the Ge-Sb-Te grain. - Highlights: • Atomic-resolution Cs-corrected STEM imaging of PLD deposited Ge-Sb-Te thin films. • Changing of overall composition with increasing deposition temperature. • Direct imaging of surface passivation Sb/Te layer at the Ge-Sb-Te/Si(111) interface. • The Sb/Te passivation layer is not a prerequisite for highly oriented growth of Ge-Sb-Te thin films.

  20. The effect of substrate bias on titanium carbide/amorphous carbon nanocomposite films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Zhang, Xu; Liang, Hong; Wu, Zhenglong; Wu, Xiangying; Zhang, Huixing

    2013-01-01

    The titanium carbide/amorphous carbon nanocomposite films have been deposited on silicon substrate by filtered cathodic vacuum arc (FCVA) technology, the effects of substrate bias on composition, structures and mechanical properties of the films are studied by scanning electron spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy and nano-indentation. The results show that the Ti content, deposition rate and hardness at first increase and then decrease with increasing the substrate bias. Maximum hardness of the titanium carbide/amorphous carbon nanocomposite film is 51 Gpa prepared at −400 V. The hardness enhancement may be attributed to the compressive stress and the fraction of crystalline TiC phase due to ion bombardment

  1. Optical and Electrical Properties of the Different Magnetron Sputter Power 300°C Deposited -ZnO Thin Films and Applications in p-i-n -Si:H Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Fang-Hsing Wang

    2013-01-01

    Full Text Available A compound of ZnO with 3 wt% Ga2O3 (ZnO : Ga2O3 = 97 : 3 in wt%, GZO was sintered at C as a target. The GZO thin films were deposited on glass using a radio frequency magnetron sputtering system at C by changing the deposition power from 50 W to 150 W. The effects of deposition power on the crystallization size, lattice constant (c, resistivity, carrier concentration, carrier mobility, and optical transmission rate of the GZO thin films were studied. The blue shift in the transmission spectrum of the GZO thin films was found to change with the variations of the carrier concentration because of the Burstein-Moss shifting effect. The variations in the optical band gap ( value of the GZO thin films were evaluated from the plots of , revealing that the measured value decreased with increasing deposition power. As compared with the results deposited at room temperature by Gong et al., (2010 the C deposited GZO thin films had apparent blue shift in the transmission spectrum and larger value. For the deposited GZO thin films, both the carrier concentration and mobility linearly decreased and the resistivity linearly increased with increasing deposition power. The prepared GZO thin films were also used as transparent electrodes to fabricate the amorphous silicon thin-film solar cells, and their properties were also measured.

  2. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  3. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  4. Effects of neutral particle beam on nano-crystalline silicon thin films, with application to thin film transistor backplane for flexible active matrix organic light emitting diodes

    International Nuclear Information System (INIS)

    Jang, Jin Nyoung; Song, Byoung Chul; Lee, Dong Hyeok; Yoo, Suk Jae; Lee, Bonju; Hong, MunPyo

    2011-01-01

    A novel deposition process for nano-crystalline silicon (nc-Si) thin films was developed using neutral beam assisted chemical vapor deposition (NBaCVD) technology for the application of the thin film transistor (TFT) backplane of flexible active matrix organic light emitting diode (AMOLED). During the formation of a nc-Si thin film, the energetic particles enhance nano-sized crystalline rather microcrystalline Si in thin films. Neutral Particle Beam (NPB) affects the crystallinity in two ways: (1) NPB energy enhances nano-crystallinity through kinetic energy transfer and chemical annealing, and (2) heavier NPB (such as Ar) induces damage and amorphization through energetic particle impinging. Nc-Si thin film properties effectively can be changed by the reflector bias. As increase of NPB energy limits growing the crystalline, the performance of TFT supports this NPB behavior. The results of nc-Si TFT by NBaCVD demonstrate the technical potentials of neutral beam based processes for achieving high stability and reduced leakage in TFT backplanes for AMOLEDs.

  5. Study of the structure and phase composition of nanocrystalline silicon oxynitride films synthesized by ICP-CVD

    International Nuclear Information System (INIS)

    Fainer, N.I.; Kosinova, M.L.; Maximovsky, E.A.; Rumyantsev, Yu.M.; Kuznetsov, F.A.; Kesler, V.G.; Kirienko, V.V.

    2005-01-01

    Thin nanocrystalline silicon oxynitride films were synthesized for the first time at low temperatures (373-750 K) by inductively coupled plasma chemical vapor deposition (ICP-CVD) using gas mixture of oxygen and hexamethyldisilazane Si 2 NH(CH 3 ) 6 (HMDS) as precursors. Single crystal Si (1 0 0) wafers 100 mm in diameter were used as substrates. Physicochemical properties of the thin films were examined using ellipsometry, IR spectroscopy, Auger electron and X-ray photoelectron spectroscopy and XRD using synchrotron radiation (SR). The studies of the phase composition of nanocrystalline films of silicon oxynitride showed that in the case of oxygen excess in the initial gas mixture, they contain a mixture of hexagonal phases: h-SiO 2 and α-Si 3 N 4 . These phases consist of oriented nanocrystals of 2-3 nm size. In case of decrease of oxygen concentration in the initial gas mixture, the fraction of the α-Si 3 N 4 phase increases

  6. Study of the structure and phase composition of nanocrystalline silicon oxynitride films synthesized by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Fainer, N.I. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation)]. E-mail: nadezhda@che.nsk.su; Kosinova, M.L. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Maximovsky, E.A. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Rumyantsev, Yu.M. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Kuznetsov, F.A. [Nikolaev Institute of Inorganic Chemistry SB RAS, 3, Acad. Lavrentjev Pr., Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Acad. Lavrentjev pr., 13, Novosibirsk 630090 (Russian Federation); Kirienko, V.V. [Institute of Semiconductor Physics SB RAS, Acad. Lavrentjev pr., 13, Novosibirsk 630090 (Russian Federation)

    2005-05-01

    Thin nanocrystalline silicon oxynitride films were synthesized for the first time at low temperatures (373-750 K) by inductively coupled plasma chemical vapor deposition (ICP-CVD) using gas mixture of oxygen and hexamethyldisilazane Si{sub 2}NH(CH{sub 3}){sub 6} (HMDS) as precursors. Single crystal Si (1 0 0) wafers 100 mm in diameter were used as substrates. Physicochemical properties of the thin films were examined using ellipsometry, IR spectroscopy, Auger electron and X-ray photoelectron spectroscopy and XRD using synchrotron radiation (SR). The studies of the phase composition of nanocrystalline films of silicon oxynitride showed that in the case of oxygen excess in the initial gas mixture, they contain a mixture of hexagonal phases: h-SiO{sub 2} and {alpha}-Si{sub 3}N{sub 4}. These phases consist of oriented nanocrystals of 2-3 nm size. In case of decrease of oxygen concentration in the initial gas mixture, the fraction of the {alpha}-Si{sub 3}N{sub 4} phase increases.

  7. Research of morphology and structure of 3C–SiC thin films on silicon by electron microscopy and X-ray diffractometry

    Directory of Open Access Journals (Sweden)

    Alexander S. Gusev

    2015-12-01

    Full Text Available Thin films of silicon carbide possessing unique properties attract increasing attention of researchers both in the field of semiconductor physics and in the technology of new semiconductor devices for high power, RF and optoelectronics. The growth of the production of silicon carbide based devices promotes the search for more resource saving and safe SiC layer synthesis technologies. Potential method is pulse laser deposition (PLD in vacuum. This technology does not require the use of chemically aggressive and explosive gases and allows forming thin and continuous coatings with thicknesses of from several nanometers at relatively low substrate temperatures. Submicron thickness silicon carbide films have been grown on single crystal silicon by vacuum laser ablation of a ceramic target. The physical and technological parameters of silicon carbide thin film low temperature synthesis by PLD have been studied and, in particular, the effect of temperature and substrate crystalline orientation on the composition, structural properties and morphology of the surface of the experimental specimens has been analyzed. At above 500 °C the crystalline β-SiC phase forms on Si (100 and (111. At a substrate temperature of 950 °C the formation of textured heteroepitaxial 3C–SiC films was observed.

  8. Crystallization of Electrodeposited Germanium Thin Film on Silicon (100

    Directory of Open Access Journals (Sweden)

    Abdul Manaf Hashim

    2013-11-01

    Full Text Available We report the crystallization of electrodeposited germanium (Ge thin films on n-silicon (Si (100 by rapid melting process. The electrodeposition was carried out in germanium (IV chloride: propylene glycol (GeCl4:C3H8O2 electrolyte with constant current of 50 mA for 30 min. The measured Raman spectra and electron backscattering diffraction (EBSD images show that the as-deposited Ge thin film was amorphous. The crystallization of deposited Ge was achieved by rapid thermal annealing (RTA at 980 °C for 1 s. The EBSD images confirm that the orientations of the annealed Ge are similar to that of the Si substrate. The highly intense peak of Raman spectra at 300 cm−1 corresponding to Ge-Ge vibration mode was observed, indicating good crystal quality of Ge. An additional sub peak near to 390 cm−1 corresponding to the Si-Ge vibration mode was also observed, indicating the Ge-Si mixing at Ge/Si interface. Auger electron spectroscopy (AES reveals that the intermixing depth was around 60 nm. The calculated Si fraction from Raman spectra was found to be in good agreement with the value estimated from Ge-Si equilibrium phase diagram. The proposed technique is expected to be an effective way to crystallize Ge films for various device applications as well as to create strain at the Ge-Si interface for enhancement of mobility.

  9. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  10. Effect of Copper on the Carrier Lifetime in Black Silicon

    DEFF Research Database (Denmark)

    Porte, Henrik; Turchinovich, Dmitry; Persheyev, Saydulla

    2011-01-01

    Black silicon is produced by laser annealing of a-Si:H films. During annealing, silicon microstructures are formed on the surface. We use time-resolved terahertz spectroscopy to study the photoconductivity dynamics in black silicon. We find that when a copper film is deposited on top of the a......-Si:H layer prior to laser annealing, the carrier lifetime of black silicon is significantly reduced....

  11. Impact of laser power density on tribological properties of Pulsed Laser Deposited DLC films

    Science.gov (United States)

    Gayathri, S.; Kumar, N.; Krishnan, R.; AmirthaPandian, S.; Ravindran, T. R.; Dash, S.; Tyagi, A. K.; Sridharan, M.

    2013-12-01

    Fabrication of wear resistant and low friction carbon films on the engineered substrates is considered as a challenging task for expanding the applications of diamond-like carbon (DLC) films. In this paper, pulsed laser deposition (PLD) technique is used to deposit DLC films on two different types of technologically important class of substrates such as silicon and AISI 304 stainless steel. Laser power density is one of the important parameter used to tailor the fraction of sp2 bonded amorphous carbon (a-C) and tetrahedral amorphous carbon (ta-C) made by sp3 domain in the DLC film. The I(D)/I(G) ratio decreases with the increasing laser power density which is associated with decrease in fraction of a-C/ta-C ratio. The fraction of these chemical components is quantitatively analyzed by EELS which is well supported to the data obtained from the Raman spectroscopy. Tribological properties of the DLC are associated with chemical structure of the film. However, the super low value of friction coefficient 0.003 is obtained when the film is predominantly constituted by a-C and sp2 fraction which is embedded within the clusters of ta-C. Such a particular film with super low friction coefficient is measured while it was deposited on steel at low laser power density of 2 GW/cm2. The super low friction mechanism is explained by low sliding resistance of a-C/sp2 and ta-C clusters. Combination of excellent physical and mechanical properties of wear resistance and super low friction coefficient of DLC films is desirable for engineering applications. Moreover, the high friction coefficient of DLC films deposited at 9GW/cm2 is related to widening of the intergrain distance caused by transformation from sp2 to sp3 hybridized structure.

  12. Dual mechanical behaviour of hydrogen in stressed silicon nitride thin films

    International Nuclear Information System (INIS)

    Volpi, F.; Braccini, M.; Pasturel, A.; Devos, A.; Raymond, G.; Morin, P.

    2014-01-01

    In the present article, we report a study on the mechanical behaviour displayed by hydrogen atoms and pores in silicon nitride (SiN) films. A simple three-phase model is proposed to relate the physical properties (stiffness, film stress, mass density, etc.) of hydrogenated nanoporous SiN thin films to the volume fractions of hydrogen and pores. This model is then applied to experimental data extracted from films deposited by plasma enhanced chemical vapour deposition, where hydrogen content, stress, and mass densities range widely from 11% to 30%, −2.8 to 1.5 GPa, and 2.0 to 2.8 g/cm 3 , respectively. Starting from the conventional plotting of film's Young's modulus against film porosity, we first propose to correct the conventional calculation of porosity volume fraction with the hydrogen content, thus taking into account both hydrogen mass and concentration. The weight of this hydrogen-correction is found to evolve linearly with hydrogen concentration in tensile films (in accordance with a simple “mass correction” of the film density calculation), but a clear discontinuity is observed toward compressive stresses. Then, the effective volume occupied by hydrogen atoms is calculated taking account of the bond type (N-H or Si-H bonds), thus allowing a precise extraction of the hydrogen volume fraction. These calculations applied to tensile films show that both volume fractions of hydrogen and porosity are similar in magnitude and randomly distributed against Young's modulus. However, the expected linear dependence of the Young's modulus is clearly observed when both volume fractions are added. Finally, we show that the stiffer behaviour of compressive films cannot be only explained on the basis of this (hydrogen + porosity) volume fraction. Indeed this stiffness difference relies on a dual mechanical behaviour displayed by hydrogen atoms against the film stress state: while they participate to the stiffness in compressive films, hydrogen atoms mainly

  13. Thin-film silicon solar cell technology

    Czech Academy of Sciences Publication Activity Database

    Shah, A. V.; Schade, H.; Vaněček, Milan; Meier, J.; Vallat-Sauvain, E.; Wyrsch, N.; Kroll, U.; Droz, C.; Bailat, J.

    2004-01-01

    Roč. 12, - (2004), s. 113-142 ISSN 1062-7995 R&D Projects: GA MŽP SN/320/11/03 Institutional research plan: CEZ:AV0Z1010914 Keywords : thin-film silicon modules * hydrogenerated amorphous silicon(a-Si:H) * hydrogenerated microcrystalline (ćc-Si:H) * transparent conductive oxydes(TCOs) * building-integrated photovoltaics(BIPV) Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.196, year: 2004

  14. Physical characterization of sputter-deposited amorphous tungsten oxynitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Nunez, O.R.; Moreno Tarango, A.J. [Department of Mechanical Engineering, University of Texas at El Paso, El Paso, TX 79968 (United States); Murphy, N.R. [Air Force Research Laboratory, Materials and Manufacturing Directorate, Wright-Patterson Air Force Base (WPAFB), Dayton, OH 45433 (United States); Phinney, L.C.; Hossain, K. [Amethyst Research Inc., 123 Case Circle, Ardmore, OK 73401 (United States); Ramana, C.V., E-mail: rvchintalapalle@utep.edu [Department of Mechanical Engineering, University of Texas at El Paso, El Paso, TX 79968 (United States)

    2015-12-01

    Tungsten oxynitride (W–O–N) thin films were deposited onto silicon (100) and quartz substrates using direct current (DC) sputtering. Composition variations in the W–O–N films were obtained by varying the nitrogen gas flow rate from 0 to 20 sccm, while keeping the total gas flow constant at 40 sccm using 20 sccm of argon with the balance comprised of oxygen. The resulting crystallinity, optical properties, and chemical composition of the DC sputtered W–O–N films were evaluated. All the W–O–N films measured were shown to be amorphous using X-ray diffraction. Spectrophotometry results indicate that the optical parameters, namely, the transmission magnitude and band gap (E{sub g}), are highly dependent on the nitrogen content in the reactive gas mixture. Within the W–O–N system, E{sub g} was able to be precisely tailored between 2.9 eV and 1.9 eV, corresponding to fully stoichiometric WO{sub 3} and highly nitrided W–O–N, respectively. Rutherford backscattering spectrometry (RBS) coupled with X-ray photoelectron spectroscopy (XPS) measurements indicate that the composition of the films varies from WO{sub 3} to W–O–N composite oxynitride films. - Highlights: • W–O–N films of ~ 100 nm thick were sputter-deposited by varying nitrogen gas flow rate. • Nitrogen incorporation into W-oxide is effective at or after 9 sccm flow rate of nitrogen. • The band gap significantly decreases from ~ 3.0 eV to ~ 2.1 eV with progressive increase in nitrogen content. • A composite oxide-semiconductor of W–O–N is proposed to explain the optical properties.

  15. Electrical characteristics of vapor deposited amorphous MoS2 two-terminal structures and back gate thin film transistors with Al, Au, Cu and Ni-Au contacts

    International Nuclear Information System (INIS)

    Kouvatsos, Dimitrios N.; Papadimitropoulos, Georgios; Spiliotis, Thanassis; Vasilopoulou, Maria; Davazoglou, Dimitrios; Barreca, Davide; Gasparotto, Alberto

    2015-01-01

    Amorphous molybdenum sulphide (a-MoS 2 ) thin films were deposited at near room temperature on oxidized silicon substrates and were electrically characterized with the use of two-terminal structures and of back-gated thin film transistors utilizing the substrate silicon as gate. Current-voltage characteristics were extracted for various metals used as pads, showing significant current variations attributable to different metal-sulphide interface properties and contact resistances, while the effect of a forming gas anneal was determined. With the use of heavily doped silicon substrates and aluminum backside deposition, thin film transistor (TFT) structures with the a-MoS 2 film as active layer were fabricated and characterized. Transfer characteristics showing a gate field effect, despite a leakage often present, were extracted for these devices, indicating that high mobility devices can be fabricated. SEM and EDXA measurements were also performed in an attempt to clarify issues related to material properties and fabrication procedures, so as to achieve a reliable and optimized a-MoS 2 TFT fabrication process. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  17. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  18. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    keeping a large adatom diffusion length on the film surface. Crystallographic texture evolution in the Gd2O3 films was investigated for different substrate types. At high rates, it was shown that films deposited on different substrates (quartz, silicon, sapphire, and GaN) all had similar theta-2theta diffraction patterns, suggesting that films grew similarly on different substrates due to the low adatom mobility. However, significant differences in texture were observed for films deposited at low rates (disordered regions. The dielectric properties of Si(111)/Gd2O3/Ti/Au MOS capacitors were investigated. Moisture absorption in Gd2O 3 films was found to result in both increased dielectric loss (10x) and inflated dielectric constant values ( 40 %). Heat treatment of the films at 100 °C resulted in outgassing of moisture, reduction in dielectric constant, and excellent frequency dispersion of the dielectric constant over a range of 10 kHz-1 MHz. The effect of film processing on the dielectric constant was systematically investigated. Tuning of the dielectric constant from a value of 11 to a value of 24 was possible by manipulating the structure and crystallographic phase of the material via the processing conditions. Capacitance-voltage (C-V) and conductance-voltage (G-V) characteristics of GaN/AlGaN/Gd2O3/Ti/Au MOS capacitors were investigated. The effects of processing on fixed oxide charge, trapped oxide charge, and density of interface states were evaluated. Single phase cubic films deposited at low rates with near heteroepitaxial growth were shown to have the lowest density of trapped charge. (Abstract shortened by ProQuest.).

  19. Light-Induced Degradation of Thin Film Silicon Solar Cells

    International Nuclear Information System (INIS)

    Hamelmann, F U; Weicht, J A; Behrens, G

    2016-01-01

    Silicon-wafer based solar cells are still domination the market for photovoltaic energy conversion. However, most of the silicon is used only for mechanical stability, while only a small percentage of the material is needed for the light absorption. Thin film silicon technology reduces the material demand to just some hundred nanometer thickness. But even in a tandem stack (amorphous and microcrystalline silicon) the efficiencies are lower, and light-induced degradation is an important issue. The established standard tests for characterisation are not precise enough to predict the performance of thin film silicon solar cells under real conditions, since many factors do have an influence on the degradation. We will show some results of laboratory and outdoor measurements that we are going to use as a base for advanced modelling and simulation methods. (paper)

  20. Thin film silicon modules: contributions to low cost industrial production

    Energy Technology Data Exchange (ETDEWEB)

    Shah, A. [Universite de Neuchatel, Neuchatel (Switzerland)

    2005-07-01

    This final report for the Swiss Federal Office of Energy (SFOE) discusses the research work done during the two-year period 2003-04 at the Thin-Film Solar Cell Laboratory of the Institute of Microtechnology (IMT) at the University of Neuchatel in Switzerland. The transition from fundamental research work to concrete industrialisation issues, and changes within the research staff are discussed. The main results of the work done are presented, including basic techniques for the production of p-i-n solar cells on glass, new technologies for the deposition of n-i-p cells on low-cost flexible substrates and the optimisation of zinc oxide deposition methods. The key role played by substrate chemistry and roughness in the nucleation and growth of micro-crystalline silicon layers is looked at and diagnostic tools for the analysis of micro-crystalline solar cells are discussed.