WorldWideScience

Sample records for silicon dioxide sio2

  1. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  2. Silicon (100)/SiO2 by XPS

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, David S.; Kanyal, Supriya S.; Madaan, Nitesh; Vail, Michael A.; Dadson, Andrew; Engelhard, Mark H.; Linford, Matthew R.

    2013-09-25

    Silicon (100) wafers are ubiquitous in microfabrication and, accordingly, their surface characteristics are important. Herein, we report the analysis of Si (100) via X-ray photoelectron spectroscopy (XPS) using monochromatic Al K radiation. Survey scans show that the material is primarily silicon and oxygen, and the Si 2p region shows two peaks that correspond to elemental silicon and silicon dioxide. Using these peaks the thickness of the native oxide (SiO2) was estimated using the equation of Strohmeier.1 The oxygen peak is symmetric. The material shows small amounts of carbon, fluorine, and nitrogen contamination. These silicon wafers are used as the base material for subsequent growth of templated carbon nanotubes.

  3. The investigation of influence of accelerated electrons on SiO2 used in silicon solar cells

    International Nuclear Information System (INIS)

    Abdullaev, G.B.; Bakirov, M.Ya; Akhmedov, G.M.; Safarov, N.A.; Safarova, F.D.

    1994-01-01

    The process of radiation defects production in enlightened SiO 2 layers coated on silicon solar cells was studied. During irradiation the silicon solar cells with enlightened layers radiation defects are formed both in silicon and SiO 2 thus making worse photo energetic parameters of cells. For investigation of radiation effects formed under irradiation by electrons with 5 MeV energy and cobalt-60 gamma-rays photoluminescence, absorption spectra and electron spin resonance methods were used. It is supposed that main radiation defects in silicon dioxide are E'-centers and oxygen vacancies. (A.D. Avezov). 10 refs.; 2 figs

  4. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  5. Random Surface Texturing of Silicon Dioxide Using Gold Agglomerates

    Science.gov (United States)

    2016-07-01

    a visual indicator of the formation of gold clusters on the SiO2 . The glass would make observing a color change in the gold film easier later in the...unlimited. 13. SUPPLEMENTARY NOTES 14. ABSTRACT A fabrication process for creating a silicon dioxide ( SiO2 ) light-trapping structure as part of...even distribution of irregular agglomerates, also known as “complete islanding”. By using these gold agglomerations as a metal mask, the SiO2 can be

  6. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  7. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  8. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values

    Science.gov (United States)

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-01

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  9. The Effects of SiO2 Nanoparticles on Mechanical and Physicochemical Properties of Potato Starch Films

    Directory of Open Access Journals (Sweden)

    Z. Torabi

    2013-06-01

    Full Text Available In this paper effect of SiO2 nanoparticles was investigated on potato starch films. Potato starch films were prepared by casting method with addition of nano-silicon dioxide and a mixture of sorbitol/glycerol (weight ratio of 3 to 1 as plasticizers. SiO2 nanoparticles incorporated to the potato starch films at different concentrations 0, 1, 2, 3, and 5% of total solid, and the films were dried under controlled conditions.  Physicochemical properties such as water absorption capacity (WAC, water vapor permeability (WVP and mechanical properties of the films were measured. Results show that by increasing the concentration of silicon dioxide nanoparticles, mechanical properties of films can be improved. Also incorporation of silicon dioxide nanoparticles in the structure of biopolymer decrease permeability of the gaseous molecules such as water vapor. In summary, addition of silicon dioxide nanoparticles improves functional properties of potato starch films and these bio Nano composites can be used in food packaging.

  10. Anti-pepsin activity of silicon dioxide nanoparticles

    Directory of Open Access Journals (Sweden)

    Hussein Kadhem Al-Hakeim

    2016-09-01

    Full Text Available SiO2NPs as an inhibitor of pepsin enzyme for treatment of gastro-esophageal reflux disease (GERD was used. Silicon dioxide nanoparticles (pepsin coated SiO2NPs are among the safest nanoparticles that can be used inside the human body. The activity of pepsin before and after the addition of certain amounts of the NPs to the reaction mixture was measured spectrophotometrically. Furthermore, these experiments were repeated at different temperatures, different weights of NPs, and different ionic strengths. The kinetic aparameters (Km & Vmax of the pepsin catalyzed reactions were calculated from the Lineweaver-Burke plots. The results showed that there is a significant reduction of pepsin activity by SiO2NPs (Vmax of free pepsin = 4.82 U and Vmax of the immobilizedpepsin = 2.90 U. The results also indicated that the presence of ionic strength causes remarkable reduction of pepsin activity. It can be concluded the best condition for inhibition of pepsin activity is by using a combination of SiO2NPs and high concentration NaCl at 37 °C.

  11. The fabrication and visible-near-infrared optical modulation of vanadium dioxide/silicon dioxide composite photonic crystal structure

    Science.gov (United States)

    Liang, Jiran; Li, Peng; Song, Xiaolong; Zhou, Liwei

    2017-12-01

    We demonstrated a visible and near-infrared light tunable photonic nanostructure, which is composed of vanadium dioxide (VO2) thin film and silicon dioxide (SiO2) ordered nanosphere arrays. The vanadium films were sputtered on two-dimensional (2D) SiO2 sphere arrays. VO2 thin films were prepared by rapid thermal annealing (RTA) method with different oxygen flow rates. The close-packed VO2 shell formed a continuous surface, the composition of VO2 films in the structure changed when the oxygen flow rates increased. The 2D VO2/SiO2 composite photonic crystal structure exhibited transmittance trough tunability and near-infrared (NIR) transmittance modulation. When the oxygen flow rate increased from 3 slpm to 4 slpm, the largest transmittance trough can be regulated from 904 to 929 nm at low temperature, the transmittance troughs also appear blue shift when the VO2 phase changes from insulator to metal. The composite nanostructure based on VO2 films showed visible transmittance tunability, which would provide insights into the glass color changing in smart windows.

  12. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  13. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  14. The Refractive Index Measurement Of Silicon Dioxide Thin Film by the Coupling Prism Method

    International Nuclear Information System (INIS)

    Budianto, Anwar; Hariyanto, Sigit; Subarkah

    1996-01-01

    Refractive index of silicon dioxide thin film that doped with phosphor (SiO 2 :P) above the pure silicon dioxide substrate has been measured by light coupling prism method. The method principle is focusing the light on coupling prism base so that the light propagates into the waveguide layer while the reflected one forms a mode in the observation plane. The SiO 2 thin film as waveguide layer has a refractive index that give the thick and refractive index relation. The He-Ne laser as light source has the wavelength λ 0,6328 μm. The refractive index measurement of the thin film with the substrate refractive index n sb = 1,47 and the thin film thick d = 2μm gives n g = 1,5534 ± 0,01136. This method can distinguish the refractive index of thin film about 6% to the refractive index of substrate

  15. Stability and kinetics of point defects in SiO2 and in SiC

    International Nuclear Information System (INIS)

    Roma, G.

    2012-01-01

    This document is conceived as an overview of Guido Roma's research achievements on defects stability and kinetics in two materials of interest in nuclear science and for many other application domains: silicon dioxide and silicon carbide. An extended summary in french is followed by the main document, in english. Chapter 1 describes the context, introduces the approach and explains the choice of silicon dioxide and silicon carbide. Chapter 2 discusses several approximations and specific issues of the application of Density Functional Theory to point defects in non-metallic materials for the study of defects energetics and diffusion. Chapter 3 is devoted to native defects in silicon dioxide and the understanding of self-diffusion in crystalline and amorphous SiO 2 . Chapter 4 summarises the results on native defects and palladium impurities in silicon carbide. A conclusion, including suggestions for future developments, closes the main part of the document. (author) [fr

  16. Time-Efficient High-Resolution Large-Area Nano-Patterning of Silicon Dioxide

    Directory of Open Access Journals (Sweden)

    Li Lin

    2017-01-01

    Full Text Available A nano-patterning approach on silicon dioxide (SiO2 material, which could be used for the selective growth of III-V nanowires in photovoltaic applications, is demonstrated. In this process, a silicon (Si stamp with nanopillar structures was first fabricated using electron-beam lithography (EBL followed by a dry etching process. Afterwards, the Si stamp was employed in nanoimprint lithography (NIL assisted with a dry etching process to produce nanoholes on the SiO2 layer. The demonstrated approach has advantages such as a high resolution in nanoscale by EBL and good reproducibility by NIL. In addition, high time efficiency can be realized by one-spot electron-beam exposure in the EBL process combined with NIL for mass production. Furthermore, the one-spot exposure enables the scalability of the nanostructures for different application requirements by tuning only the exposure dose. The size variation of the nanostructures resulting from exposure parameters in EBL, the pattern transfer during nanoimprint in NIL, and subsequent etching processes of SiO2 were also studied quantitatively. By this method, a hexagonal arranged hole array in SiO2 with a hole diameter ranging from 45 to 75 nm and a pitch of 600 nm was demonstrated on a four-inch wafer.

  17. Time-Efficient High-Resolution Large-Area Nano-Patterning of Silicon Dioxide

    DEFF Research Database (Denmark)

    Lin, Li; Ou, Yiyu; Aagesen, Martin

    2017-01-01

    A nano-patterning approach on silicon dioxide (SiO2) material, which could be used for the selective growth of III-V nanowires in photovoltaic applications, is demonstrated. In this process, a silicon (Si) stamp with nanopillar structures was first fabricated using electron-beam lithography (EBL....... In addition, high time efficiency can be realized by one-spot electron-beam exposure in the EBL process combined with NIL for mass production. Furthermore, the one-spot exposure enables the scalability of the nanostructures for different application requirements by tuning only the exposure dose. The size...

  18. Role of the interface region on the optoelectronic properties of silicon nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Daldosso, N.; Dalba, G.; Fornasini, P.; Grisenti, R.; Pavesi, L.; Luppi, M.; Magri, R.; Ossicini, S.; Degoli, E.; Rocca, F.; Boninelli, S.; Priolo, F.; Spinella, C.; Iacona, F.

    2003-01-01

    Light-emitting silicon nanocrystals embedded in SiO 2 have been investigated by x-ray absorption measurements in total electron and photoluminescence yields, by energy filtered transmission electron microscopy and by ab initio total energy calculations. Both experimental and theoretical results show that the interface between the silicon nanocrystals and the surrounding SiO 2 is not sharp: an intermediate region of amorphous nature and variable composition links the crystalline Si with the amorphous stoichiometric SiO 2 . This region plays an active role in the light-emission process

  19. Wannier–Stark electro-optical effect, quasi-guided and photonic modes in 2D macroporous silicon structures with SiO_2 coatings

    International Nuclear Information System (INIS)

    Karachevtseva, L.; Goltviansky, Yu.; Sapelnikova, O.; Lytvynenko, O.; Stronska, O.; Bo, Wang; Kartel, M.

    2016-01-01

    Highlights: • The IR absorption spectra of oxidized macroporous silicon were studied. • The Wannier–Stark electro-optical effect on Si-SiO_2 boundary was confirmed. • An additional electric field of quasi-guided optical modes was evaluated. • The photonic modes and band gaps were measured as peculiarities in absorption spectra. - Abstract: Opportunities to enhance the properties of structured surfaces were demonstrated on 2D macroporous silicon structures with SiO_2 coatings. We investigated the IR light absorption oscillations in macroporous silicon structures with SiO2 coatings 0–800 nm thick. The Wannier–Stark electro-optical effect due to strong electric field on Si-SiO_2boundary and an additional electric field of quasi-guided optical modes were taken into account. The photonic modes and band gaps were also considered as peculiarities in absorbance spectra of macroporous silicon structures with a thick SiO_2 coating. The photonic modes do not coincide with the quasi-guided modes in the silicon matrix and do not appear in absorption spectra of 2D macroporous silicon structures with surface nanocrystals.

  20. Emission Mechanisms of Si Nanocrystals and Defects in SiO2 Materials

    Directory of Open Access Journals (Sweden)

    José Antonio Rodríguez

    2014-01-01

    Full Text Available Motivated by the necessity to have all silicon optoelectronic circuits, researchers around the world are working with light emitting silicon materials. Such materials are silicon dielectric compounds with silicon content altered, such as silicon oxide or nitride, enriched in different ways with Silicon. Silicon Rich Oxide or silicon dioxide enriched with silicon, and silicon rich nitride are without a doubt the most promising materials to reach this goal. Even though they are subjected to countless studies, the light emission phenomenon has not been completely clarified. So, a review of different proposals presented to understand the light emission phenomenon including emissions related to nanocrystals and to point defects in SiO2 is presented.

  1. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  2. Adsorption of acids and bases from aqueous solutions onto silicon dioxide particles.

    Science.gov (United States)

    Zengin, Huseyin; Erkan, Belgin

    2009-12-30

    The adsorption of acids and bases onto the surface of silicon dioxide (SiO(2)) particles was systematically studied as a function of several variables, including activation conditions, contact time, specific surface area, particle size, concentration and temperature. The physical properties of SiO(2) particles were investigated, where characterizations were carried out by FT-IR spectroscopy, and morphology was examined by scanning electron microscopy (SEM). The SEM of samples showed good dispersion and uniform SiO(2) particles with an average diameter of about 1-1.5 microm. The adsorption results revealed that SiO(2) surfaces possessed effective interactions with acids and bases, and greatest adsorption capacity was achieved with NaOH, where the best fit isotherm model was the Freundlich adsorption model. The adsorption properties of raw SiO(2) particles were further improved by ultrasonication. Langmuir monolayer adsorption capacity of NaOH adsorbate at 25 degrees C on sonicated SiO(2) (182.6 mg/g) was found to be greater than that of the unsonicated SiO(2) (154.3mg/g). The spontaneity of the adsorption process was established by decreases in DeltaG(ads)(0), which varied from -10.5 to -13.6 kJ mol(-1), in the temperature range 283-338K.

  3. Electrical and Optical Characterization of Sputtered Silicon Dioxide, Indium Tin Oxide, and Silicon Dioxide/Indium Tin Oxide Antireflection Coating on Single-Junction GaAs Solar Cells

    Directory of Open Access Journals (Sweden)

    Wen-Jeng Ho

    2017-06-01

    Full Text Available This study characterized the electrical and optical properties of single-junction GaAs solar cells coated with antireflective layers of silicon dioxide (SiO2, indium tin oxide (ITO, and a hybrid layer of SiO2/ITO applied using Radio frequency (RF sputtering. The conductivity and transparency of the ITO film were characterized prior to application on GaAs cells. Reverse saturation-current and ideality factor were used to evaluate the passivation performance of the various coatings on GaAs solar cells. Optical reflectance and external quantum efficiency response were used to evaluate the antireflective performance of the coatings. Photovoltaic current-voltage measurements were used to confirm the efficiency enhancement obtained by the presence of the anti-reflective coatings. The conversion efficiency of the GaAs cells with an ITO antireflective coating (23.52% exceeded that of cells with a SiO2 antireflective coating (21.92%. Due to lower series resistance and higher short-circuit current-density, the carrier collection of the GaAs cell with ITO coating exceeded that of the cell with a SiO2/ITO coating.

  4. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  5. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  6. Efficient photocatalytic activity with carbon-doped SiO2 nanoparticles

    KAUST Repository

    Zhang, Dongen

    2013-01-01

    Photocatalysis provides a \\'green\\' approach to completely eliminate various kinds of contaminants that are fatal for current environmental and energy issues. Semiconductors are one of the most frequently used photocatalysts as they can absorb light over a wide spectral range. However, it is also well known that naked SiO2 is not an efficient photocatalyst due to its relatively large band gap, which could only absorb shortwave ultraviolet light. In this report, nanoscale particles of carbon-doped silicon dioxide (C-doped SiO2) for use in photocatalysis were successfully prepared by a facile one-pot thermal process using tetraethylorthosilicate (TEOS) as the source of both silicon and carbon. These particles were subsequently characterized by thermogravimetric analysis, X-ray diffraction, standard and high resolution transmission electron microscopy and X-ray photoelectron spectroscopy. The C-doped SiO2 displayed outstanding photocatalytic properties, as evidenced by its catalysis of Rhodamine B degradation under near-UV irradiation. We propose that carbon doping of the SiO2 lattice creates new energy states between the bottom of the conduction band and the top of the valence band, which narrows the band gap of the material. As a result, the C-doped SiO2 nanoparticles exhibit excellent photocatalytic activities in a neutral environment. The novel synthesis reported herein for this material is both energy efficient and environmentally friendly and as such shows promise as a technique for low-cost, readily scalable industrial production. © 2013 The Royal Society of Chemistry.

  7. Ultrathin silicon dioxide layers with a low leakage current density formed by chemical oxidation of Si

    Science.gov (United States)

    Asuha,; Kobayashi, Takuya; Maida, Osamu; Inoue, Morio; Takahashi, Masao; Todokoro, Yoshihiro; Kobayashi, Hikaru

    2002-10-01

    Chemical oxidation of Si by use of azeotrope of nitric acid and water can form 1.4-nm-thick silicon dioxide layers with a leakage current density as low as those of thermally grown SiO2 layers. The capacitance-voltage (C-V) curves for these ultrathin chemical SiO2 layers have been measured due to the low leakage current density. The leakage current density is further decreased to approx1/5 (cf. 0.4 A/cm2 at the forward gate bias of 1 V) by post-metallization annealing at 200 degC in hydrogen. Photoelectron spectroscopy and C-V measurements show that this decrease results from (i) increase in the energy discontinuity at the Si/SiO2 interface, and (ii) elimination of Si/SiO2 interface states and SiO2 gap states.

  8. Detection of gain enhancement in laser-induced fluorescence of rhodamine B lasing dye by silicon dioxide nanostructures-coated cavity

    Science.gov (United States)

    Al-Tameemi, Mohammed N. A.

    2018-03-01

    In this work, nanostructured silicon dioxide films are deposited by closed-field unbalanced direct-current (DC) reactive magnetron sputtering technique on two sides of quartz cells containing rhodamine B dye dissolved in ethanol with 10‒5 M concentration as a random gain medium. The preparation conditions are optimized to prepare highly pure SiO2 nanostructures with a minimum particle size of about 20 nm. The effect of SiO2 films as external cavity for the random gain medium is determined by the laser-induced fluorescence of this medium, and an increase of about 200% in intensity is observed after the deposition of nanostructured SiO2 thin films on two sides of the dye cell.

  9. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  10. Immunotoxicity of silicon dioxide nanoparticles with different sizes and electrostatic charge.

    Science.gov (United States)

    Kim, Jae-Hyun; Kim, Cheol-Su; Ignacio, Rosa Mistica Coles; Kim, Dong-Heui; Sajo, Ma Easter Joy; Maeng, Eun Ho; Qi, Xu-Feng; Park, Seong-Eun; Kim, Yu-Ri; Kim, Meyoung-Kon; Lee, Kyu-Jae; Kim, Soo-Ki

    2014-01-01

    Silicon dioxide (SiO2) nanoparticles (NPs) have been widely used in the biomedical field, such as in drug delivery and gene therapy. However, little is known about the biological effects and potential hazards of SiO2. Herein, the colloidal SiO2 NPs with two different sizes (20 nm and 100 nm) and different charges (L-arginine modified: SiO2 (EN20[R]), SiO2 (EN100[R]); and negative: SiO2 (EN20[-]), SiO2 (EN100[-]) were orally administered (750 mg/kg/day) in female C57BL/6 mice for 14 days. Assessments of immunotoxicity include hematology profiling, reactive oxygen species generation and their antioxidant effect, stimulation assays for B- and T-lymphocytes, the activity of natural killer (NK) cells, and cytokine profiling. In vitro toxicity was also investigated in the RAW 264.7 cell line. When the cellularity of mouse spleen was evaluated, there was an overall decrease in the proliferation of B- and T-cells for all the groups fed with SiO2 NPs. Specifically, the SiO2 (EN20(-)) NPs showed the most pronounced reduction. In addition, the nitric oxide production and NK cell activity in SiO2 NP-fed mice were significantly suppressed. Moreover, there was a decrease in the serum concentration of inflammatory cytokines such as interleukin (IL)-1β, IL-12 (p70), IL-6, tumor necrosis factor-α, and interferon-γ. To elucidate the cytotoxicity mechanism of SiO2 in vivo, an in vitro study using the RAW 264.7 cell line was performed. Both the size and charge of SiO2 using murine macrophage RAW 264.7 cells decreased cell viability dose-dependently. Collectively, our data indicate that different sized and charged SiO2 NPs would cause differential immunotoxicity. Interestingly, the small-sized and negatively charged SiO2 NPs showed the most potent in vivo immunotoxicity by way of suppressing the proliferation of lymphocytes, depressing the killing activity of NK cells, and decreasing proinflammatory cytokine production, thus leading to immunosuppression.

  11. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  12. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  13. Metal dioxides as analogue of SiO2 under strong compression studied by synchrotron XRD and simulations

    Science.gov (United States)

    Liu, H.; Liu, L. L.

    2017-12-01

    The phase transition sequence of SiO2 inducing by high pressure was theoretically predicted as coordination number (CN=6) structures (rutile, pyrite), CN=8 (Pnma) and CN=9 (P-62m) structures, but only the phases up to pyrite structure in SiO2 were observed experimentally up to now. The CN8 phase and CN9 phases of SiO2 were predicted to be stable at least 650 GPa, which is challenging to achieve in the static DAC experiment at present. In other metal dioxide systems, such as TiO2, the ambient rutile and anatase phases first transform to pyrite (CN6), then to the baddeleyite (CN7) phase, to a Pnma (CN8) phase and P-62m(CN9) phase. In this report, under strong compression at room temperature, several metal dioxides were studied experimentally and theoretically, to verify whether this theoretical predicted trend is common transition path under strong compression. This work was supported by Natural Science Foundation of China (11374075), Heilongjiang Province Science Fund for Distinguished Young Scholars (JC201005), Longjiang Scholar, the Fundamental Research Funds for the Central Universities (HIT. BRET1.2010002, HIT. IBRSEM.A.201403).

  14. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  15. Kinetics of the Coupled Gas-Iron Reactions Involving Silicon and ...

    African Journals Online (AJOL)

    The kinetic study of coupled gas-iron reactions at 15600 has been carried out for the system involving liquid iron containing carbon and silicon and a gas phase consisting carbon monoxide, silicon monoxide and carbon dioxide. The coupled reactions are: (1) 200(g) = CO2 + C. (2) SiO (g) + CO (g) = Si ¸ CO (g). (3) SiO (g) + ...

  16. TiO2, SiO2 and ZrO2 Nanoparticles Synergistically Provoke Cellular Oxidative Damage in Freshwater Microalgae

    Directory of Open Access Journals (Sweden)

    Yinghan Liu

    2018-02-01

    Full Text Available Metal-based nanoparticles (NPs are the most widely used engineered nanomaterials. The individual toxicities of metal-based NPs have been plentifully studied. However, the mixture toxicity of multiple NP systems (n ≥ 3 remains much less understood. Herein, the toxicity of titanium dioxide (TiO2 nanoparticles (NPs, silicon dioxide (SiO2 NPs and zirconium dioxide (ZrO2 NPs to unicellular freshwater algae Scenedesmus obliquus was investigated individually and in binary and ternary combination. Results show that the ternary combination systems of TiO2, SiO2 and ZrO2 NPs at a mixture concentration of 1 mg/L significantly enhanced mitochondrial membrane potential and intracellular reactive oxygen species level in the algae. Moreover, the ternary NP systems remarkably increased the activity of the antioxidant defense enzymes superoxide dismutase and catalase, together with an increase in lipid peroxidation products and small molecule metabolites. Furthermore, the observation of superficial structures of S. obliquus revealed obvious oxidative damage induced by the ternary mixtures. Taken together, the ternary NP systems exerted more severe oxidative stress in the algae than the individual and the binary NP systems. Thus, our findings highlight the importance of the assessment of the synergistic toxicity of multi-nanomaterial systems.

  17. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  18. SiO2-induced release of sVEGFRs from pulmonary macrophages.

    Science.gov (United States)

    Chao, Jie; Lv, Yan; Chen, Jin; Wang, Jing; Yao, Honghong

    2018-01-01

    The inhalation of silicon dioxide (SiO 2 ) particles causes silicosis, a stubborn pulmonary disease that is characterized by alveolar inflammation during the early stage. Soluble cytokine receptors (SCRs) play important roles in regulating inflammation by either attenuating or promoting cytokine signaling. However, the role of SCRs in silicosis remains unknown. Luminex assays revealed increased soluble vascular endothelial growth factor receptor (sVEGFR) family levels in the plasma of silicosis patients. In an enzyme-linked immunosorbent assay (ELISA), cells from the differentiated human monocytic cell line U937 released sVEGFR family proteins after exposure to SiO 2 (50μg/cm 2 ). Further Western blot experiments revealed that VEGFR expression was also elevated in U937 cells. In contrast, levels of sVEGFR family members did not change in the supernatants of human umbilical vein endothelial cells (HUVECs) after exposure to SiO 2 (50μg/cm 2 ). Interestingly, VEGFR expression in HUVECs decreased after SiO 2 treatment. In a scratch assay, HUVECs exhibited cell migration ability, indicating the acquisition of mesenchymal properties. Our findings highlight the important role of sVEGFRs in both inflammation and fibrosis induced by SiO 2 , suggesting a possible mechanism for the fibrogenic effects observed in pulmonary diseases associated with fibrosis. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. The electrical and thermal properties of sodium sulfate mixed with lithium sulfate, yttrium sulfate, and silicon dioxide

    International Nuclear Information System (INIS)

    Imanaka, N.; Yamaguchi, Y.; Adachi, G.; Shiokawa, J.

    1986-01-01

    Sodium sulfate mixed with lithium sulfate, yttrium sulfate, and silicon dioxide was prepared. The thermal and electrical properties of its phases were investigated. The Na 2 SO 4 -Li 2 SO 4 -Y 2 (SO 4 ) 3 SiO 2 samples are similar to the Na 2 SO 4 -I phase (a high temperature phase), which is appreciably effective for Na + ionic conduction. Phase transformation was considerably suppressed by mixing. Electromotive force (EMF) was measured, using Na 2 SO 4 -Li 2 SO 4 -Y 2 (SO 4 ) 3 -SiO 2 as a solid electrolyte, by constructing an SO 2 gas concentration cell. The measured EMF's at 823 and 773 K were in fairly good accordance with the calculated EMF's for inlet SO 2 gas concentration between 30 ppm and 1%, and 500 ppm and 0.5% respectively

  20. Properties of form-stable paraffin/silicon dioxide/expanded graphite phase change composites prepared by sol–gel method

    International Nuclear Information System (INIS)

    Li, Min; Wu, Zhishen; Tan, Jinmiao

    2012-01-01

    Highlights: ► Paraffin/SiO 2 /EG composite PCM was prepared with sol–gel method. ► The thermal conductivity of SiO 2 /paraffin/EG is 94.7% higher than paraffin. ► The latent heat of paraffin/SiO 2 /EG composite is 104.4 J/g. -- Abstract: A form-stable paraffin/silicon dioxide (SiO 2 )/expanded graphite (EG) composite phase change material (PCM) was prepared by sol–gel method. Silica gel acts as the supporting material and EG is used to increase the thermal conductivity. The mass fractions of silicon oxide and graphite are 20.8% and 7.2%, respectively. The composite PCM was characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM) and Fourier transformation infrared spectroscopy (FTIR) method. Thermal properties and thermal stability of the composite PCM were studied using differential scanning calorimetry (DSC). The result shows that paraffin was well dispersed in the network of silica gel and there is no chemical reaction between them. The phase change temperature of the paraffin/SiO 2 composite and the paraffin/SiO 2 /EG composite are 27.53 °C and 27.72 °C, respectively. The latent heat of the paraffin/SiO 2 composite and the paraffin/SiO 2 /EG composite are 112.8 J/g and 104.4 J/g, respectively. The thermal conductivity of the SiO 2 /paraffin composite and the SiO 2 /paraffin/EG composite are 28.2% and 94.7% higher than that of paraffin.

  1. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  2. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  3. Enhancement of photocatalytic degradation of furfural and acetophenone in water media using nano-TiO2-SiO2 deposited on cementitious materials.

    Science.gov (United States)

    Soltan, Sahar; Jafari, Hoda; Afshar, Shahrara; Zabihi, Omid

    2016-10-01

    In the present study, silicon dioxide (SiO 2 ) nanoparticles were loaded to titanium dioxide (TiO 2 ) nano-particles by sol-gel method to make a high porosity photocatalyst nano-hybrid. These photocatalysts were synthesized using titanium tetrachloride and tetraethyl orthosilicate as titanium and silicon sources, respectively, and characterized by X-ray powder diffraction (XRD) and scanning electron microscope methods. Subsequently, the optimizations of the component and operation conditions were investigated. Then, nano-sized TiO 2 and TiO 2 -SiO 2 were supported on concrete bricks by the dip coating process. The photocatalytic activity of nano photocatalysts under UV irradiation was examined by studying the decomposition of aqueous solutions of furfural and acetophenone (10 mg/L) as model of organic pollutants to CO 2 and H 2 O at room temperature. A decrease in the concentration of these pollutants was assayed by using UV-visible absorption, gas chromatography technique, and chemical oxygen demand. The removal of these pollutants from water using the concrete-supported photocatalysts under UV irradiation was performed with a greater efficiency, which does not require an additional separation stage to recover the catalyst. Therefore, it would be applicable to use in industrial wastewater treatment at room temperature and atmospheric pressure within the optimized pH range.

  4. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  5. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  6. Preparation and properties of lauric acid/silicon dioxide composites as form-stable phase change materials for thermal energy storage

    International Nuclear Information System (INIS)

    Fang Guiyin; Li Hui; Liu Xu

    2010-01-01

    Form-stable lauric acid (LA)/silicon dioxide (SiO 2 ) composite phase change materials were prepared using sol-gel methods. The LA was used as the phase change material for thermal energy storage, with the SiO 2 acting as the supporting material. The structural analysis of these form-stable LA/SiO 2 composite phase change materials was carried out using Fourier transformation infrared spectroscope (FT-IR). The microstructure of the form-stable composite phase change materials was observed by a scanning electronic microscope (SEM). The thermal properties and thermal stability were investigated by a differential scanning calorimeter (DSC) and a thermogravimetric analysis apparatus (TGA), respectively. The SEM results showed that the LA was well dispersed in the porous network of SiO 2 . The DSC results indicated that the melting latent heat of the form-stable composite phase change material is 117.21 kJ kg -1 when the mass percentage of the LA in the SiO 2 is 64.8%. The results of the TGA showed that these materials have good thermal stability. The form-stable composite phase change materials can be used for thermal energy storage in waste heat recovery and solar heating systems.

  7. Realization of Colored Multicrystalline Silicon Solar Cells with SiO2/SiNx:H Double Layer Antireflection Coatings

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2013-01-01

    Full Text Available We presented a method to use SiO2/SiNx:H double layer antireflection coatings (DARC on acid textures to fabricate colored multicrystalline silicon (mc-Si solar cells. Firstly, we modeled the perceived colors and short-circuit current density (Jsc as a function of SiNx:H thickness for single layer SiNx:H, and as a function of SiO2 thickness for the case of SiO2/SiNx:H (DARC with fixed SiNx:H (refractive index n=2.1 at 633 nm, and thickness = 80 nm. The simulation results show that it is possible to achieve various colors by adjusting the thickness of SiO2 to avoid significant optical losses. Therefore, we carried out the experiments by using electron beam (e-beam evaporation to deposit a layer of SiO2 over the standard SiNx:H for 156×156 mm2 mc-Si solar cells which were fabricated by a conventional process. Semisphere reflectivity over 300 nm to 1100 nm and I-V measurements were performed for grey yellow, purple, deep blue, and green cells. The efficiency of colored SiO2/SiNx:H DARC cells is comparable to that of standard SiNx:H light blue cells, which shows the potential of colored cells in industrial applications.

  8. Photo-Electrical Characterization of Silicon Micropillar Arrays with Radial p/n Junctions Containing Passivation and Anti-Reflection Coatings

    NARCIS (Netherlands)

    Vijselaar, Wouter; Elbersen, R.; Tiggelaar, Roald M.; Gardeniers, Han; Huskens, Jurriaan

    2017-01-01

    In order to assess the contributions of anti-reflective and passivation effects in microstructured silicon-based solar light harvesting devices, thin layers of aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon-rich silicon nitride (SiNx), and indium tin oxide (ITO), with a thickness ranging

  9. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  10. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  11. Visible light emission from silicon implanted and annealed SiO2layers

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Bottani, C.E.; Corni, F.; Tonini, R.; Ottaviani, G.P.

    1997-01-01

    Silicon implanted and annealed SiO 2 layers are studied using photoluminescence (PL) and positron annihilation spectroscopy (PAS). Two PL emission bands are observed. A band centered at 560 nm is present in as-implanted samples and it is still observed after 1,000 C annealing. The emission time is fast. A second band centered at 780 nm is detected after 1,000 C annealing. The intensity of the 780 nm band further increased when hydrogen annealing was performed. The emission time is long (1 micros to 0.2 ms). PAS results show that defects produced by implantation anneal at 600 C. Based on the annealing behavior and on the emission times, the origin of the two bands is discussed

  12. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  13. Comparative differences in the behavior of TiO2 and SiO2 food additives in food ingredient solutions

    Science.gov (United States)

    Yusoff, Ridhwan; Nguyen, Luong T. H.; Chiew, Paul; Wang, Zheng Ming; Ng, Kee Woei

    2018-03-01

    Nanotechnology is widely used in the food industry to improve the color, taste, and texture of food products. However, concerns regarding potential undesirable health effects remain. It is expected that interaction of engineered nanomaterials (ENMs) with food ingredients will influence their behavior and the resulting corona. Nonetheless, there are limited systematic studies conducted to clarify this understanding to date. Herein, we investigated the behavior and corona formation of food grade titanium dioxide (TiO2) and silicon dioxide (SiO2) in solutions of model food ingredients including bovine serum albumin (BSA) and sucrose. Measurements using dynamic light scattering (DLS) showed that both TiO2 and SiO2 nanoparticles displayed a decrease in agglomerate sizes in the presence of both food ingredients. Both particles were negatively charged in all the conditions tested. Corona adsorption studies were carried out using multiple complementary methods including Fourier transform infrared (FTIR) spectroscopy, matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-ToF-MS), transmission electron microscopy (TEM), micro bicinchoninic acid (BCA) protein assay, and thermogravimetric analysis (TGA). Comparative investigation showed that sucrose could disperse both particles more effectively than BSA and that SiO2 displayed greater adsorption capacity for both BSA and sucrose, compared to TiO2. Taken collectively, this study demonstrated the importance of considering food ingredient effects when mapping the behavior of ENMs in food products. Such understanding could be significant in the evaluation of biological effects, such as toxicity, of ENMs used in food products.

  14. MICROSTRUCTURING OF SILICON SINGLE CRYSTALS BY FIBER LASER IN HIGH-SPEED SCANNING MODE

    Directory of Open Access Journals (Sweden)

    T. A. Trifonova

    2015-11-01

    Full Text Available Subject of Study. The surface structure of the silicon wafers (substrate with a thermally grown silicon dioxide on the surface (of SiO2/Si is studied after irradiation by pulse fiber laser of ILI-1-20 type. The main requirements for exposure modes of the system are: the preservation of the integrity of the film of silicon dioxide in the process of microstructuring and the absence of interference of surrounding irradiated areas of the substrate. Method. Studies were carried out on silicon wafers KEF-4,5 oriented in the crystallographic plane (111 with the source (natural silicon dioxide (SiO2 with thickness of about 4 nm, and SiO2 with 40 nm and 150 nm thickness, grown by thermal oxidation in moist oxygen. Also, wafers KHB-10 oriented in the plane (100 with 500 nm thickness of thermal oxide were investigated. Irradiation of SiO2/Si system was produced by laser complex based on ytterbium fiber pulse laser ILI-1-20. Nominal output power of the laser was 20 W, and the laser wavelength was λ = 1062 nm. Irradiation was carried out by a focused beam spot with a diameter of 25 microns and a pulse repetition rate of 99 kHz. The samples with 150 nm and 40 nm thickness of SiO2 were irradiated at a power density equal to 1,2·102 W/cm2, and the samples of SiO2 with 500 nm thickness were irradiated at a power density equal to 2,0·102 W/cm2. Scanning was performed using a two-axis Coordinate Scanning Device based on VM2500+ drives with control via a PC with the software package "SinMarkTM." Only one scan line was used at the maximum speed of the beam equal to 8750 mm/s. Morphology control of the irradiated samples was conducted by an optical microscope ZeissA1M with high-resolution CCD array. A scanning probe microscope Nanoedicator of the NT-MDT company was used for structural measurements. Main Results. It has been shown that at a single exposure of high-frequency pulsed laser radiation on SiO2/Si system, with maintaining the integrity of the SiO2 film

  15. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  16. Passivation of Flexible YBCO Superconducting Current Lead With Amorphous SiO2 Layer

    Science.gov (United States)

    Johannes, Daniel; Webber, Robert

    2013-01-01

    Adiabatic demagnetization refrigerators (ADR) are operated in space to cool detectors of cosmic radiation to a few 10s of mK. A key element of the ADR is a superconducting magnet operating at about 0.3 K that is continually energized and de-energized in synchronism with a thermal switch, such that a piece of paramagnetic salt is alternately warm in a high magnetic field and cold in zero magnetic field. This causes the salt pill or refrigerant to cool, and it is able to suck heat from an object, e.g., the sensor, to be cooled. Current has to be fed into and out of the magnets from a dissipative power supply at the ambient temperature of the spacecraft. The current leads that link the magnets to the power supply inevitably conduct a significant amount of heat into the colder regions of the supporting cryostat, resulting in the need for larger, heavier, and more powerful supporting refrigerators. The aim of this project was to design and construct high-temperature superconductor (HTS) leads from YBCO (yttrium barium copper oxide) composite conductors to reduce the heat load significantly in the temperature regime below the critical temperature of YBCO. The magnet lead does not have to support current in the event that the YBCO ceases to be superconducting. Cus - tomarily, a normal metal conductor in parallel with the YBCO is a necessary part of the lead structure to allow for this upset condition; however, for this application, the normal metal can be dispensed with. Amorphous silicon dioxide is deposited directly onto the surface of YBCO, which resides on a flexible substrate. The silicon dioxide protects the YBCO from chemically reacting with atmospheric water and carbon dioxide, thus preserving the superconducting properties of the YBCO. The customary protective coating for flexible YBCO conductors is silver or a silver/gold alloy, which conducts heat many orders of magnitude better than SiO2 and so limits the use of such a composite conductor for passing current

  17. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    Science.gov (United States)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  18. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  19. Biomedical bandpass filter for fluorescence microscopy imaging based on TiO2/SiO2 and TiO2/MgF2 dielectric multilayers

    International Nuclear Information System (INIS)

    Butt, M A; Fomchenkov, S A; Verma, P; Khonina, S N; Ullah, A

    2016-01-01

    We report a design for creating a multilayer dielectric optical filters based on TiO 2 and SiO 2 /MgF 2 alternating layers. We have selected Titanium dioxide (TiO 2 ) for high refractive index (2.5), Silicon dioxide (SiO 2 ) and Magnesium fluoride (MgF 2 ) as a low refractive index layer (1.45 and 1.37) respectively. Miniaturized visible spectrometers are useful for quick and mobile characterization of biological samples. Such devices can be fabricated by using Fabry-Perot (FP) filters consisting of two highly reflecting mirrors with a central cavity in between. Distributed Bragg Reflectors (DBRs) consisting of alternating high and low refractive index material pairs are the most commonly used mirrors in FP filters, due to their high reflectivity. However, DBRs have high reflectivity for a selected range of wavelengths known as the stopband of the DBR. This range is usually much smaller than the sensitivity range of the spectrometer range. Therefore a bandpass filters are required to restrict wavelength outside the stopband of the FP DBRs. The proposed filter shows a high quality with average transmission of 97.4% within the passbands and the transmission outside the passband is around 4%. Special attention has been given to keep the thickness of the filters within the economic limits. It can be suggested that these filters are exceptional choice for florescence imaging and Endoscope narrow band imaging. (paper)

  20. Vacancy-type defects in TiO2/SiO2/SiC dielectric stacks

    Science.gov (United States)

    Coleman, P. G.; Burrows, C. P.; Mahapatra, R.; Wright, N. G.

    2007-07-01

    Open-volume (vacancy-type) point defects have been observed in ˜80-nm-thick titanium dioxide films grown on silicon dioxide/4H silicon carbide substrates as stacks with high dielectric constant for power device applications, using variable-energy positron annihilation spectroscopy. The concentration of vacancies decreases as the titanium dioxide growth temperature is increased in the range from 700to1000°C, whereas grain boundaries form in the polycrystalline material at the highest growth temperatures. It is proposed that the optimal electrical performance for films grown at 800°C reflects a balance between decreasing vacancy concentration and increasing grain boundary formation. The concentration of vacancies at the silicon dioxide/silicon carbide interface appears to saturate after 2.5h oxidation at 1150°C. A supplementary result suggests that the quality of the 10-μm-thick deposited silicon carbide epilayer is compromised at depths of about 2μm and beyond, possibly by the migration of impurities and/or other defects from the standard-grade highly doped 4H silicon carbide wafer beneath the epilayer during oxidation.

  1. Research Progress about the Relationship between Nanoparticles Silicon Dioxide and Lung Cancer

    Directory of Open Access Journals (Sweden)

    Chun DAI

    2014-10-01

    Full Text Available Nano-silicon dioxide widely distributed in plastic, rubber, ceramics, paint, adhesives, and many other fields, and it is the product of coal combustion. A growing evidence shows that nano-silicon dioxide has certain correlation with respiratory system disease. In this paper, we synthesized existing researches of domestic and abroad, summarized the lung toxicity of nanoparticles. This article are reviewed from the physical and chemical properties of nanoparticles silicon dioxide, exposure conditions and environment, and the pathogenic mechanism of nano-silicon dioxide.

  2. Preparation and characterization of flame retardant n-hexadecane/silicon dioxide composites as thermal energy storage materials.

    Science.gov (United States)

    Fang, Guiyin; Li, Hui; Chen, Zhi; Liu, Xu

    2010-09-15

    Flame retardant n-hexadecane/silicon dioxide (SiO(2)) composites as thermal energy storage materials were prepared using sol-gel methods. In the composites, n-hexadecane was used as the phase change material for thermal energy storage, and SiO(2) acted as the supporting material that is fire resistant. In order to further improve flame retardant property of the composites, the expanded graphite (EG) was added in the composites. Fourier transformation infrared spectroscope (FT-IR), X-ray diffractometer (XRD) and scanning electronic microscope (SEM) were used to determine chemical structure, crystalloid phase and microstructure of flame retardant n-hexadecane/SiO(2) composites, respectively. The thermal properties and thermal stability were investigated by a differential scanning calorimeter (DSC) and a thermogravimetric analysis apparatus (TGA), respectively. The SEM results showed that the n-hexadecane was well dispersed in the porous network of the SiO(2). The DSC results indicated that the melting and solidifying latent heats of the composites are 147.58 and 145.10 kJ/kg when the mass percentage of the n-hexadecane in the composites is 73.3%. The TGA results showed that the loading of the EG increased the charred residue amount of the composites at 700 degrees C, contributing to the improved thermal stability of the composites. It was observed from SEM photographs that the homogeneous and compact charred residue structure after combustion improved the flammability of the composites. Copyright 2010 Elsevier B.V. All rights reserved.

  3. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  4. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  5. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  6. Features of carrier tunneling between the silicon valence band and metal in devices based on the Al/high-K oxide/SiO_2/Si structure

    International Nuclear Information System (INIS)

    Vexler, M. I.; Grekhov, I. V.

    2016-01-01

    The features of electron tunneling from or into the silicon valence band in a metal–insulator–semiconductor system with the HfO_2(ZrO_2)/SiO_2 double-layer insulator are theoretically analyzed for different modes. It is demonstrated that the valence-band current plays a less important role in structures with HfO_2(ZrO_2)/SiO_2 than in structures containing only silicon dioxide. In the case of a very wide-gap high-K oxide ZrO_2, nonmonotonic behavior related to tunneling through the upper barrier is predicted for the valence-band–metal current component. The use of an insulator stack can offer certain advantages for some devices, including diodes, bipolar tunnel-emitter transistors, and resonant-tunneling diodes, along with the traditional use of high-K insulators in a field-effect transistor.

  7. Towards scalable binderless electrodes: carbon coated silicon nanofiber paper via Mg reduction of electrospun SiO2 nanofibers.

    Science.gov (United States)

    Favors, Zachary; Bay, Hamed Hosseini; Mutlu, Zafer; Ahmed, Kazi; Ionescu, Robert; Ye, Rachel; Ozkan, Mihrimah; Ozkan, Cengiz S

    2015-02-06

    The need for more energy dense and scalable Li-ion battery electrodes has become increasingly pressing with the ushering in of more powerful portable electronics and electric vehicles (EVs) requiring substantially longer range capabilities. Herein, we report on the first synthesis of nano-silicon paper electrodes synthesized via magnesiothermic reduction of electrospun SiO2 nanofiber paper produced by an in situ acid catalyzed polymerization of tetraethyl orthosilicate (TEOS) in-flight. Free-standing carbon-coated Si nanofiber binderless electrodes produce a capacity of 802 mAh g(-1) after 659 cycles with a Coulombic efficiency of 99.9%, which outperforms conventionally used slurry-prepared graphite anodes by over two times on an active material basis. Silicon nanofiber paper anodes offer a completely binder-free and Cu current collector-free approach to electrode fabrication with a silicon weight percent in excess of 80%. The absence of conductive powder additives, metallic current collectors, and polymer binders in addition to the high weight percent silicon all contribute to significantly increasing capacity at the cell level.

  8. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    Science.gov (United States)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  9. Twenty-fold plasmon-induced enhancement of radiative emission rate in silicon nanocrystals embedded in silicon dioxide

    International Nuclear Information System (INIS)

    Gardelis, S; Gianneta, V.; Nassiopoulou, A.G

    2016-01-01

    We report on a 20-fold enhancement of the integrated photoluminescence (PL) emission of silicon nanocrystals, embedded in a matrix of silicon dioxide, induced by excited surface plasmons from silver nanoparticles, which are located in the vicinity of the silicon nanocrystals and separated from them by a silicon dioxide layer of a few nanometers. The electric field enhancement provided by the excited surface plasmons increases the absorption cross section and the emission rate of the nearby silicon nanocrystals, resulting in the observed enhancement of the photoluminescence, mainly attributed to a 20-fold enhancement in the emission rate of the silicon nanocrystals. The observed remarkable improvement of the PL emission makes silicon nanocrystals very useful material for photonic, sensor and solar cell applications.

  10. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  11. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  12. Experimental observations of the chemistry of the SiO2/Si interface

    Science.gov (United States)

    Grunthaner, F. J.; Maserjian, J.

    1977-01-01

    Changes in silicon surface preparation prior to thermal oxidation are shown to leave a signature by altering the final SiO2/Si interface structure. Surface analytical techniques, including XPS, static SIMS, ion milling, and newly developed wet-chemical profiling procedures are used to obtain detailed information on the chemical structure of the interface. The oxides are shown to be essentially SiO2 down to a narrow transitional interface layer (3-7 A). A number of discrete chemical species are observed in this interface layer, including different silicon bonds (e.g., C-, OH-, H-) and a range of oxidation states of silicon (0 to +4). The effect of surface preparation and the observed chemical species are correlated with oxide growth rate, surface-state density, and flatband shifts after irradiation.

  13. SiO2 Glass Density to Lower-Mantle Pressures

    DEFF Research Database (Denmark)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste

    2017-01-01

    and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range...... for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60...... GPa. The density data present two discontinuities at similar to 17 and similar to 60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at similar to 40 GPa, and its density...

  14. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  15. Defects in SiO2 crystals after neutron irradiations at 20 K and 360 K

    International Nuclear Information System (INIS)

    Nakagawa, M.; Okada, M.; Kawabata, Y.; Atobe, K.; Itoh, H.; Nakanishi, S.

    1994-01-01

    The synthetic silicon dioxide (SiO 2 ), cut parallel (x-plate) or perpendicular (z-plate) to c-axis, are irradiated by reactor neutrons at 360 K (2.8x10 18 n/cm 2 ) or at 20 K (8.0x10 16 n/cm 2 ). After neutron irradiation at 360 K, the main absorption peak can be observed at 212 nm (5.84 eV) for z-plate and 217 nm (5.71 eV) for x-plate. After irradiation at 20 K a new band at 250 nm (4.96 eV) can be observed in addition to the band at about 220 nm. The 250 nm band having FWHM similar 0.44 eV disappears at 300-340 K. Thermoluminescences are also observed between 80 to 400 K; which show some difference between x-plate and z-plate. ((orig.))

  16. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    International Nuclear Information System (INIS)

    Balvay, A; Bencsik, A; Thieriet, N; Lakhdar, L

    2013-01-01

    Titanium and silicon dioxide nanoparticles (TiO 2 and SiO 2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO 2 and SiO 2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO 2 and SiO 2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO 2 and SiO 2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO 2 and SiO 2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO 2 and SiO 2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better

  17. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    Science.gov (United States)

    Balvay, A.; Thieriet, N.; Lakhdar, L.; Bencsik, A.

    2013-04-01

    Titanium and silicon dioxide nanoparticles (TiO2 and SiO2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO2 and SiO2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO2 and SiO2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO2 and SiO2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO2 and SiO2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO2 and SiO2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better characterize TiO2

  18. Internal Friction and Young's Modulus Measurements on SiO2 and Ta2O5 Films Done with an Ultra-High Q Silicon-Wafer Suspension

    Directory of Open Access Journals (Sweden)

    Granata M.

    2015-04-01

    Full Text Available In order to study the internal friction of thin films a nodal suspension system called GeNS (Gentle Nodal Suspension has been developed. The key features of this system are: i the possibility to use substrates easily available like silicon wafers; ii extremely low excess losses coming from the suspension system which allows to measure Q factors in excess of 2×108 on 3” diameter wafers; iii reproducibility of measurements within few percent on mechanical losses and 0.01% on resonant frequencies; iv absence of clamping; v the capability to operate at cryogenic temperatures. Measurements at cryogenic temperatures on SiO2 and at room temperature only on Ta2O5 films deposited on silicon are presented.

  19. Ultrathin, epitaxial cerium dioxide on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Flege, Jan Ingo, E-mail: flege@ifp.uni-bremen.de; Kaemena, Björn; Höcker, Jan; Schmidt, Thomas; Falta, Jens [Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen (Germany); Bertram, Florian [Photon Science, Deutsches Elektronensynchrotron (DESY), Notkestraße 85, 22607 Hamburg (Germany); Wollschläger, Joachim [Department of Physics, University of Osnabrück, Barbarastraße 7, 49069 Osnabrück (Germany)

    2014-03-31

    It is shown that ultrathin, highly ordered, continuous films of cerium dioxide may be prepared on silicon following substrate prepassivation using an atomic layer of chlorine. The as-deposited, few-nanometer-thin Ce{sub 2}O{sub 3} film may very effectively be converted at room temperature to almost fully oxidized CeO{sub 2} by simple exposure to air, as demonstrated by hard X-ray photoemission spectroscopy and X-ray diffraction. This post-oxidation process essentially results in a negligible loss in film crystallinity and interface abruptness.

  20. Blocking germanium diffusion inside silicon dioxide using a co-implanted silicon barrier

    Science.gov (United States)

    Barba, D.; Wang, C.; Nélis, A.; Terwagne, G.; Rosei, F.

    2018-04-01

    We investigate the effect of co-implanting a silicon sublayer on the thermal diffusion of germanium ions implanted into SiO2 and the growth of Ge nanocrystals (Ge-ncs). High-resolution imaging obtained by transmission electron microscopy and energy dispersive spectroscopy measurements supported by Monte-Carlo calculations shows that the Si-enriched region acts as a diffusion barrier for Ge atoms. This barrier prevents Ge outgassing during thermal annealing at 1100 °C. Both the localization and the reduced size of Ge-ncs formed within the sample region co-implanted with Si are observed, as well as the nucleation of mixed Ge/Si nanocrystals containing structural point defects and stacking faults. Although it was found that the Si co-implantation affects the crystallinity of the formed Ge-ncs, this technique can be implemented to produce size-selective and depth-ordered nanostructured systems by controlling the spatial distribution of diffusing Ge. We illustrate this feature for Ge-ncs embedded within a single SiO2 monolayer, whose diameters were gradually increased from 1 nm to 5 nm over a depth of 100 nm.

  1. Effects of phosphorus doping on structural and optical properties of silicon nanocrystals in a SiO2 matrix

    International Nuclear Information System (INIS)

    Hao, X.J.; Cho, E.-C.; Scardera, G.; Bellet-Amalric, E.; Bellet, D.; Shen, Y.S.; Huang, S.; Huang, Y.D.; Conibeer, G.; Green, M.A.

    2009-01-01

    Promise of Si nanocrystals highly depends on tailoring their behaviour through doping. Phosphorus-doped silicon nanocrystals embedded in a silicon dioxide matrix have been realized by a co-sputtering process. The effects of phosphorus-doping on the properties of Si nanocrystals are investigated. Phosphorus diffuses from P-P and/or P-Si to P-O upon high temperature annealing. The dominant X-ray photoelectron spectroscopy P 2p signal attributable to Si-P and/or P-P (130 eV) at 1100 o C indicates that the phosphorus may exist inside Si nanocrystals. It is found that existence of phosphorus enhances phase separation of silicon rich oxide and thereby Si crystallization. In addition, phosphorus has a considerable effect on the optical absorption and photoluminescence properties as a function of annealing temperature.

  2. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  3. Incorporation of the Fe3O4 and SiO2 nanoparticles in epoxy-modified silicone resin as the coating for soft magnetic composites with enhanced performance

    Science.gov (United States)

    Luo, Dahao; Wu, Chen; Yan, Mi

    2018-04-01

    Three inorganic-organic hybrids have been designed by incorporating epoxy-modified silicone resin (ESR) with SiO2, Fe3O4 and their mixture in the application as the coating of Fe soft magnetic composites (SMCs). The introduced SiO2 nanoparticles are well dispersed in the ESR, while the Fe3O4 tends to agglomerate or even separate from the ESR. Simultaneous addition of the SiO2 and Fe3O4 gives rise to satisfactory distribution of both nanoparticles and optimized magnetic performance of the SMCs with high permeability (124.6) and low loss (807.8 mW/cm3). On one hand, introduction of the ferromagnetic Fe3O4 reduces the magnetic dilution effect, which is beneficial for improved magnetization and permeability. On the other hand, SiO2 incorporation prevents the agglomeration of the Fe3O4 nanoparticles and gives rise to increased electrical resistivity for reduced core loss as well as enhanced mechanical strength of the SMCs.

  4. Sol-gel synthesis of TiO2-SiO2 photocatalyst for β-naphthol photodegradation

    International Nuclear Information System (INIS)

    Qourzal, S.; Barka, N.; Tamimi, M.; Assabbane, A.; Nounah, A.; Ihlal, A.; Ait-Ichou, Y.

    2009-01-01

    Silica gel supported titanium dioxide particles (TiO 2 -SiO 2 ) prepared by sol-gel method was as photocatalyst in the degradation of β-naphthol in water under UV-illumination. The prepared sample has been characterized by powder X-ray diffraction (XRD), infrared spectroscopy (IR) and scanning electron microscopy (SEM). The supported catalyst had large surface area and good sedimentation ability. The photodegradation rate of β-naphthol under UV-irradiation depended strongly on adsorption capacity of the catalyst, and the photoactivity of the supported catalyst was much higher than that of the pure titanium dioxides. The experiments were measured by high performance liquid chromatography (HPLC). The photodegradation rate of β-naphthol using 60% TiO 2 -SiO 2 particles was faster than that using TiO 2 'Degussa P-25', TiO 2 'PC-50' and TiO 2 'Aldrich' as photocatalyst by 2.7, 4 and 7.8 times, respectively. The kinetics of photocatalytic β-naphthol degradation was found to follow a pseudo-first-order rate law. The effect of the TiO 2 loading on the photoactivity of TiO 2 -SiO 2 particles was also discussed. With good photocatalytic activity under UV-irradiation and the ability to be readily separated from the reaction system, this novel kind of catalyst exhibited the potential effective in the treatment of organic pollutants in aqueous systems.

  5. Determination of phosphorus distribution in the region of a SiO2-Si interface by substoichiometric analysis

    International Nuclear Information System (INIS)

    Shigematsu, T.; Yonezawa, H.

    1994-01-01

    A simplified method for the substoichiometric analysis of phosphorus has been developed and applied to determine the concentration distribution of phosphorus in the region of a SiO 2 -Si interface in order to explain why phosphorus is lost from the ion-implanted silicon surface throughout the oxidation and oxide removal processes. It is revealed that phosphorus piles up on the SiO 2 side at the interface by the thermal oxidation of silicon surface and is removed with the oxide by wet etching and with the resulting silicon by RCA cleaning. This results in a total loss of ion-implanted phosphorus of 3.5%. (author) 11 refs.; 2 figs.; 3 tabs

  6. 21 CFR 172.480 - Silicon dioxide.

    Science.gov (United States)

    2010-04-01

    ... alcohol in tableted foods for special dietary use, in an amount not greater than that required to... 21 Food and Drugs 3 2010-04-01 2009-04-01 true Silicon dioxide. 172.480 Section 172.480 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) FOOD FOR HUMAN...

  7. Oil Contact Angles in a Water-Decane-Silicon Dioxide System: Effects of Surface Charge.

    Science.gov (United States)

    Xu, Shijing; Wang, Jingyao; Wu, Jiazhong; Liu, Qingjie; Sun, Chengzhen; Bai, Bofeng

    2018-04-19

    Oil wettability in the water-oil-rock systems is very sensitive to the evolution of surface charges on the rock surfaces induced by the adsorption of ions and other chemical agents in water flooding. Through a set of large-scale molecular dynamics simulations, we reveal the effects of surface charge on the oil contact angles in an ideal water-decane-silicon dioxide system. The results show that the contact angles of oil nano-droplets have a great dependence on the surface charges. As the surface charge density exceeds a critical value of 0.992 e/nm 2 , the contact angle reaches up to 78.8° and the water-wet state is very apparent. The variation of contact angles can be confirmed from the number density distributions of oil molecules. With increasing the surface charge density, the adsorption of oil molecules weakens and the contact areas between nano-droplets and silicon dioxide surface are reduced. In addition, the number density distributions, RDF distributions, and molecular orientations indicate that the oil molecules are adsorbed on the silicon dioxide surface layer-by-layer with an orientation parallel to the surface. However, the layered structure of oil molecules near the silicon dioxide surface becomes more and more obscure at higher surface charge densities.

  8. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  9. Nitridation of SiO2 for surface passivation

    Science.gov (United States)

    Lai, S. K. C.

    1985-01-01

    An attempt is made to relate the electrical properties of silicon dioxide film to the process history. A model is proposed to explain some of the observed results. It is shown that with our present knowledge of the dielectric, silicon dioxide film shows a lot of promise for its use in surface passivation, both for its resistance to impurity diffusion and for its resistance to radiation damage effects.

  10. Accumulation of radiation defects and products of radiolysis in lithium orthosilicate pebbles with silicon dioxide additions under action of high absorbed doses and high temperature in air and inert atmosphere

    Science.gov (United States)

    Zarins, A.; Supe, A.; Kizane, G.; Knitter, R.; Baumane, L.

    2012-10-01

    One of the technological problems of a fusion reactor is the change in composition and structure of ceramic breeders (Li4SiO4 or Li2TiO3 pebbles) during long-term operation. In this study changes in the composition and microstructure of Li4SiO4 pebbles with 2.5 wt% silicon dioxide additions, fabricated by a melt-spraying process, were investigated after fast electron irradiation (E = 5 MeV, dose rate up to 88 MGy h-1) with high absorbed dose from 1.3 to 10.6 GGy at high temperature (543-573 K) in air and argon atmosphere. Three types of pebbles with different diameters and grain sizes were investigated. Products of radiolysis were studied by means of FTIR and XRD. TSL and ESR spectroscopy were used to detect radiation defects. SEM was used to investigate structure of pebbles. Experiments showed that Li4SiO4 pebbles with a diameter of 500 μm had similar radiation stability as pebbles with diameter <50 μm which were annealed at 1173 K for 128 h in argon and air atmosphere. As well as determined that lithium orthosilicate pebbles with size 500 (1243 K 168 h) and <50 μm (1173 K 128 h) have a higher radiation stability in air and argon atmosphere than pebbles with size <50 μm (1073 K 1 h). Degree of decomposition α10.56 of the lithium orthosilicate pebbles at an absorbed dose of 10.56 GGy in air atmosphere is 1.5% and 0.15% at irradiation in dry argon. It has been suggested that changes of radiation stability of lithium orthosilicate pebbles in air atmosphere comparing with irradiated pebbles in argon atmosphere is effect of chemical reaction of lithium orthosilicate surface with air containing - H2O and CO2 in irradiation process. As well as it has been suggested that silicon dioxide - lithium metasilicate admixtures do not affect formation mechanism of radiation defect and products of radiolysis in lithium orthosilicate pebbles.

  11. In vitro cytotoxicity of SiO2 or ZnO nanoparticles with different sizes and surface charges on U373MG human glioblastoma cells

    Directory of Open Access Journals (Sweden)

    Kim JE

    2014-12-01

    Full Text Available Jung-Eun Kim,1,* Hyejin Kim,1,* Seong Soo A An,2 Eun Ho Maeng,3 Meyoung-Kon Kim,4 Yoon-Jae Song1 1Department of Life Science, 2Department of Bionano Technology, Gachon University, Seongnam-Si, South Korea; 3Korea Testing and Research Institute, Seoul, South Korea; 4Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea *These authors contributed equally to this work Abstract: Silicon dioxide (SiO2 and zinc oxide (ZnO nanoparticles are widely used in various applications, raising issues regarding the possible adverse effects of these metal oxide nanoparticles on human cells. In this study, we determined the cytotoxic effects of differently charged SiO2 and ZnO nanoparticles, with mean sizes of either 100 or 20 nm, on the U373MG human glioblastoma cell line. The overall cytotoxicity of ZnO nanoparticles against U373MG cells was significantly higher than that of SiO2 nanoparticles. Neither the size nor the surface charge of the ZnO nanoparticles affected their cytotoxicity against U373MG cells. The 20 nm SiO2 nanoparticles were more toxic than the 100 nm nanoparticles against U373MG cells, but the surface charge had little or no effect on their cytotoxicity. Both SiO2 and ZnO nanoparticles activated caspase-3 and induced DNA fragmentation in U373MG cells, suggesting the induction of apoptosis. Thus, SiO2 and ZnO nanoparticles appear to exert cytotoxic effects against U373MG cells, possibly via apoptosis. Keyword: apoptosis

  12. Calculation of critical concentrations of actinides in an infinite medium of silicon dioxide

    International Nuclear Information System (INIS)

    Okuno, Hiroshi; Sato, Shohei; Kawasaki, Hiromitsu

    2009-01-01

    The critical concentrations of actinides in metal-silicon-dioxide (SiO 2 ) and in metal-water (H 2 O) mixtures were calculated for 26 actinides including 233,235 U, 239,241 Pu, 242m Am, 243,245,247 Cm, and 249,251 Cf. The calculations were performed using the Monte Carlo neutron transport calculation code MCNP5 combined with the evaluated nuclear data library JENDL3.3. The results showed that the critical concentration of actinide in metal-SiO 2 mixtures was about 1/5 of that in metal-H 2 O mixtures for all the fissile nuclides investigated. The k ∞ 's of metal-SiO 2 and metal-H 2 O at one-half of the respective critical concentration of actinide, which was assumed as the subcritical concentration limit, were found to be less than 0.8 for all the actinides considered. By applying the sum-of-fractions rule to the concentrations of six nuclides in metal-SiO 2 mixtures, the subcriticality of high-level radioactive wastes was confirmed for a reported sample. The effects of different nuclear data libraries on the results of critical concentrations were found to be large for 242 Cm, 247 Cm, and 250 Cf by comparison with the results calculated with another evaluated nuclear data library, ENDF/B-VI. (author)

  13. On red-shift of UV photoluminescence with decreasing size of silicon nanoparticles embedded in SiO2 matrix grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Chaturvedi, Amita; Joshi, M.P.; Rani, Ekta; Ingale, Alka; Srivastava, A.K.; Kukreja, L.M.

    2014-01-01

    Ensembles of silicon nanoparticles (Si-nps) embedded in SiO 2 matrix were grown by alternate ablation of Si and SiO 2 targets using KrF excimer laser based pulsed laser deposition (PLD). The sizes of Si-nps (mean size ranging from 1–5 nm) were controlled by varying the ablation time of silicon target. Transmission electron microscopy (TEM) along with selected area electron diffraction (SAED) and Raman spectroscopy were used to confirm the growth of silicon nanoparticles, its size variation with growth time and the crystalline quality of the grown nanoparticles. TEM analysis showed that mean size and size distribution of Si-nps increased with increase in the ablation time of Si target. Intense peaks ∼521 cm −1 in Raman analysis showed reasonably good crystalline quality of grown Si-nps. We observed asymmetric broadening of phonon line shapes which also redshift with decreasing size of Si-nps. Photoluminescence (PL) from these samples, obtained at room temperature, was broad band and consisted of three bands in UV and visible range. The intensity of PL band in UV spectral range (peak ∼3.2 eV) was strong compared to visible range bands (peaks ∼2.95 eV and ∼2.55 eV). We observed a small red-shift (∼0.07 eV) of peak position of UV range PL with the decrease in the mean sizes of Si-nps, while there was no appreciable size dependent shift of PL peak positions for other bands in the visible range. The width of UV PL band was also found to increase with decrease of Si-nps mean sizes. Based on the above observations of size dependent redshift of UV range PL band together with the PL lifetimes and PL excitation spectroscopy, the origin of UV PL band is attributed to the direct band transition at the Γ point of Si band structure. Visible range bands were ascribed as defect related transitions. The weak intensities of PL bands ∼2.95 eV and ∼2.55 eV suggested that Si nanoparticles grown by PLD were efficiently capped or passivated by SiO 2 with low density of

  14. Liquid-phase-deposited SiO2 on AlGaAs and its application

    International Nuclear Information System (INIS)

    Lee, Kuan-Wei; Huang, Jung-Sheng; Lu, Yu-Lin; Lee, Fang-Ming; Lin, Hsien-Cheng; Huang, Jian-Jun; Wang, Yeong-Her

    2011-01-01

    The silicon dioxide (SiO 2 ) on AlGaAs prepared by liquid phase deposition (LPD) at 40 °C has been explored. The LPD-SiO 2 film deposition rate is about 67 nm h −1 for the first hour. The leakage current density is about 1.21 × 10 −6 A cm −2 at 1 MV cm −1 . The interface trap density (D it ) and the flat-band voltage shift (ΔV FB ) are 1.28 × 10 12 cm −2 eV −1 and 0.5 V, respectively. After rapid thermal annealing in the N 2 ambient at 300 °C for 1 min, the leakage current density, D it , and ΔV FB can be improved to 4.24 × 10 −7 A cm −2 at 1 MV cm −1 , 1.7 × 10 11 cm −2 eV −1 , and 0.2 V, respectively. Finally, this study demonstrates the application of the LPD-SiO 2 film to the AlGaAs/InGaAs pseudomorphic high-electron-mobility transistor

  15. Surface Modification of SiO2 Microchannels with Biocompatible Polymer Using Supercritical Carbon Dioxide

    Science.gov (United States)

    Saito, Tatsuro; Momose, Takeshi; Hoshi, Toru; Takai, Madoka; Ishihara, Kazuhiko; Shimogaki, Yukihiro

    2010-11-01

    The surface of 500-mm-long microchannels in SiO2 microchips was modified using supercritical CO2 (scCO2) and a biocompatible polymer was coated on it to confer biocompatibility to the SiO2 surface. In this method, the SiO2 surface of a microchannel was coated with poly(ethylene glycol monomethacrylate) (PEGMA) as the biocompatible polymer using allyltriethoxysilane (ATES) as the anchor material in scCO2 as the reactive medium. Results were compared with those using the conventional wet method. The surface of a microchannel could not be modified by the wet method owing to the surface tension and viscosity of the liquid, but it was modified uniformly by the scCO2 method probably owing to the near-zero surface tension, low viscosity, and high diffusivity of scCO2. The effect of the surface modification by the scCO2 method to prevent the adsorption of protein was as high as that of the modification by the wet method. Modified microchips can be used in biochemical and medical analyses.

  16. Impurities of oxygen in silicon

    International Nuclear Information System (INIS)

    Gomes, V.M.S.

    1985-01-01

    The electronic structure of oxygen complex defects in silicon, using molecular cluster model with saturation by watson sphere into the formalism of Xα multiple scattering method is studied. A systematic study of the simulation of perfect silicon crystal and an analysis of the increasing of atom number in the clusters are done to choose the suitable cluster for the calculations. The divacancy in three charge states (Si:V 2 + , Si:V 2 0 , Si:V 2 - ), of the oxygen pair (Si:O 2 ) and the oxygen-vacancy pair (Si:O.V) neighbours in the silicon lattice, is studied. Distortions for the symmetry were included in the Si:V 2 + and Si:O 2 systems. The behavior of defect levels related to the cluster size of Si:V 2 0 and Si:O 2 systems, the insulated oxygen impurity of silicon in interstitial position (Si:O i ), and the complexes involving four oxygen atoms are analysed. (M.C.K.) [pt

  17. Thermodynamics of Boron Removal from Silicon Using CaO-MgO-Al2O3-SiO2 Slags

    Science.gov (United States)

    Jakobsson, Lars Klemet; Tangstad, Merete

    2018-04-01

    Slag refining is one of few metallurgical methods for removal of boron from silicon. It is important to know the thermodynamic properties of boron in slags to understand the refining process. The relation of the distribution coefficient of boron to the activity of silica, partial pressure of oxygen, and capacity of slags for boron oxide was investigated. The link between these parameters explains why the distribution coefficient of boron does not change much with changing slag composition. In addition, the thermodynamic properties of dilute boron oxide in CaO-MgO-Al2O3-SiO2 slags was determined. The ratio of the activity coefficient of boron oxide and silica was found to be the most important parameter for understanding changes in the distribution coefficient of boron for different slags. Finally, the relation between the activity coefficient of boron oxide and slag structure was investigated. It was found that the structure can explain how the distribution coefficient of boron changes depending on slag composition.

  18. Formation and accumulation of radiation-induced defects and radiolysis products in modified lithium orthosilicate pebbles with additions of titanium dioxide

    Science.gov (United States)

    Zarins, Arturs; Valtenbergs, Oskars; Kizane, Gunta; Supe, Arnis; Knitter, Regina; Kolb, Matthias H. H.; Leys, Oliver; Baumane, Larisa; Conka, Davis

    2016-03-01

    Lithium orthosilicate (Li4SiO4) pebbles with 2.5 wt.% excess of silicon dioxide (SiO2) are the European Union's designated reference tritium breeding ceramics for the Helium Cooled Pebble Bed (HCPB) Test Blanket Module (TBM). However, the latest irradiation experiments showed that the reference Li4SiO4 pebbles may crack and form fragments under operation conditions as expected in the HCPB TBM. Therefore, it has been suggested to change the chemical composition of the reference Li4SiO4 pebbles and to add titanium dioxide (TiO2), to obtain lithium metatitanate (Li2TiO3) as a second phase. The aim of this research was to investigate the formation and accumulation of radiation-induced defects (RD) and radiolysis products (RP) in the modified Li4SiO4 pebbles with different contents of TiO2 for the first time, in order to estimate and compare radiation stability. The reference and the modified Li4SiO4 pebbles were irradiated with accelerated electrons (E = 5 MeV) up to 5000 MGy absorbed dose at 300-990 K in a dry argon atmosphere. By using electron spin resonance (ESR) spectroscopy it was determined that in the modified Li4SiO4 pebbles, several paramagnetic RD and RP are formed and accumulated, like, E' centres (SiO33-/TiO33-), HC2 centres (SiO43-/TiO3-) etc. On the basis of the obtained results, it is concluded that the modified Li4SiO4 pebbles with TiO2 additions have comparable radiation stability with the reference pebbles.

  19. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  20. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  1. SiO2 Antireflection Coatings Fabricated by Electron-Beam Evaporation for Black Monocrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2014-01-01

    Full Text Available In this work we prepared double-layer antireflection coatings (DARC by using the SiO2/SiNx:H heterostructure design. SiO2 thin films were deposited by electron-beam evaporation on the conventional solar cell with SiNx:H single-layer antireflection coatings (SARC, while to avoid the coverage of SiO2 on the front side busbars, a steel mask was utilized as the shelter. The thickness of the SiNx:H as bottom layer was fixed at 80 nm, and the varied thicknesses of the SiO2 as top layer were 105 nm and 122 nm. The results show that the SiO2/SiNx:H DARC have a much lower reflectance and higher external quantum efficiency (EQE in short wavelengths compared with the SiNx:H SARC. A higher energy conversion efficiency of 17.80% was obtained for solar cells with SiO2 (105 nm/SiNx:H (80 nm DARC, an absolute conversion efficiency increase of 0.32% compared with the conventional single SiNx:H-coated cells.

  2. HNT neurons patterned on a parylene-C/silicon dioxide interface

    International Nuclear Information System (INIS)

    Unsworth, C.P.; Graham, E.S.; Dragunow, M.; Delivopoulos, E.; Murray, A.F.

    2010-01-01

    Full text: In this article, we describe how we have successfully patterned lines of human teratocarcinoma cell line-derived (HNT) neurons on silicon chip. The silicon chips used in this study were created by depositing lines of the biomaterial Parylene-C onto a silicon dioxide substrate using photolithographic techniques. The chips were then immersed in a range of serums and the HNT neurons cultured for different periods of time. It was found that chips immersed in Foetal Bovine Serum (FBS) and then plated with 70 cells per square mm for 3 h on a Parylene-C thickness of 100 nm provided excellent patterning on the Parylene-C material with a very sharp contrast to the silicon dioxide substrate. The human HNT neuron was chosen as it provides the closest model to adult human neural tissue. The breakthrough in patterning such cells on silicon chip has widespread implication and value as a platform technology; to enable a detailed study of adult human brain circuits for a range of adult human brain pathologies. This could eventually lead to potential new treatments and lead to the development of new drug assays. (author)

  3. Investigations of the interactions of silicon dioxide with copper-aluminum alloy used as an adhesion promoter and diffusion barrier for copper metallization on silicon dioxide

    Science.gov (United States)

    Wang, Pei-I.

    This study explores the concept of alloying copper with Al in order to impart properties that will make Cu useful for interconnect applications in ICs. The advantages of using Al as the alloying element lies in the thermodynamically favored interaction of Al with the underlying dielectric and with the O 2 at the surface of pure Cu thus achieving both the adhesion and passivation. This approach has been shown to generate an ultra thin interfacial layer, which acts as an adhesion promoter and diffusion barrier against Cu migration in the dielectric, without significantly affecting the resistivity of Cu. An emphasis has been placed to examine (a) the interaction of Al (from the Cu-Al alloy) with SiO2 at the alloy-SiO2 interface, (b) the Al migration to surface of the alloy or pure Cu if used, and (c) the impact of such migration on the bulk Cu film and passivation on the surface. In this work, sputtered Cu-Al (1--5 at%), with a resistivity in the range of 5--6 muO-cm, were studied as diffusion barriers/adhesion promoters between SiO2 and pure Cu. The films were examined in as-deposited state and after anneal at different temperatures for varying times and in different ambients by the use of surface and interface characterization techniques, Rutherford backscattering spectrometry (RBS) and secondary ion mass spectroscopy (SIMS), and resistance measurements together with metal-oxide-silicon (MOS) capacitor studies. Transmission electron microscopy (TEM) and X-ray diffraction (XRD) were also used to elucidate the structure. The results elucidate the mechanisms of Al movement and interaction with the interface SiO2 and O2 on surface and indicate that films of Cu doped with Al do act as a suitable diffusion barrier and adhesion promoter between SiO2 and Cu.

  4. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  5. Performance of NiFe2O4-SiO2-TiO2 Magnetic Photocatalyst for the Effective Photocatalytic Reduction of Cr(VI in Aqueous Solutions

    Directory of Open Access Journals (Sweden)

    Mike O. Ojemaye

    2017-01-01

    Full Text Available Investigation into the reduction of Cr(VI in aqueous solution was carried out through some batch photocatalytic studies. The photocatalysts used were silica coated nickel ferrite nanoparticles (NiFe2O4-SiO2, nickel ferrite titanium dioxide (NiFe2O4-TiO2, nickel ferrite silica titanium dioxide (NiFe2O4-SiO2-TiO2, and titanium dioxide (TiO2. The characterization of the materials prepared via stepwise synthesis using coprecipitation and sol-gel methods were carried out with the aid of X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared (FTIR spectroscopy, thermal gravimetric analysis (TGA, and vibrating sample magnetometry (VSM. The reduction efficiency was studied as a function of pH, photocatalyst dose, and contact time. The effects of silica interlayer between the magnetic photocatalyst materials reveal that reduction efficiency of NiFe2O4-SiO2-TiO2 towards Cr(VI was higher than that of NiFe2O4-TiO2. However, TiO2 was observed to have the highest reduction efficiency at all batch photocatalytic experiments. Kinetics study shows that photocatalytic reduction of Cr(VI obeyed Langmuir-Hinshelwood model and first-order rate kinetics. Regenerability study also suggested that the photocatalyst materials can be reused.

  6. Communication: Photoinduced carbon dioxide binding with surface-functionalized silicon quantum dots

    Science.gov (United States)

    Douglas-Gallardo, Oscar A.; Sánchez, Cristián Gabriel; Vöhringer-Martinez, Esteban

    2018-04-01

    Nowadays, the search for efficient methods able to reduce the high atmospheric carbon dioxide concentration has turned into a very dynamic research area. Several environmental problems have been closely associated with the high atmospheric level of this greenhouse gas. Here, a novel system based on the use of surface-functionalized silicon quantum dots (sf-SiQDs) is theoretically proposed as a versatile device to bind carbon dioxide. Within this approach, carbon dioxide trapping is modulated by a photoinduced charge redistribution between the capping molecule and the silicon quantum dots (SiQDs). The chemical and electronic properties of the proposed SiQDs have been studied with a Density Functional Theory and Density Functional Tight-Binding (DFTB) approach along with a time-dependent model based on the DFTB framework. To the best of our knowledge, this is the first report that proposes and explores the potential application of a versatile and friendly device based on the use of sf-SiQDs for photochemically activated carbon dioxide fixation.

  7. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  8. Selective Synthesis of Manganese/Silicon Complexes in Supercritical Water

    Directory of Open Access Journals (Sweden)

    Jiancheng Wang

    2014-01-01

    Full Text Available A series of manganese salts (Mn(NO32, MnCl2, MnSO4, and Mn(Ac2 and silicon materials (silica sand, silica sol, and tetraethyl orthosilicate were used to synthesize Mn/Si complexes in supercritical water using a tube reactor. X-ray diffraction (XRD, X-ray photoelectron spectrometer (XPS, transmission electron microscopy (TEM, and scanning electron microscopy (SEM were employed to characterize the structure and morphology of the solid products. It was found that MnO2, Mn2O3, and Mn2SiO4 could be obtained in supercritical water at 673 K in 5 minutes. The roles of both anions of manganese salts and silicon species in the formation of manganese silicon complexes were discussed. The inorganic manganese salt with the oxyacid radical could be easily decomposed to produce MnO2/SiO2 and Mn2O3/SiO2. It is interesting to found that Mn(Ac2 can react with various types of silicon to produce Mn2SiO4. The hydroxyl groups of the SiO2 surface from different silicon sources enhance the reactivity of SiO2.

  9. Generation of neutron scattering cross sections for silicon dioxide

    International Nuclear Information System (INIS)

    Ramos, R; Marquez Damian, J.I; Granada, J.R.; Cantargi, F

    2009-01-01

    A set of neutron scattering cross sections for silicon and oxygen bound in silicon dioxide were generated and validated. The cross sections were generated in the ACE format for MCNP using the nuclear data processing system NJOY, and the validation was done with published experimental data. This cross section library was applied to the calculation of five critical configurations published in the benchmark Critical Experiments with Heterogeneous Compositions of Highly Enriched Uranium, Silicon Dioxide and Polyethylene. The original calculations did not use the thermal scattering libraries generated in this work and presented significant differences with the experimental results. For this reason, the newly generated library was added to the input and the multiplication factor for each configuration was recomputed. The utilization of the thermal scattering libraries did not result in an improvement of the computational results. Based on this we conclude that integral experiments to validate this type of thermal cross sections need to be designed with a higher influence of thermal scattering in the measured result, and the experiments have to be performed under more controlled conditions. [es

  10. Bioactivity of gel-glass powders in the CaO-SiO2 system: a comparison with ternary (CaO-P2O5-SiO2) and quaternary glasses (SiO2-CaO-P2O5-Na2O).

    Science.gov (United States)

    Saravanapavan, Priya; Jones, Julian R; Pryce, Russell S; Hench, Larry L

    2003-07-01

    Bioactive glasses react chemically with body fluids in a manner that is compatible with the repair processes of the tissues. This results in the formation of an interfacial bond between the glasses and living tissue. Bioactive glasses also stimulate bone-cell proliferation. This behavior is dependent on the chemical composition as well as the surface texture of the glasses. It has been recently reported that gel-derived monolith specimens in the binary SiO2 - CaO are bioactive over a similar molar range of SiO2 content as the previously studied ternary CaO-P2O5-SiO2 system. In this report, the preparation and bioactivity of the binary gel-glass powder with 70 mol % SiO2 is discussed and its bioactivity is compared with the melt-derived 45S5 (quaternary) Bioglass and sol-gel-derived 58S (ternary) bioactive gel-glass compositions. Dissolution kinetic parameters K(1) and K(2) were also computed based on the silicon release for all glass powders. It was shown that the simple two-component SiO2-CaO gel-glass powder is bioactive with comparable dissolution rates as the clinically used melt-derived 45S5 Bioglass powder and extensively studied sol-gel-derived 58S gel-glass powder. Copyright 2003 Wiley Periodicals, Inc.

  11. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  12. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  13. Numerical study of self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride substrate

    International Nuclear Information System (INIS)

    Ding Yanfang; Zhu Ziqiang; Zhu Ming; Lin Chenglu

    2006-01-01

    Compared with bulk-silicon technology, silicon-on-insulator (SOI) technology possesses many advantages but it is inevitable that the buried silicon dioxide layer also thermally insulates the metal-oxide-silicon field-effect transistors (MOSFETs) from the bulk due to the low thermal conductivity. One of the alternative insulator to replace the buried oxide layer is aluminum nitride (MN), which has a thermal conductivity that is about 200 times higher than that of SiO 2 (320 W·m -1 ·K -1 versus 1.4 W·m -1 ·K -l ). To investigate the self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride (SOAN) substrate, a two-dimensional numerical analysis is performed by using a device simulator called MEDICI run on a Solaris workstation to simulate the electrical characteristics and temperature distribution by comparing with those of bulk and standard SOI MOSFETs. Our study suggests that AIN is a suitable alternative to silicon dioxide as a buried dielectric in SOI and expands the applications of SOI to high temperature conditions. (authors)

  14. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  15. An Enhanced Soft Vibrotactile Actuator Based on ePVC Gel with Silicon Dioxide Nanoparticles.

    Science.gov (United States)

    Park, Won-Hyeong; Shin, Eun-Jae; Yun, Sungryul; Kim, Sang-Youn

    2018-01-01

    In this paper, we propose a soft vibrotactile actuator made by mixing silicon dioxide nanoparticles and plasticized PVC gel. The effect of the silicon dioxide nanoparticles in the plasticized PVC gel for the haptic performance is investigated in terms of electric, dielectric, and mechanical properties. Furthermore, eight soft vibrotactile actuators are prepared as a function of the content. Experiments are conducted to examine the haptic performance of the prepared eight soft vibrotactile actuators and to find the best weight ratio of the plasticized PVC gel to the nanoparticles. The experiments should show that the plasticized PVC gel with silicon dioxide nanoparticles improves the haptic performance of the plasticized PVC gel-based vibrotactile actuator, and the proposed vibrotactile actuator can create a variety of haptic sensations in a wide frequency range.

  16. Superheating and supercooling of Ge nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Xu, Q; Sharp, I D; Yuan, C W; Yi, D O; Liao, C Y; Glaeser, A M; Minor, A M; Beeman, J W; Ridgway, M C; Kluth, P; Iii, J W Ager; Chrzan, D C; Haller, E E

    2007-01-01

    Free-standing nanocrystals exhibit a size-dependant thermodynamic melting point reduction relative to the bulk melting point that is governed by the surface free energy. The presence of an encapsulating matrix, however, alters the interface free energy of nanocrystals and their thermodynamic melting point can either increase or decrease relative to bulk. Furthermore, kinetic contributions can significantly alter the melting behaviours of embedded nanoscale materials. To study the effect of an encapsulating matrix on the melting behaviour of nanocrystals, we performed in situ electron diffraction measurements on Ge nanocrystals embedded in a silicon dioxide matrix. Ge nanocrystals were formed by multi-energy ion implantation into a 500 nm thick silica thin film on a silicon substrate followed by thermal annealing at 900 deg. C for 1 h. We present results demonstrating that Ge nanocrystals embedded in SiO 2 exhibit a 470 K melting/solidification hysteresis that is approximately symmetric about the bulk melting point. This unique behaviour, which is thought to be impossible for bulk materials, is well described using a classical thermodynamic model that predicts both kinetic supercooling and kinetic superheating. The presence of the silica matrix suppresses surface pre-melting of nanocrystals. Therefore, heterogeneous nucleation of both the liquid phase and the solid phase are required during the heating and cooling cycle. The magnitude of melting hysteresis is governed primarily by the value of the liquid Ge/solid Ge interface free energy, whereas the relative values of the solid Ge/matrix and liquid Ge/matrix interface free energies govern the position of the hysteresis loop in absolute temperature

  17. Excess oxygen limited diffusion and precipitation of iron in amorphous silicon dioxide

    Science.gov (United States)

    Leveneur, J.; Langlois, M.; Kennedy, J.; Metson, James B.

    2017-10-01

    In micro- and nano- electronic device fabrication, and particularly 3D designs, the diffusion of a metal into sublayers during annealing needs to be minimized as it is usually detrimental to device performance. Diffusion also causes the formation and growth of nanoprecipitates in solid matrices. In this paper, the diffusion behavior of low energy, low fluence, ion implanted iron into a thermally grown silicon oxide layer on silicon is investigated. Different ion beam analysis and imaging techniques were used. Magnetization measurements were also undertaken to provide evidence of nanocrystalline ordering. While standard vacuum furnace annealing and electron beam annealing lead to fast diffusion of the implanted species towards the Si/SiO2 interface, we show that furnace annealing in an oxygen rich atmosphere prevents the diffusion of iron that, in turn, limits the growth of the nanoparticles. The diffusion and particle growth is also greatly reduced when oxygen atoms are implanted in the SiO2 prior to Fe implantation, effectively acting as a diffusion barrier. The excess oxygen is hypothesized to trap Fe atoms and reduce their mean free path during the diffusion. Monte-Carlo simulations of the diffusion process which consider the random walk of Fe, Fick's diffusion of O atoms, Fe precipitation, and desorption of the SiO2 layer under the electron beam annealing were performed. Simulation results for the three preparation conditions are found in good agreement with the experimental data.

  18. Thermal radiative near field transport between vanadium dioxide and silicon oxide across the metal insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Menges, F.; Spieser, M.; Riel, H.; Gotsmann, B., E-mail: bgo@zurich.ibm.com [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Dittberner, M. [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Novotny, L. [Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Passarello, D.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States)

    2016-04-25

    The thermal radiative near field transport between vanadium dioxide and silicon oxide at submicron distances is expected to exhibit a strong dependence on the state of vanadium dioxide which undergoes a metal-insulator transition near room temperature. We report the measurement of near field thermal transport between a heated silicon oxide micro-sphere and a vanadium dioxide thin film on a titanium oxide (rutile) substrate. The temperatures of the 15 nm vanadium dioxide thin film varied to be below and above the metal-insulator-transition, and the sphere temperatures were varied in a range between 100 and 200 °C. The measurements were performed using a vacuum-based scanning thermal microscope with a cantilevered resistive thermal sensor. We observe a thermal conductivity per unit area between the sphere and the film with a distance dependence following a power law trend and a conductance contrast larger than 2 for the two different phase states of the film.

  19. Targeting thyroid cancer with acid-triggered release of doxorubicin from silicon dioxide nanoparticles

    Directory of Open Access Journals (Sweden)

    Li SJ

    2017-08-01

    Full Text Available Shijie Li,1 Daqi Zhang,1 Shihou Sheng,2 Hui Sun1 1Department of Thyroid Surgery, 2Department of Gastrointestinal Colorectal and Anal Surgery, China–Japan Union Hospital of Jilin University, Chang Chun, People’s Republic of China Abstract: Currently, therapy for thyroid cancer mainly involves surgery and radioiodine therapy. However, chemotherapy can be used in advanced and aggressive thyroid cancer that cannot be treated by other options. Nevertheless, a major obstacle to the successful treatment of thyroid cancer is the delivery of drugs to the thyroid gland. Here, we present an example of the construction of silicon dioxide nanoparticles with thyroid–stimulating-hormone receptor-targeting ligand that can specifically target the thyroid cancer. Doxorubicin nanoparticles can be triggered by acid to release the drug payload for cancer therapy. These nanoparticles shrink the tumor size in vivo with less toxic side effects. This research paves the way toward effective chemotherapy for thyroid cancer. Keywords: thyroid cancer, silicon dioxide nanoparticle, doxorubicin, acid-triggered release

  20. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  1. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  2. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  3. Effect of Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O Promoter Catalysts on H2, CO and CH4 Concentration by CO2 Gasification of Rosa Multiflora Biomass

    Directory of Open Access Journals (Sweden)

    Tursunov Obid

    2017-11-01

    Full Text Available The thermal behaviour of the Rosa mutiflora biomass by thermogravimetric analysis was studied at heating rate 3 K min−1 from ambient temperature to 950 °C. TGA tests were performed in high purity carbon dioxide (99 998% with a flow rate 200 ml/min and 100 mg of sample, milled and sieved to a particle size below 250 µm. Moreover, yields of gasification products such as hydrogen (H2, carbon monoxide (CO and methane (CH4 were determined based on the thermovolumetric measurements of catalytic (Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O promoter catalysts and non-catalytic gasification of the Rosa multiflora biomass. Additionally, carbon conversion degrees are presented. Calculations were made of the kinetic parameters of carbon monoxide and hydrogen formation reaction in the catalytic and non-catalytic CO2 gasification processes. A high temperature of 950 °C along with Ni/Al2O3-SiO2and Ni/Al2O3-SiO2 with K2O promoter catalysts resulted in a higher conversion of Rosa multiflora biomass into gaseous yield production with greatly increasing of H2 and CO contents. Consequently, H2 and CO are the key factors to produce renewable energy and bio-gases (synthesis gas. The parameters obtained during the experimental examinations enable a tentative assessment of plant biomasses for the process of large-scale gasification in industrial sectors.

  4. Comparison of nanofluid heat transfer properties with theory using generalized property relations for EG-water mixture

    Directory of Open Access Journals (Sweden)

    Vandrangi Seshu Kumar

    2017-01-01

    Full Text Available A numerical analysis for the determination for turbulent characteristics of fluid flow and heat transfer have been developed by employing the eddy diffusivity equation of Van Driest. The properties of Silicon dioxide (SiO2 nanofluid with spherical particles in base liquid ethylene glycol (EG -water (W mixture of 60:40 ratio is employed for a wide range of concentrations and bulk temperature. A good agreement of the numerical results with the experimental data for properties and heat transfer is observed. A comparison of Copper oxide (CuO, Aluminum dioxide (Al2O3 and Silicon dioxide (SiO2 nanofluids revealed that SiO2 attain higher temperature gradients in comparison to CuO nanofluid at the same concentration and temperature.

  5. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  6. Criticality characteristics of mixtures of plutonium, silicon dioxide, Nevada tuff, and water

    International Nuclear Information System (INIS)

    Sanchez, R.; Myers, W.; Hayes, D.

    1997-01-01

    The nuclear criticality characteristics of mixtures of plutonium, silicon dioxide, and water (Part A) or plutonium, silicon dioxide, Nevada Yucca Mountain tuff, and water (Part B) have become of interest because of the appearance of recent papers on the subject. These papers postulate that if excess weapons plutonium is vitrified into a silicate log and buried underground, a self-sustaining neutron chain reaction may develop given sufficient time and interaction with the burial medium. Moreover, given specific geologic actions resulting in postulated configurations, the referenced papers state that nuclear explosions could occur with multi-kiloton yields or yields equivalent to hundreds of tons of TNT

  7. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  8. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  9. Silicon: A Review of Its Potential Role in the Prevention and Treatment of Postmenopausal Osteoporosis

    Directory of Open Access Journals (Sweden)

    Charles T. Price

    2013-01-01

    Full Text Available Physicians are aware of the benefits of calcium and vitamin D supplementation. However, additional nutritional components may also be important for bone health. There is a growing body of the scientific literature which recognizes that silicon plays an essential role in bone formation and maintenance. Silicon improves bone matrix quality and facilitates bone mineralization. Increased intake of bioavailable silicon has been associated with increased bone mineral density. Silicon supplementation in animals and humans has been shown to increase bone mineral density and improve bone strength. Dietary sources of bioavailable silicon include whole grains, cereals, beer, and some vegetables such as green beans. Silicon in the form of silica, or silicon dioxide (SiO2, is a common food additive but has limited intestinal absorption. More attention to this important mineral by the academic community may lead to improved nutrition, dietary supplements, and better understanding of the role of silicon in the management of postmenopausal osteoporosis.

  10. Optical modulation in silicon-vanadium dioxide photonic structures

    Science.gov (United States)

    Miller, Kevin J.; Hallman, Kent A.; Haglund, Richard F.; Weiss, Sharon M.

    2017-08-01

    All-optical modulators are likely to play an important role in future chip-scale information processing systems. In this work, through simulations, we investigate the potential of a recently reported vanadium dioxide (VO2) embedded silicon waveguide structure for ultrafast all-optical signal modulation. With a VO2 length of only 200 nm, finite-differencetime- domain simulations suggest broadband (200 nm) operation with a modulation greater than 12 dB and an insertion loss of less than 3 dB. Predicted performance metrics, including modulation speed, modulation depth, optical bandwidth, insertion loss, device footprint, and energy consumption of the proposed Si-VO2 all-optical modulator are benchmarked against those of current state-of-the-art all-optical modulators with in-plane optical excitation.

  11. Ballistic Phonon Penetration Depth in Amorphous Silicon Dioxide.

    Science.gov (United States)

    Yang, Lin; Zhang, Qian; Cui, Zhiguang; Gerboth, Matthew; Zhao, Yang; Xu, Terry T; Walker, D Greg; Li, Deyu

    2017-12-13

    Thermal transport in amorphous silicon dioxide (a-SiO 2 ) is traditionally treated as random walks of vibrations owing to its greatly disordered structure, which results in a mean free path (MFP) approximately the same as the interatomic distance. However, this picture has been debated constantly and in view of the ubiquitous existence of thin a-SiO 2 layers in nanoelectronic devices, it is imperative to better understand this issue for precise thermal management of electronic devices. Different from the commonly used cross-plane measurement approaches, here we report on a study that explores the in-plane thermal conductivity of double silicon nanoribbons with a layer of a-SiO 2 sandwiched in-between. Through comparing the thermal conductivity of the double ribbon samples with that of corresponding single ribbons, we show that thermal phonons can ballistically penetrate through a-SiO 2 of up to 5 nm thick even at room temperature. Comprehensive examination of double ribbon samples with various oxide layer thicknesses and van der Waals bonding strengths allows for extraction of the average ballistic phonon penetration depth in a-SiO 2 . With solid experimental data demonstrating ballistic phonon transport through a-SiO 2 , this work should provide important insight into thermal management of electronic devices.

  12. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  13. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  14. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  15. Design, fabrication and characterization of a two-step released silicon dioxide piezoresistive microcantilever immunosensor

    International Nuclear Information System (INIS)

    Zhou, Youzheng; Wang, Zheyao; Wang, Chaonan; Ruan, Wenzhou; Liu, Litian

    2009-01-01

    This paper presents the design, fabrication and characterization of a silicon dioxide piezoresistive microcantilever immunosensor fabricated on silicon-on-insulator (SOI) wafers. The microcantilever consists of two strips of single crystalline silicon piezoresistors sandwiched in between two silicon dioxide layers. A theoretical model for the laminated microcantilever with a discontinuous layer is deduced using classic laminated beam theory. A two-step release method combining anisotropic and isotropic etching is developed to suspend the microcantilever, and the fabrication results show an excellent yield. The residual stress-induced free bending of the microcantilever and the stress caused by self-heating of the piezoresistors are discussed. The microcantilever sensor is characterized as an immunosensor using specific binding of antigen and antibody. These methods and some conclusions are also applicable to the development of other piezoresistive sensors that use laminated structures

  16. 21 CFR 175.390 - Zinc-silicon dioxide matrix coatings.

    Science.gov (United States)

    2010-04-01

    ...) (using 20 percent alcohol as the solvent when the type of food contains approximately 20 percent alcohol... 21 Food and Drugs 3 2010-04-01 2009-04-01 true Zinc-silicon dioxide matrix coatings. 175.390 Section 175.390 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES...

  17. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  18. SiO2 on silicon: behavior under heavy ion irradiation

    International Nuclear Information System (INIS)

    Rotaru, C.

    2004-03-01

    Heavy ion irradiation was performed on a-SiO 2 layers deposited on Si. Damage of the surface was studied by means of Atomic Force Microscopy. Hillocks appear for an electronic stopping power higher than 16 keV/nm. The height of the hillocks decreases with the thickness of the oxide layer. Infrared Spectroscopy studies show that the damage threshold for a-SiO 2 is at an electronic stopping power of 2 keV/nm. Therefore it is probable that the origin of the hillocks comes from the silicon layer. This could be explain within the frame of thermal spike model. The theoretical thresholds are 8 keV/nm and 1.8 keV/nm for silicon and a-SiO 2 respectively. Chemical etching after irradiation gives a technical possibility to create nano-pits, whose size and shape can be controlled. Additionally, these structures allowed to determine the AFM tip radius. (author)

  19. A novel coating material that uses nano-sized SiO2 particles to intensify hydrophobicity and corrosion protection properties

    International Nuclear Information System (INIS)

    Ammar, Sh.; Ramesh, K.; Vengadaesvaran, B.; Ramesh, S.; Arof, A.K.

    2016-01-01

    Highlights: • Hybrid SiO 2 nanocomposite coatings were fabricated on mild steel. • Highest coating resistance were exhibited by coatings with 3 wt.% SiO 2 nanoparticles. • Long-term stability measurement, together with hydrophobic surface measurements, were obtained. - Abstract: The influence of SiO 2 nanoparticles on hydrophobicity and the corrosion protection capabilities of hybrid acrylic-silicone polymeric matrix have been investigated. Contact angle measurements (CA), atomic force microscopy (AFM), field emission scanning electron microscopy (FE-SEM), and energy dispersive X-ray spectroscopy (EDX) were used to study the hydrophobicity, morphology, and topography of the coatings. In addition, electrochemical impedance spectroscopy (EIS) and salt spray techniques were employed to evaluate the corrosion protection performance. A coating with 3 wt.% SiO 2 , AS 3, demonstrates significant improvement in corrosion resistance with the highest measured CA of 97.3°. Morphology and topography studies clarify the influence of nano-sized SiO 2 fillers on the surface topography and demonstrated the uniform and good distribution of the embedded SiO 2 nanoparticles within the polymeric matrix.

  20. Adhesion of Y2O3-Al2O3-SiO2 coatings to typical aerospace substrates

    International Nuclear Information System (INIS)

    Marraco-Borderas, C.; Nistal, A.; Garcia, E.; Sainz, M.A.; Martin de la Escalera, F.; Essa, Y.; Miranzo, P.

    2016-01-01

    High performance lightweight materials are required in the aerospace industry. Silicon carbide, carbon fiber reinforced carbon and slicon carbide composites comply with those requirements but they suffer from oxidation at the high temperature of the service conditions. One of the more effective approaches to prevent this problem is the use of protecting ceramic coatings, where the good adhesion between substrates and coatings are paramount to guarantee the optimal protection performance. In the present work, the adhesion between those substrates and glass coatings of the Y2O3-Al2O3-SiO2 system processed by oxyacetylene flame spraying is analyzed. Increasing load scratch tests are employed for determining the failure type, maximum load and their relation with the elastic and mechanical properties of the coatings. The results points to the good adhesion of the coatings to silicon carbide and carbon fibre reinforced silicon carbide while the carbon fiber reinforced carbon is not a suitable material to be coated. (Author)

  1. Lateral electrical transport, optical properties and photocurrent measurements in two-dimensional arrays of silicon nanocrystals embedded in SiO2

    Directory of Open Access Journals (Sweden)

    Gardelis Spiros

    2011-01-01

    Full Text Available Abstract In this study we investigate the electronic transport, the optical properties, and photocurrent in two-dimensional arrays of silicon nanocrystals (Si NCs embedded in silicon dioxide, grown on quartz and having sizes in the range between less than 2 and 20 nm. Electronic transport is determined by the collective effect of Coulomb blockade gaps in the Si NCs. Absorption spectra show the well-known upshift of the energy bandgap with decreasing NC size. Photocurrent follows the absorption spectra confirming that it is composed of photo-generated carriers within the Si NCs. In films containing Si NCs with sizes less than 2 nm, strong quantum confinement and exciton localization are observed, resulting in light emission and absence of photocurrent. Our results show that Si NCs are useful building blocks of photovoltaic devices for use as better absorbers than bulk Si in the visible and ultraviolet spectral range. However, when strong quantum confinement effects come into play, carrier transport is significantly reduced due to strong exciton localization and Coulomb blockade effects, thus leading to limited photocurrent.

  2. Corrosion of porous silicon in tetramethylammonium hydroxide solution

    International Nuclear Information System (INIS)

    Lai, Chuan; Li, Xue-Ming; Zou, Li-Ke; Chen, Qiang; Xie, Bin; Li, Yu-Lian; Li, Xiao-Lin; Tao, Zhi

    2014-01-01

    Highlights: • The corrosion of porous silicon in (CH 3 ) 4 NOH solution was studied. • The residue of corrosion products was a mixture of [(CH 3 ) 4 N] 2 SiO 3 and SiO 2 . • The effect factors for porous silicon corrosion were elaborately investigated. • The additive of ethanol in (CH 3 ) 4 NOH solution could reduce the corrosion rate. • The 1.0 M (CH 3 ) 4 NOH could act as an applicable and novel corrosion solution. - Abstract: Corrosion of porous silicon in tetramethylammonium hydroxide (TMAH) solution was studied using weight loss measurements and scanning electron microscope. The effects of temperature, concentration of TMAH and volume ratio of ethanol in 1.0 M TMAH on corrosion rate and corrosion time were elaborately investigated. The residue of corrosion products were characterized as a mixture of [(CH 3 ) 4 N] 2 SiO 3 and SiO 2 . A comparative test among TMAH, KOH and NaOH illustrated that the 1.0 M TMAH could act as an applicable and novel corrosion solution to remove porous silicon layer for determining the porosity of porous silicon

  3. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  4. Formation and properties of the buried isolating silicon-dioxide layer in double-layer “porous silicon-on-insulator” structures

    Energy Technology Data Exchange (ETDEWEB)

    Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)

    2017-01-15

    The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.

  5. Preparation, characterization and mechanical properties of k ...

    Indian Academy of Sciences (India)

    2017-06-09

    Jun 9, 2017 ... Nanocomposite; k-Carrageenan; SiO2 nanoparticles; mechanical strength; antimicrobial activity. 1. Introduction ... Silicon dioxide (SiO2)-filled polymer matrix com- posites have ... by using the agar disk diffusion method. 2.

  6. Formation of metallic Si and SiC nanoparticles from SiO2 particles by plasma-induced cathodic discharge electrolysis in chloride melt

    International Nuclear Information System (INIS)

    Tokushige, M.; Tsujimura, H.; Nishikiori, T.; Ito, Y.

    2013-01-01

    Silicon nanoparticles are formed from SiO 2 particles by conducting plasma-induced cathodic discharge electrolysis. In a LiCl–KCl melt in which SiO 2 particles were suspended at 450 °C, we obtained Si nanoparticles with diameters around 20 nm. During the electrolysis period, SiO 2 particles are directly reduced by discharge electrons on the surface of the melt just under the discharge, and the deposited Si atom clusters form Si nanoparticles, which leave the surface of the original SiO 2 particle due to free spaces caused by a molar volume difference between SiO 2 and Si. We also found that SiC nanoparticles can be obtained using carbon anode. Based on Faraday's law, the current efficiency for the formation of Si nanoparticles is 70%

  7. Equations of state of SiO2 and H2O mixtures

    International Nuclear Information System (INIS)

    Ree, F.H.

    1978-01-01

    Equations of state (EOS) of silicon dioxide--water mixutes were developed to allow realistic computer simulations of surface and underground nuclear explosions of different yields to be conducted. Beacuse the amount of water contained in silicate rocks affects the size of craters and cavities formed by nuclear explosions, this factor must therefore be included in calculations of the EOS data of silicon cioxide--water mixtures. EOS were tabulated for mixtures containing three different concentrations of water at densities between 10 -5 and 140 Mg/m 3 and temperatures between 0.025 and 25,000 eV. The calculations were performed by using an improved mixture model based on earlier EOS data of silicon dioxide and water. The improved model makes allowances for a nonequilibrium dynamic effect that occurs more slowly than does the polymorphic phase change of silicate rocks. These data were used in an attempt to simulate the behavior of water-containing rocks during nuclear explosions. 17 figures, and 7 tables

  8. Enhancement of reactivity in Li4SiO4-based sorbents from the nano-sized rice husk ash for high-temperature CO2 capture

    International Nuclear Information System (INIS)

    Wang, Ke; Zhao, Pengfei; Guo, Xin; Li, Yimin; Han, Dongtai; Chao, Yang

    2014-01-01

    Highlights: • The Li 4 SiO 4 sorbent from nano-sized rice husk ash was prepared and characterized. • The Aerosil and Quartz were comparably used for synthesized Li 4 SiO 4 . • The structure of sorbent was depended on the morphology of heated silicon materials. • The pretreatment sorbent showed increase in the CO 2 uptake and kinetic behavior. • This promising sorbent also maintained higher capacities during the multiple cycles. - Abstract: Using the cost-effective, renewable and nano-sized of citric acid pretreatment rice husk ash (CRHA) as silicon source, high efficient Li 4 SiO 4 (lithium orthosilicate)-based sorbents (CRHA-Li 4 SiO 4 ) for high-temperature CO 2 capture were prepared through the solid-state reaction at lower temperature (700 °C). Two typical raw materials (nano-structured Aerosil and crystalline Quartz powders) were used to synthesize Li 4 SiO 4 sorbents (Aerosil-Li 4 SiO 4 and Quartz-Li 4 SiO 4 ) for comparison purposes. The phase composition behavior, surface area, and morphology of the silicon sources, heat treated raw materials and as-received Li 4 SiO 4 sorbents were studied by analytical techniques. The CO 2 adsorption capacity and adsorption–desorption performance were tested by the thermo-gravimetric analyses (CO 2 atmosphere) and a fixed bed reactor, respectively. Compared with the case of its original samples, the morphology of heat treated raw materials had a greater effect on the phase composition, microstructure, special surface area and CO 2 adsorption properties of their resulting sorbents. Although the calcined Quartz sample maintained the structure of micron particles, its reactivity was not enough to react completely with Li 2 CO 3 . Due to the greater reactivity of nanoparticles, Aerosil-Li 4 SiO 4 presented pure of Li 4 SiO 4 whereas it obtained large particles with dense morphology, which was coming from the pronounced fusing of silica nanoparticles during the calcined process. Conversely, CRHA-Li 4 SiO 4

  9. Effects of silicon application on diurnal variations of physiological properties of rice leaves of plants at the heading stage under elevated UV-B radiation

    Science.gov (United States)

    Lou, Yun-sheng; Wu, Lei; Lixuan, Ren; Meng, Yan; Shidi, Zhao; Huaiwei, Zhu; Yiwei, Zhang

    2016-02-01

    We investigated the effects of silicon (Si) application on diurnal variations of photosynthetic and transpiration physiological parameters in potted rice ( Oryza sativa L. cv Nanjing 45) at the heading stage. The plants were subjected to two UV-B radiation levels, i.e., reference UV-B (A, ambient, 12.0 kJ m-2 day-1) and elevated UV-B radiation (E, a 20 % higher dose of UV-B than the reference, 14.4 kJ m-2 day-1), and four Si application levels, i.e., Si0 (no silicon supplementation, 0 kg SiO2 ha-1), Si1 (sodium silicate, 100 kg SiO2 ha-1), Si2 (sodium silicate, 200 kg SiO2 ha-1), and Si3 (slag silicon fertilizer, 200 kg SiO2 ha-1). Compared with the reference, elevated UV-B radiation decreased the diurnal mean values of the net photosynthetic rate ( Pn), intercellular carbon dioxide (CO2) concentration ( Ci), transpiration rate ( Tr), stomatal conductivity ( Gs), and water use efficiency (WUE) by 11.3, 5.5, 10.4, 20.3, and 6.3 %, respectively, in plants not supplemented with silicon (Si0), and decreased the above parameters by 3.8-5.5, 0.7-4.8, 4.0-8.7, 7.4-20.2, and 0.7-5.9 %, respectively, in plants treated with silicon (Si1, Si2, and Si3), indicating that silicon application mitigates the negative effects of elevated UV-B radiation. Under elevated UV-B radiation, silicon application (Si1, Si2, and Si3) increased the diurnal mean values of Pn, Ci, Gs, and WUE by 16.9-28.0, 3.5-14.3, 16.8-38.7, and 29.0-51.2 %, respectively, but decreased Tr by 1.9-10.8 %, compared with plants not treated with silicon (E+Si0), indicating that silicon application mitigates the negative effects of elevated UV-B radiation by significantly increasing the P n, C i, G s, and WUE and decreasing the T r of rice. Evident differences existed in mitigating the depressive effects of elevated UV-B radiation on diurnal variations of physiological parameters among different silicon application treatments, exhibiting as Si3>Si2>Si1>Si0. In addition to recycling steel industrial wastes, the

  10. Effects of silicon application on diurnal variations of physiological properties of rice leaves of plants at the heading stage under elevated UV-B radiation.

    Science.gov (United States)

    Lou, Yun-sheng; Wu, Lei; Lixuan, Ren; Meng, Yan; Shidi, Zhao; Huaiwei, Zhu; Yiwei, Zhang

    2016-02-01

    We investigated the effects of silicon (Si) application on diurnal variations of photosynthetic and transpiration physiological parameters in potted rice (Oryza sativa L. cv Nanjing 45) at the heading stage. The plants were subjected to two UV-B radiation levels, i.e., reference UV-B (A, ambient, 12.0 kJ m(-2) day(-1)) and elevated UV-B radiation (E, a 20% higher dose of UV-B than the reference, 14.4 kJ m(-2) day(-1)), and four Si application levels, i.e., Si0 (no silicon supplementation, 0 kg SiO2 ha(-1)), Si1 (sodium silicate, 100 kg SiO2 ha(-1)), Si2 (sodium silicate, 200 kg SiO2 ha(-1)), and Si3 (slag silicon fertilizer, 200 kg SiO2 ha(-1)). Compared with the reference, elevated UV-B radiation decreased the diurnal mean values of the net photosynthetic rate (Pn), intercellular carbon dioxide (CO2) concentration (Ci), transpiration rate (Tr), stomatal conductivity (Gs), and water use efficiency (WUE) by 11.3, 5.5, 10.4, 20.3, and 6.3%, respectively, in plants not supplemented with silicon (Si0), and decreased the above parameters by 3.8-5.5, 0.7-4.8, 4.0-8.7, 7.4-20.2, and 0.7-5.9%, respectively, in plants treated with silicon (Si1, Si2, and Si3), indicating that silicon application mitigates the negative effects of elevated UV-B radiation. Under elevated UV-B radiation, silicon application (Si1, Si2, and Si3) increased the diurnal mean values of Pn, Ci, Gs, and WUE by 16.9-28.0, 3.5-14.3, 16.8-38.7, and 29.0-51.2%, respectively, but decreased Tr by 1.9-10.8%, compared with plants not treated with silicon (E+Si0), indicating that silicon application mitigates the negative effects of elevated UV-B radiation by significantly increasing the P n, C i, G s, and WUE and decreasing the T r of rice. Evident differences existed in mitigating the depressive effects of elevated UV-B radiation on diurnal variations of physiological parameters among different silicon application treatments, exhibiting as Si3>Si2>Si1>Si0. In addition to recycling steel industrial wastes, the

  11. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  12. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  13. Study of temperature-dependent charge conduction in silicon-nanocrystal/SiO_2 multilayers

    International Nuclear Information System (INIS)

    Mavilla, Narasimha Rao; Chavan, Vinayak; Solanki, Chetan Singh; Vasi, Juzer

    2016-01-01

    Silicon-nanocrystals (Si-NCs) realized by SiO_x _ 8 MV/cm; independent of temperature), while for lower electric fields (5–8 MV/cm) at higher temperatures, the trap-related Generalized Poole–Frenkel (GPF) is dominant. This signified the role of traps in modifying the conduction in bulk ICPCVD SiO_2 films. We then present the conduction in ML samples. For multilayer samples with SiO_2 sublayer thickness of 1.5 nm and 2.5 nm, Direct Tunneling (DT) is observed to be dominant, while for SiO_2 sublayer thickness of 3.5 nm, Space Charge Limited Conduction (SCLC) with exponential trap distribution is found to be the dominant conduction mechanism. This signifies the role of traps in modifying the conduction in Si-NC multilayer samples and SiO_2 sublayer thickness dependence. - Highlights: • Electrical conduction in SiO_2 film & Si-nanocrystal layers (Si-NCs) is reported. • SiO_2/SiO_x multilayer based Si-NCs were realized by Inductively Coupled plasma CVD. • For SiO_2 film, Fowler–Nordheim tunneling & Generalized Poole–Frenkel are observed. • For Si-NCs with thin SiO_2 sublayers (< 2.5 nm) Direct Tunneling is dominant. • For Si-NCs with 3.5 nm SiO_2 sublayers Space Charge Limited Conduction is dominant.

  14. Interactions of atomic hydrogen with amorphous SiO2

    Science.gov (United States)

    Yue, Yunliang; Wang, Jianwei; Zhang, Yuqi; Song, Yu; Zuo, Xu

    2018-03-01

    Dozens of models are investigated by the first-principles calculations to simulate the interactions of an atomic hydrogen with a defect-free random network of amorphous SiO2 (a-SiO2) and oxygen vacancies. A wide variety of stable configurations are discovered due to the disorder of a-SiO2, and their structures, charges, magnetic moments, spin densities, and density of states are calculated. The atomic hydrogen interacts with the defect-free a-SiO2 in positively or negatively charged state, and produces the structures absent in crystalline SiO2. It passivates the neutral oxygen vacancies and generates two neutral hydrogenated E‧ centers with different Si dangling bond projections. Electron spin resonance parameters, including Fermi contacts, and g-tensors, are calculated for these centers. The atomic hydrogen interacts with the positive oxygen vacancies in dimer configuration, and generate four different positive hydrogenated defects, two of which are puckered like the Eγ‧ centers. This research helps to understand the interactions between an atomic hydrogen, and defect-free a-SiO2 and oxygen vacancies, which may generate the hydrogen-complexed defects that play a key role in the degeneration of silicon/silica-based microelectronic devices.

  15. Phase transition and luminescence properties from vapor etched silicon

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Ben Saad, K.; Bessais, B.

    2006-01-01

    In this work, we present a study on the structure and photoluminescence (PL) properties of a non-conventional ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 (white powder) obtained from HNO 3 /HF chemical vapor etching (CVE) of silicon wafers. The CVE method leads either to the formation of luminescent Porous Silicon (PS) or SiO x /Si-containing (NH 4 ) 2 SiF 6 depending on the experimental conditions. At specific conditions (i.e., HNO 3 / HF volume ratio > 1 / 4), the CVE technique can generate instead of PS, a (NH 4 ) 2 SiF 6 phase where SiO x /Si particles are embedded. The (NH 4 ) 2 SiF 6 marketed powder is not luminescent, while that obtained from silicon vapor-etching presents a noticeable intense and stable photoluminescence (PL), which was found to have mainly two shoulders at 1.98 and 2.1 eV. Two processes have been proposed to explain this PL property. First, the visible luminescence around 1.98 eV would come from silicon nanoparticles embedded in the powder, having a distribution size that does not allow SiO x species to influence their own PL. Second, the PL shoulder around 2.1 eV would originate from small silicon nanoparticles trapped in SiO x features, leading to oxide related states that may trap electrons or excitons, depending on the silicon nanoparticle size, wherein radiative recombination occurs. The PL shoulder could become broader at low temperatures suggesting the existence of radiative recombination in SiO x related defects

  16. The fabrication and visible-near-infrared optical modulation of vanadium dioxide/silicon dioxide composite photonic crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Jiran; Li, Peng; Song, Xiaolong; Zhou, Liwei [Tianjin University, School of Microelectronics, Tianjin (China)

    2017-12-15

    We demonstrated a visible and near-infrared light tunable photonic nanostructure, which is composed of vanadium dioxide (VO{sub 2}) thin film and silicon dioxide (SiO{sub 2}) ordered nanosphere arrays. The vanadium films were sputtered on two-dimensional (2D) SiO{sub 2} sphere arrays. VO{sub 2} thin films were prepared by rapid thermal annealing (RTA) method with different oxygen flow rates. The close-packed VO{sub 2} shell formed a continuous surface, the composition of VO{sub 2} films in the structure changed when the oxygen flow rates increased. The 2D VO{sub 2}/SiO{sub 2} composite photonic crystal structure exhibited transmittance trough tunability and near-infrared (NIR) transmittance modulation. When the oxygen flow rate increased from 3 slpm to 4 slpm, the largest transmittance trough can be regulated from 904 to 929 nm at low temperature, the transmittance troughs also appear blue shift when the VO{sub 2} phase changes from insulator to metal. The composite nanostructure based on VO{sub 2} films showed visible transmittance tunability, which would provide insights into the glass color changing in smart windows. (orig.)

  17. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications

    Energy Technology Data Exchange (ETDEWEB)

    Boudot, Cécile, E-mail: cecile.boudot@tum.de [Technical University of Munich, Department of Mechanical Engineering, Boltzmannstraße 15, D-85748 Garching bei München (Germany); Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen [Institute for Plasma Technology and Mathematics, University of Federal Armed Forces Munich, Werner-Heisenberg-Weg 39, D-85577 Neubiberg (Germany)

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO{sub 2}) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150 nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO{sub 2} layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO{sub 2}-coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68 days and the coating's resistance to several sterilization methods. - Highlights: • Vacuum arc plasma was applied to deposit titanium dioxide films onto silicone. • Thickness, roughness and composition of the films were determined. • Cytocompatibility of coated silicone elastomer is greatly improved. • Films have good adhesion to the substrate and are stable, non-toxic and sterilizable.

  18. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  19. Hydrogen and chlorine detection at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Wintenberg, A.L.; Miller, P.D.; Moak, C.D.

    1981-01-01

    Hydrogen and chlorine depth profiles were obtained on a series of silicon oxides thermally grown in HCl/O 2 and Cl 2 /O 2 ambients at 1100 0 C for 15 minutes using the 19 F nuclear reaction and SIMS techniques. The data show close correlation between the H and Cl profiles in both the HCl/O 2 and Cl 2 /O 2 oxides. While the H and Cl appear to be enriched at the SiO 2 /Si interface of the HCl/O 2 oxides, they are higher in concentration and more evenly distributed in the oxide bulk of the Cl 2 /O 2 oxides

  20. Study on Formation Mechanism of Fayalite (Fe2SiO4) by Solid State Reaction in Sintering Process

    Science.gov (United States)

    Wang, Zhongbing; Peng, Bing; Zhang, Lifeng; Zhao, Zongwen; Liu, Degang; Peng, Ning; Wang, Dawei; He, Yinghe; Liang, Yanjie; Liu, Hui

    2018-04-01

    The sintering behaviors among SiO2, FeS and Fe3O4 were detected to reveal the formation mechanism of Fe2SiO4. The results indicated that the formation mechanism is divided into five steps: (1) migration of O2- induced by S2- under a reducing atmosphere; (2) formation of Fe3O4- β ; (3) migration of Fe(II) into a ferrite cluster structure to gain oxygen and form Fe3- x O4; (4) Fe(II) invaded the silicon atomic position and released Si(IV); and (5) formation of the stable structure of Fe2SiO4 through chemical diffusion between cations of Fe(II) and Si(IV). These findings can provide theoretical support for controlling the process of the recovery of valuable metals in copper slag through the combined roasting modification-magnetic separation process.

  1. Structural aspects of B2O3-substituted (PbO)0.5(SiO2)0.5 glasses

    International Nuclear Information System (INIS)

    Sudarsan, V.; Kulshreshtha, S.K.; Shrikhande, V.K.; Kothiyal, G.P.

    2002-01-01

    Lead borosilicate glasses having general formulae (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x with 0.0≤x≤0.4 and (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y with 0.0≤y≤0.5 have been prepared by a conventional melt-quench method and characterized by 29 Si, 11 B magic angle spinning (MAS) NMR techniques and infrared spectroscopy, as regards their structural features. From 29 Si NMR results, it has been inferred that with increasing concentration of boron oxide, (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 )x glasses exhibit a systematic increase in the number of Q 4 structural units of Si at the expense of Q 2 structural units, along with the formation of Si-O-B linkages. On the other hand, for (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y glasses, there is no direct interaction between SiO 2 and B 2 O 3 in the glass network, as revealed by the 29 Si MAS NMR studies. Boron exists in both trigonal and tetrahedral configurations for these two series of glasses and for the (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y series of glasses; the relative concentration of these two structural units remains almost constant with increasing B 2 O 3 concentration. In contrast, for (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x glasses, there is a slight increase in the number of BO 3 structural units above x = 0.2, as there is a competition between SiO 2 and B 2 O 3 for interaction with Pb 2+ , thereby leading to the formation of BO 3 structural units. For both series of glasses, the thermal expansion coefficient is found to decrease with increasing B 2 O 3 concentration, the effect being more pronounced for the (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x series of glasses due to the increased concentration of Q 4 structural units of silicon and better cross-linking as a result of the formation of Si-O-B-type linkages. (author)

  2. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  3. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  4. Observing the semiconducting band-gap alignment of MoS2 layers of different atomic thicknesses using a MoS2/SiO2/Si heterojunction tunnel diode

    NARCIS (Netherlands)

    Nishiguchi, K.; Castellanos-Gomez, A.; Yamaguchi, H.; Fujiwara, A.; Van der Zant, H.S.J.; Steele, G.A.

    2015-01-01

    We demonstrate a tunnel diode composed of a vertical MoS2/SiO2/Si heterostructure. A MoS2 flake consisting four areas of different thicknesses functions as a gate terminal of a silicon field-effect transistor. A thin gate oxide allows tunneling current to flow between the n-type MoS2 layers and

  5. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  6. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  7. Silicone rubbers for dielectric elastomers with improved dielectric and mechanical properties as a result of substituting silica with titanium dioxide

    DEFF Research Database (Denmark)

    Yu, Liyun; Skov, Anne Ladegaard

    2016-01-01

    One prominent method of modifying the properties of dielectric elastomers (DEs) is by adding suitable metal oxide fillers. However, almost all commercially available silicone elastomers are already heavily filled with silica to reinforce the otherwise rather weak silicone network and the resulting...... and dynamic viscosity. Filled silicone elastomers with high loadings of nano-sized titanium dioxide (TiO2) particles were also studied. The best overall performing formulation had 35 wt.% TiO2 nanoparticles in the POWERSIL® XLR LSR, where the excellent ensemble of relative dielectric permittivity of 4.9 at 0...

  8. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  9. LASER ABLATION OF MONOCRYSTALLINE SILICON UNDER PULSED-FREQUENCY FIBER LASER

    Directory of Open Access Journals (Sweden)

    V. P. Veiko

    2015-05-01

    Full Text Available Subject of research. The paper deals with research of the surface ablation for single-crystal silicon wafers and properties of materials obtained in response to silicon ablation while scanning beam radiation of pulse fiber ytterbium laser with a wavelenght λ = 1062 nm in view of variation of radiation power and scanning modes. Method. Wafers of commercial p-type conductivity silicon doped with boron (111, n-type conductivity silicon doped with phosphorus (100 have been under research with a layer of intrinsical silicon oxide having the thickness equal to several 10 s of nanometers and SiO2 layer thickness from 120 to 300 nm grown by thermal oxidation method. The learning system comprises pulse fiber ytterbium laser with a wavelenght λ = 1062 nm. The laser rated-power output is equal to 20 W, pulse length is 100 ns. Pulses frequency is in the range from 20 kHz to 100 kHz. Rated energy in the pulse is equal to 1.0 mJ. Scanning has been carried out by means of two axial scanning device driven by VM2500+ and controlled by personal computer with «SinMarkТМ» software package. Scanning velocity is in the range from 10 mm/s to 4000 mm/s, the covering varies from 100 lines per mm to 3000 lines per mm. Control of samples has been carried out by means of Axio Imager A1m optical microscope Carl Zeiss production with a high definition digital video camera. All experiments have been carried out in the mode of focused laser beam with a radiation spot diameter at the substrate equal to 50 μm. The change of temperature and its distribution along the surface have been evaluated by FLIR IR imager of SC7000 series. Main results. It is shown that ablation occurs without silicon melting and with plasma torch origination. The particles of ejected silicon take part in formation of silicon ions plasma and atmosphere gases supporting the plasmo-chemical growth of SiO2. The range of beam scanning modes is determined where the growth of SiO2 layer is observed

  10. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    Science.gov (United States)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  11. Tuning the conductivity of vanadium dioxide films on silicon by swift heavy ion irradiation

    Directory of Open Access Journals (Sweden)

    H. Hofsäss

    2011-09-01

    Full Text Available We demonstrate the generation of a persistent conductivity increase in vanadium dioxide thin films grown on single crystal silicon by irradiation with 1 GeV 238U swift heavy ions at room temperature. VO2 undergoes a temperature driven metal-insulator-transition (MIT at 67 °C. After room temperature ion irradiation with high electronic energy loss of 50 keV/nm the conductivity of the films below the transition temperature is strongly increased proportional to the ion fluence of 5·109 U/cm2 and 1·1010 U/cm2. At high temperatures the conductivity decreases slightly. The ion irradiation slightly reduces the MIT temperature. This observed conductivity change is persistent and remains after heating the samples above the transition temperature and subsequent cooling. Low temperature measurements down to 15 K show no further MIT below room temperature. Although the conductivity increase after irradiation at such low fluences is due to single ion track effects, atomic force microscopy (AFM measurements do not show surface hillocks, which are characteristic for ion tracks in other materials. Conductive AFM gives no evidence for conducting ion tracks but rather suggests the existence of conducting regions around poorly conducting ion tracks, possible due to stress generation. Another explanation of the persistent conductivity change could be the ion-induced modification of a high resistivity interface layer formed during film growth between the vanadium dioxide film and the n-Silicon substrate. The swift heavy ions may generate conducting filaments through this layer, thus increasing the effective contact area. Swift heavy ion irradiation can thus be used to tune the conductivity of VO2 films on silicon substrates.

  12. Cathodic Potential Dependence of Electrochemical Reduction of SiO2 Granules in Molten CaCl2

    Science.gov (United States)

    Yang, Xiao; Yasuda, Kouji; Nohira, Toshiyuki; Hagiwara, Rika; Homma, Takayuki

    2016-09-01

    As part of an ongoing fundamental study to develop a new process for producing solar-grade silicon, this paper examines the effects of cathodic potential on reduction kinetics, current efficiency, morphology, and purity of Si product during electrolysis of SiO2 granules in molten CaCl2 at 1123 K (850 °C). SiO2 granules were electrolyzed potentiostatically at different cathodic potentials (0.6, 0.8, 1.0, and 1.2 V vs Ca2+/Ca). The reduction kinetics was evaluated based on the growth of the reduced Si layer and the current behavior during electrolysis. The results suggest that a more negative cathodic potential is favorable for faster reduction. Current efficiencies in 60 minutes are greater than 65 pct at all the potentials examined. Si wires with sub-micron diameters are formed, and their morphologies show little dependence on the cathodic potential. The impurities in the Si product can be controlled at low level. The rate-determining step for the electrochemical reduction of SiO2 granules in molten CaCl2 changes with time. At the initial stage of electrolysis, the electron transfer is the rate-determining step. At the later stage, the diffusion of O2- ions is the rate-determining step. The major cause of the decrease in reduction rate with increasing electrolysis time is the potential drop from the current collector to the reaction front due to the increased contact resistance among the reduced Si particles.

  13. Association behaviour of 241Am(III) on SiO2(amorphous) and SiO2(quartz) colloids

    International Nuclear Information System (INIS)

    Degueldre, C.; Wernli, B.

    1993-01-01

    SiO 2 colloids have been identified as a potential vector for enhancing radionuclide transport in granitic groundwater and in concrete pore water. The sorption behaviour of 241 Am(III) on SiO 2 colloids was studied as a function of americium concentration pH (5-12), colloid concentration, ionic strength, temperature and SiO 2 allotropic species. The Am(III) sorption mechanism on amorphous silica is different from that on quartz. For SiO 2(amorphous) solution, the variation of log K p (ml g -1 ) with pH is linear (pH=5-9) with a slope of +1 indicating a one proton exchange mechanism. The colloid concentration (ppm) affects the sorption and log K p 3.7-0.67 log [SiO 2 ] (pH = 6). K p increases insignificantly when the ionic strength decreases. It shows no significant variation, however, with the Am concentration. On amorphous silica, the Am(III) sorption is driven by proton exchange from the silanol groups. For SiO 2 (quartz), log K p is constant over a large range of quartz concentration in suspension and the variation of log K p with pH is about linear (pH = 5-12), with a slope of 0.28, indicating a more complex exchange mechanism. Reactions taking into account the interaction of positive Am(OH) w (3-w)+ species on to the negatively charged quartz surface are suggested. (author)

  14. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  15. Modeling and Experiments with Carbon Nanotubes for Applications in High Performance Circuits

    Science.gov (United States)

    2017-04-06

    silicon substrates. The poor gate coupling due to the thick Silicon Dioxide ( SiO2 ) layer and back gate geometry limited their applications. However, in...transistor, there is significant scattering of electrons due to the disordered nature of the Si– SiO2 interface. However, the CNT has a crystalline...unlimited. 52 Glass Substrate Silver Electrodes CNT Matrix PBS (Phosphate Buffered Saline) Figure 40 Simple SWNT conductance-based bio-sensor for

  16. Sorption of uranyl ions on hydrous silicon dioxide

    International Nuclear Information System (INIS)

    Lieser, K.H.; Quandt-Klenk, S.; Thybusch, B.

    1992-01-01

    Sorption of uranyl ions on SiO 2 .χH 2 O (silica gel) is investigated in absence and in presence of carbonate as function of pH. The curves obtained are very similar to those observed for sorption of uranyl ion on TiO 2 .χH 2 O, indicating the dominating influence of the uranium species in solution. Between pH 2 and 5 the sorption ratio R s increases with hydrolysis of uranyl ions (formation of UO 2 OH + ), around pH 7 it is nearly independent of pH, and at higher pH it decreases again. The equilibrium constants are calculated for these ranges. In presence of carbonate R s decreases drastically above pH 6, due to the formation of carbonato complexes in solution. Sorption of uranyl ions on SiO 2 .χH 2 O, on TiO 2 .χH 2 O, and on cryst. SiO 2 and Al 2 O 3 is compared. The problems of 'surface complexation' modelling are discussed. (orig.)

  17. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    Science.gov (United States)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  18. The role of nitrogen in luminescent Si nanoprecipitate formation during annealing of Si ion-implanted SiO sub 2 layers

    CERN Document Server

    Kachurin, G A; Zhuravlev, K S; Ruault, M O

    2001-01-01

    SiO sub 2 layers were implanted with 25 keV Si sup + and 13 keV N sup + ions with the doses of (1-4) x 10 sup 1 sup 6 cm sup - sup 2 and (0.2-2) x 10 sup 1 sup 6 cm sup - sup 2 , respectively. Then the samples were annealed at 900-1100 deg C to form luminescent silicon nanoprecipitates. The nitrogen effect on the process is controlled by photoluminescence spectra. It is found out that the photoluminescence intensity increases considerably at the appropriate ratio between silicon and nitrogen. It has been concluded that the interaction of nitrogen with excessive silicon results in increasing the number of precipitation centers. This raises the nanocrystals number and reduces their mean size

  19. Thickness and composition of ultrathin SiO2 layers on Si

    International Nuclear Information System (INIS)

    Marel, C. van der; Verheijen, M.A.; Tamminga, Y.; Pijnenburg, R.H.W.; Tombros, N.; Cubaynes, F.

    2004-01-01

    investigated [D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt, and G. Timp, Nature (London) 399, 758 (1999); D. A. Muller and J. B. Neaton, Structure and Energetics of the Interface Between Si and Amorphous SiO 2 in Fundamental Aspects of Silicon Oxidation, edited by Y. J. Chabal (Springer, Berlin, 2001), pp. 219-246.] by means of high-resolution electron energy loss spectroscopy measurements of the O K edge in ultrathin gate oxides of SiO 2

  20. Bright luminance from silicon dioxide film with carbon nanotube electron beam exposure

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Su Woong; Hong, Ji Hwan; Kang, Jung Su; Callixte, Shikili; Park, Kyu Chang, E-mail: kyupark@khu.ac.kr

    2016-02-15

    We observed the bright bluish-white luminescence with naked eye from carbon nanotube electron beam exposed silicon dioxide (SiO{sub 2}) thin film on Si substrate. The luminescence shows a peak intensity at 2.7 eV (460 nm) with wide spread up to 600 nm after the C-beam exposed on SiO{sub 2} thin film. The C-beam exposure system is composed of carbon nanotube emitters as electron beam source. The brightness strongly depend on the exposure condition. Luminescence characteristic was optimized by C-beam adjustment to observe with the naked eye. The cause of luminescence in the C-beam exposed SiO{sub 2} thin film is analyzed by CL microscopy, FT-IR, AFM and ellipsometer. Decrease of Si–O bonding was observed after C-beam exposure, and this reveals that oxygen deficient defects which are irradiation-sensitive cause 2.7 eV peak of luminescence. - Highlights: • We observed bright luminescence for SiO{sub 2} thin film with naked eye by carbon nanotube electron beam (C-beam) exposure technique. • The bright luminance from C-beam exposed SiO{sub 2} film will open novel silicon optoelectronics.

  1. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  2. Trapping time of excitons in Si nanocrystals embedded in a SiO2 matrix

    Science.gov (United States)

    de Jong, E. M. L. D.; de Boer, W. D. A. M.; Yassievich, I. N.; Gregorkiewicz, T.

    2017-05-01

    Silicon (Si) nanocrystals (NCs) are of great interest for many applications, ranging from photovoltaics to optoelectonics. The photoluminescence quantum yield of Si NCs dispersed in SiO2 is limited, suggesting the existence of very efficient processes of nonradiative recombination, among which the formation of a self-trapped exciton state on the surface of the NC. In order to improve the external quantum efficiency of these systems, the carrier relaxation and recombination need to be understood more thoroughly. For that purpose, we perform transient-induced absorption spectroscopy on Si NCs embedded in a SiO2 matrix over a broad probe range for NCs of average sizes from 2.5 to 5.5 nm. The self-trapping of free excitons on surface-related states is experimentally and theoretically discussed and found to be dependent on the NC size. These results offer more insight into the self-trapped exciton state and are important to increase the optical performance of Si NCs.

  3. Enhanced energy density and thermostability in polyimide nanocomposites containing core-shell structured BaTiO3@SiO2 nanofibers

    Science.gov (United States)

    Wang, Junchuan; Long, Yunchen; Sun, Ying; Zhang, Xueqin; Yang, Hong; Lin, Baoping

    2017-12-01

    High energy density polymer nanocomposites with high-temperature resistance are quite desirable for film capacitors and many other power electronics. In this study, polyimide-based (PI) nanocomposite films containing the core-shell structured barium titanate@silicon dioxide (BT@SiO2) nanofibers have been successfully synthesized by the solution casting method. In the BT@SiO2/PI nanocomposite films, the dielectric permittivity as well as the breakdown strength increase significantly. The SiO2 shell layers with moderate dielectric permittivity could effectively mitigate the local field concentration induced by the large mismatch between the dielectric permittivity of BT and PI, which contributes to the enhancement of the breakdown strength of the PI nanocomposite films. As a result, the PI nanocomposite film filled with 3 vol% BT@SiO2 nanofibers exhibits a maximal energy density of 2.31 J cm-3 under the field of 346 kV/mm, which is 62% over the pristine PI (1.42 J cm-3 at 308 kV/mm) and about 200% greater than the best commercial polymer, i.e. biaxially oriented polypropylenes (BOPP) (≈1.2 J cm-3). The thermogravimetric analysis results indicate that the BT@SiO2/PI nanocomposite films have good thermal stability below 500 °C.

  4. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  5. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  6. Investigations of the surface conductivity of silicon dioxide and methods to reduce it

    NARCIS (Netherlands)

    Voorthuyzen, J.A.; Keskin, K.; Bergveld, Piet

    1987-01-01

    In this paper we describe our investigations of the electrical conductivity of the silicon dioxide-air interface. It appears that this conductivity is caused by the adsorption of water vapour on the oxide surface and strongly depends on the relative humidity of the surrounding air. Considering this

  7. Investigation of hydrogen and chlorine at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Miller, P.D.; Moak, C.D.; Appleton, B.R.; Wintenberg, A.L.

    1980-01-01

    Silicon oxides thermally grown in H 2 O, O 2 , HCl/O 2 and Cl 2 /O 2 ambients were analyzed, via 1 H( 19 F,αγ) 16 O nuclear reaction and SIMS, for the presence of hydrogen. In addition, those oxides grown in HCl/O 2 and Cl 2 /O 2 ambients were analyzed with SIMS for the presence of chlorine. The SIMS data show that the hydrogen levels in these oxides were below the limit of detection for nuclear reaction experiments. The 35 Cl + depth-profiles show that chlorine is enriched at the SiO 2 interface for the HCl/O 2 grown oxides while it is more evenly distributed in oxide bulk in the Cl 2 /O 2 grown samples

  8. Thermodynamics of Bi2O3-SiO2 system

    Directory of Open Access Journals (Sweden)

    Onderka B.

    2017-01-01

    Full Text Available Thermodynamic properties of the liquid Bi2O3-SiO2 solutions were determined from the results of the electrochemical measurements by use of the solid oxide galvanic cells with YSZ (Yttria-Stabilized-Zirconia electrolyte. Activities of Bi2O3 in the solutions were determined for 0.2, 0.3, 0.4, and 0.5 SiO2 mole fractions in the temperature range 1073-1293 K from measured electromotive force (e.m.f of the solid electrolyte galvanic cell: Bi, Bi2O3-SiO2 | YSZ | air (pO2 = 0.213 bar Additionally, heat capacity data obtained for two solid phases 6Bi2O3•SiO2 and 2Bi2O3•3SiO2 were included into optimization of thermodynamic properties of the system. Optimization procedure was supported by differential thermal analysis (DTA data obtained in this work as well as those accepted from the literature. Using the data obtained in this work, and the information about phase equilibria found in the literature, binary system Bi2O3-SiO2 was assessed with the ThermoCalc software.

  9. Nondegradative Dielectric Coating of Graphene using Thermal Evaporation of SiO

    Science.gov (United States)

    Suzuki, Seiya; Lee, Chien-Chung; Nagamori, Takashi; Schibli, Thomas; Yoshimura, Masamichi

    2013-03-01

    Deposition of dielectrics onto graphene is a challenging technique due to the difficulties of fabricating high quality oxide on pristine graphene without introducing atomic defects. Here we report on a novel method to fabricate silicon oxide layer on graphene by vacuum thermal evaporation of silicon monoxide (SiO). Raman spectroscopy and mapping showed the present method did not degrade graphene, in contrast to the e-beam evaporated SiO2 coating method previously reported. We fabricated graphene field effect transistor devices with four metal electrodes to measure gate voltage dependence of sheet resistance of the graphene, and deposited a top coating of SiO on the graphene channel. The electrical measurements before and after the top-coating revealed that the top coating suppressed chemical shift of the graphene from strong p-dope to nearly undoped. Since SiO is transparent for visible and infrared light, the coating can be available as a protection layer for optical devices of graphene such as photodetectors and electro-optic modulators. Since the SiO top coating is a simple vacuum evaporation, it is much easier than atomic-layer-deposition which requires additional functionalization of graphene, and compatible with industrial use. This research was supported in part by Toyoaki Scholarship Foundation

  10. SiO 2/SiC interface proved by positron annihilation

    Science.gov (United States)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-06-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2/SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage ( C- V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method.

  11. SiO2/SiC interface proved by positron annihilation

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-01-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2 /SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage (C-V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method

  12. Peripheral blood picture changes induced by chronic radone and silicon dioxide treatment (in combination or separately)

    International Nuclear Information System (INIS)

    Ivanov, Z.; Dermendzhiev, Kh.; Nikolova, M.

    1975-01-01

    Peripheral blood changes have been studied in rats after the following treatments: 4 hours daily for six months with a radon concentration of 1.10 -10 Ci/1 (group I), 1.10 -12 Ci/1 (group II) 50 mg pure silicon dioxide in 1 ml physiological solution (group III), and intratracheal incorporation of radon concentration in air of 1.10 -10 Ci/1 and silicon dioxide (group IV). Examinations during the third and sixth month after the start of experiment showed development of leukopenia in groups I, III and IV; lymphopenia was established in groups I and IV, and lymphocytosis in group III. Atypical morphological changes were observed in red blood cells. (A.B.)

  13. Silicon Dioxide Thin Film Mediated Single Cell Nucleic Acid Isolation

    Science.gov (United States)

    Bogdanov, Evgeny; Dominova, Irina; Shusharina, Natalia; Botman, Stepan; Kasymov, Vitaliy; Patrushev, Maksim

    2013-01-01

    A limited amount of DNA extracted from single cells, and the development of single cell diagnostics make it necessary to create a new highly effective method for the single cells nucleic acids isolation. In this paper, we propose the DNA isolation method from biomaterials with limited DNA quantity in sample, and from samples with degradable DNA based on the use of solid-phase adsorbent silicon dioxide nanofilm deposited on the inner surface of PCR tube. PMID:23874571

  14. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  15. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    Science.gov (United States)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  16. A VUV photoionization measurement and ab-initio calculation of the ionization energy of gas phase SiO2

    Energy Technology Data Exchange (ETDEWEB)

    Kostko, Oleg; Ahmed, Musahid; Metz, Ricardo B.

    2008-12-05

    In this work we report on the detection and vacuum-ultraviolet (VUV) photoionization of gas phase SiO2 generated in situ via laser ablation of silicon in a CO2 molecular beam. The resulting species are investigated by single photon ionization with tunable VUV synchrotron radiation and mass analyzed using reflectron mass spectrometry. Photoionization efficiency (PIE) curves are recorded for SiO and SiO2 and ionization energy estimates are revealed from such measurements. A state-to-state ionizationenergy of 12.60 (+-0.05) eV is recorded by fitting two prominent peaks in the PIE curve for the following process: 1SUM O-Si-O --> 2PRODg [O-Si-O]+. Electronic structure calculations aid in the interpretation of the photoionization process and allow for identification of the symmetric stretch of 2PRODg [O-Si-O]+ which is observed in the PIE spectrum to be 0.11 eV (890 cm-1) above the ground state of the cation and agrees with the 892 cm-1 symmetric stretch frequency calculated at the CCSD(T)/aug-cc-pVTZ level.

  17. Effect of rapid thermal annealing temperature on the dispersion of Si nanocrystals in SiO2 matrix

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2015-01-01

    Effect of rapid thermal annealing temperature on the dispersion of silicon nanocrystals (Si-NC’s) embedded in SiO 2 matrix grown by atom beam sputtering (ABS) method is reported. The dispersion of Si NCs in SiO 2 is an important issue to fabricate high efficiency devices based on Si-NC’s. The transmission electron microscopy studies reveal that the precipitation of excess silicon is almost uniform and the particles grow in almost uniform size upto 850 °C. The size distribution of the particles broadens and becomes bimodal as the temperature is increased to 950 °C. This suggests that by controlling the annealing temperature, the dispersion of Si-NC’s can be controlled. The results are supported by selected area diffraction (SAED) studies and micro photoluminescence (PL) spectroscopy. The discussion of effect of particle size distribution on PL spectrum is presented based on tight binding approximation (TBA) method using Gaussian and log-normal distribution of particles. The study suggests that the dispersion and consequently emission energy varies as a function of particle size distribution and that can be controlled by annealing parameters

  18. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  19. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  20. Properties of Silicon Dioxide Amorphous Nanopowder Produced by Pulsed Electron Beam Evaporation

    Directory of Open Access Journals (Sweden)

    Vladislav G. Il’ves

    2015-01-01

    Full Text Available SiO2 amorphous nanopowder (NP is produced with the specific surface area of 154 m2/g by means of evaporation by a pulsed electron beam aimed at Aerosil 90 pyrogenic amorphous NP (90 m2/g as a target. SiO2 NP nanoparticles showed improved magnetic, thermal, and optical properties in comparison to Aerosil 90 NP. Possible reasons of emergence of d0 ferromagnetism at the room temperature in SiO2 amorphous NP are discussed. Photoluminescent and cathode luminescent properties of the SiO2 NP were investigated.

  1. UV Bandpass Optical Filter for Microspectometers

    NARCIS (Netherlands)

    Correia, J.H.; Emadi, A.R.; Wolffenbuttel, R.F.

    2006-01-01

    This paper describes the design and modeling of a UV bandpass optical filter for microspectrometers. The materials used for fabricating the multilayer UV filter are: silicon dioxide (SiO2), titanium dioxide (TiO2) and yttrium oxide (Y2O3). The optical filter shows a bandpass response wavelength in

  2. Studies on the polycrystalline silicon/SiO2 stack as front surface field for IBC solar cells by two-dimensional simulations

    International Nuclear Information System (INIS)

    Jiang Shuai; Jia Rui; Tao Ke; Hou Caixia; Sun Hengchao; Li Yongtao; Yu Zhiyong

    2017-01-01

    Interdigitated back contact (IBC) solar cells can achieve a very high efficiency due to its less optical losses. But IBC solar cells demand for high quality passivation of the front surface. In this paper, a polycrystalline silicon/SiO 2 stack structure as front surface field to passivate the front surface of IBC solar cells is proposed. The passivation quality of this structure is investigated by two dimensional simulations. Polycrystalline silicon layer and SiO 2 layer are optimized to get the best passivation quality of the IBC solar cell. Simulation results indicate that the doping level of polycrystalline silicon should be high enough to allow a very thin polycrystalline silicon layer to ensure an effective passivation and small optical losses at the same time. The thickness of SiO 2 should be neither too thin nor too thick, and the optimal thickness is 1.2 nm. Furthermore, the lateral transport properties of electrons are investigated, and the simulation results indicate that a high doping level and conductivity of polycrystalline silicon can improve the lateral transportation of electrons and then the cell performance. (paper)

  3. Self-assembly of SiO2 nanoparticles for the potentiometric detection of neurotransmitter acetylcholine and its inhibitor.

    Science.gov (United States)

    Arruda, Izabela G; Guimarães, Francisco E G; Ramos, Romildo J; Vieira, Nirton C S

    2014-09-01

    The detection and quantification of neurotransmitter acetylcholine (ACh) are relevant because modifications in the ACh levels constitute a threat to human health. The biological regulator of this neurotransmitter is acetylcholinesterase (AChE), an enzyme that catalyzes the hydrolysis of ACh to choline and acetic acid. However, its activity is inhibited in the presence of organophosphate and carbamate pesticides, compromising the degradation of the neurotransmitter. There has been a growing interest in faster and more sensitive detection systems that include new methods and materials for the determination of the ACh concentration. This paper proposes a potentiometric biosensor for the detection of neurotransmitter ACh and its inhibitors, specifically organophosphate pesticide methamidophos. The biosensor is based on a self-assembled platform formed by poly(allylamine) hydrochloride (PAH) and silicon dioxide nanoparticles (SiO2-Np) that contains the immobilized enzyme AChE. First, the responses of the biosensor were investigated for different concentrations of ACh in buffer solutions. After quantifying ACh, the inhibition of AChE in the presence of methamidophos was determined, enabling the quantification of methamidophos expressed as the percentage of enzyme inhibition. The potential advantages of this biosensor include simplicity in building the electrode, possible production on an industrial scale, limited need for qualified personnel to operate the device and low processing cost.

  4. Electromagnetic modeling of waveguide amplifier based on Nd3+ Si-rich SiO2 layers by means of the ADE-FDTD method.

    Science.gov (United States)

    Dufour, Christian; Cardin, Julien; Debieu, Olivier; Fafin, Alexandre; Gourbilleau, Fabrice

    2011-04-04

    By means of ADE-FDTD method, this paper investigates the electromagnetic modelling of a rib-loaded waveguide composed of a Nd3+ doped Silicon Rich Silicon Oxide active layer sandwiched between a SiO2 bottom cladding and a SiO2 rib. The Auxilliary Differential Equations are the rate equations which govern the levels populations. The Finite Difference Time Domain (FDTD) scheme is used to solve the space and time dependent Maxwell equations which describe the electromagnetic field in a copropagating scheme of both pumping (λpump = 488 nm) and signal (λsignal = 1064 nm) waves. Such systems are characterized by extremely different specific times such as the period of electromagnetic field ~ 10-15 s and the lifetimes of the electronic levels between ~ 10-10s and ~ 10-4 s. The time scaling method is used in addition to specific initial conditions in order to decrease the computational time. We show maps of the Poynting vector along the propagation direction as a function of the silicon nanograin (Si-ng) concentrations. A threshold value of 1024 Si-ng m-3 is extracted below which the pump wave can propagate so that a signal amplication is possible.

  5. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  6. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  7. THE THERMODYNAMIC PROPERTIES OF MELTS OF DOUBLE SYSTEM MgO – Al2O3, MgO – SiO2, MgO – CaF2, Al2O3 – SiO2, Al2O3 – CaF2, SiO2 – CaF2

    Directory of Open Access Journals (Sweden)

    В. Судавцова

    2012-04-01

    Full Text Available Methodology of prognostication of thermodynamics properties of melts is presented from the coordinatesof liquidus of diagram of the state in area of equilibria a hard component is solution, on which energies ofmixing of Gibbs are expected in the double border systems of MgO – Al2O3, MgO – SiO2, MgO – CaF2,Al2O3 – SiO2, Al2O3 - CaF2, SiO2 - CaF2. For the areas of equilibrium there is quasibinary connection(MgAl2O4, Mg2SiO4, Al6Si2O13 – a grout at calculations was used equalization of Hauffe-Wagner. Theobtained data comport with literary

  8. Surface Effects in Segmented Silicon Sensors

    OpenAIRE

    Kopsalis, Ioannis

    2017-01-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO2 layers at the surface, thus changing the sensor properties and limiting their...

  9. Incorporation of sol-gel SnO2:Sb into nanoporous SiO2

    International Nuclear Information System (INIS)

    Canut, B.; Blanchin, M.G.; Ramos-Canut, S.; Teodorescu, V.; Toulemonde, M.

    2006-01-01

    Silicon oxide films thermally grown on Si(1 0 0) wafers were irradiated with 200 MeV 197 Au ions in the 10 9 -10 1 cm -2 fluence range. The targets were then etched at room temperature in aqueous HF solution (1 vol.%) for various durations. Atomic force microscopy (AFM) in the tapping mode was used to probe the processed surfaces. Conical holes with a low size dispersion were evidenced. Their surface diameter varies between 20 and 70 nm, depending on the etching time. Sol-gel dip coating technique, associated with a further annealing treatment performed at 500 o C for 15 min, was used to fill the nanopores created in SiO 2 with a transparent conductive oxide (SnO 2 doped with antimony). Transmission electron microscopy (TEM) performed on cross-sectional specimen showed that SnO 2 :Sb crystallites of ∼5 nm mean size are trapped in the holes without degrading their geometry

  10. MOS structures containing silicon nanoparticles for memory device applications

    International Nuclear Information System (INIS)

    Nedev, N; Zlatev, R; Nesheva, D; Manolov, E; Levi, Z; Brueggemann, R; Meier, S

    2008-01-01

    Metal-oxide-silicon structures containing layers with amorphous or crystalline silicon nanoparticles in a silicon oxide matrix are fabricated by sequential physical vapour deposition of SiO x (x = 1.15) and RF sputtering of SiO 2 on n-type crystalline silicon, followed by high temperature annealing in an inert gas ambient. Depending on the annealing temperature, 700 deg. C or 1000 deg. C, amorphous or crystalline silicon nanoparticles are formed in the silicon oxide matrix. The annealing process is used not only for growing nanoparticles but also to form a dielectric layer with tunnelling thickness at the silicon/insulator interface. High frequency C-V measurements demonstrate that both types of structures can be charged negatively or positively by applying a positive or negative voltage on the gate. The structures with amorphous silicon nanoparticles show several important advantages compared to the nanocrystal ones, such as lower defect density at the interface between the crystalline silicon wafer and the tunnel silicon oxide, better retention characteristics and better reliability

  11. Facile synthesis of silicon carbide-titanium dioxide semiconducting nanocomposite using pulsed laser ablation technique and its performance in photovoltaic dye sensitized solar cell and photocatalytic water purification

    Energy Technology Data Exchange (ETDEWEB)

    Gondal, M.A., E-mail: magondal@kfupm.edu.sa [Laser Research Group, Physics Department & Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Ilyas, A.M. [Laser Research Group, Physics Department & Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Baig, Umair [Laser Research Group, Physics Department & Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Center of Excellence for Scientific Research Collaboration with MIT, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia)

    2016-08-15

    Highlights: • SiC–TiO{sub 2} semiconducting nanocomposites synthesized by nanosecond PLAL technique. • Synthesized nanocomposites were morphologically and optically characterized. • Nanocomposites were applied for the photocatalytic degradation of toxic organic dye. • Photovoltaic performance was investigated in dye sensitized solar cell. - Abstract: Separation of photo-generated charge carriers (electron and holes) is a major approach to improve the photovoltaic and photocatalytic performance of metal oxide semiconductors. For harsh environment like high temperature applications, ceramic like silicon carbide is very prominent. In this work, 10%, 20% and 40% by weight of pre-oxidized silicon carbide was coupled with titanium dioxide (TiO{sub 2}) to form nanocomposite semiconductor via elegant pulsed laser ablation in liquid technique using second harmonic 532 nm wavelength of neodymium-doped yttrium aluminium garnet (Nd-YAG) laser. In addition, the effect of silicon carbide concentration on the performance of silicon carbide-titanium dioxide nanocomposite as photo-anode in dye sensitized solar cell and as photocatalyst in photodegradation of methyl orange dye in water was also studied. The result obtained shows that photo-conversion efficiency of the dye sensitized solar cell was improved from 0.6% to 1.65% and the percentage of methyl orange dye removed was enhanced from 22% to 77% at 24 min under ultraviolet–visible solar spectrum in the nanocomposite with 10% weight of silicon carbide. This remarkable performance enhancement could be due to the improvement in electron transfer phenomenon by the presence of silicon carbide on titanium dioxide.

  12. Multi-level modeling of total ionizing dose in a-silicon dioxide: First principles to circuits

    Science.gov (United States)

    Nicklaw, Christopher J.

    Oxygen vacancies have long been known to be the dominant intrinsic defect in amorphous SiO2. They exist, in concentrations dependent on processing conditions, as neutral defects in thermal oxides without usually causing any significant deleterious effects, with some spatial and energy distribution. During irradiation they can capture holes and become positively charged E '-centers, contributing to device degradation. Over the years, a considerable database has been amassed on the dynamics of E' -centers in bulk SiO2 films, and near the interface under different irradiation and annealing conditions. Theoretical calculations so far have revealed the basic properties of prototype oxygen vacancies, primarily as they behave in either a crystalline quartz environment, or in small clusters that serve as a substitute for a real amorphous structure. To date at least three categories of E'-centers, existing at or above room temperature, have been observed in SiO2. The unifying feature is an unpaired electron on a threefold coordinated silicon atom, having the form O3 ≡ Si·. Feigl et al. identified the E'1 -center in crystalline quartz as a trapped hole on an oxygen vacancy, which causes an asymmetrical relaxation, resulting in a paramagnetic center. The unpaired electron in the E'1 -center is localized on the three-fold coordinated Si atoms, while the hole is localized on the other Si atom. Results from an ab initio statistical simulation examination of the behaviors of oxygen vacancies, within amorphous structures, identify a new form of the E'-center, the E'g5 and help in the understanding of the underlying physical mechanisms involved in switched-bias annealing, and electron paramagnetic resonance (EPR) studies. The results also suggest a common border trap, induced by trapped holes in SiO2, is a hole trapped at an oxygen vacancy defect, which can be compensated by an electron, as originally proposed by Lelis and co-workers at Harry Diamond Laboratories. This

  13. Surface effects in segmented silicon sensors

    International Nuclear Information System (INIS)

    Kopsalis, Ioannis

    2017-05-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO 2 layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO 2 and at the Si-SiO 2 interface. In this thesis the surface radiation damage of the Si-SiO 2 system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO 2 of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO 2 ) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO 2 interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface radiation damage of silicon sensors.

  14. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  15. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  16. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications.

    Science.gov (United States)

    Boudot, Cécile; Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO 2 ) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO 2 layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO 2 -coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68days and the coating's resistance to several sterilization methods. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. Al2O3 e-Beam Evaporated onto Silicon (100)/SiO2, by XPS

    Energy Technology Data Exchange (ETDEWEB)

    Madaan, Nitesh; Kanyal, Supriya S.; Jensen, David S.; Vail, Michael A.; Dadson, Andrew; Engelhard, Mark H.; Samha, Hussein; Linford, Matthew R.

    2013-09-25

    We report the XPS characterization of a thin film of Al2O3 (35 nm) deposited via e-beam evaporation onto silicon (100). The film was characterized with monochromatic Al Ka radiation. An XPS survey scan, an Al 2p narrow scan, and the valence band spectrum were collected. The Al2O3 thin film is used as a diffusion barrier layer for templated carbon nanotube (CNT) growth in the preparation of microfabricated thin layer chromatography plates.

  18. Two-dimensional chemically tunable patterns with cellular structures fabricated via thermal pressing method

    International Nuclear Information System (INIS)

    Wang Bo; Zhao Qinghe; Liu Lili; Gao Changyou; Han Kun; Zhang Junhu; Xiang Zheng; Yang Bai

    2006-01-01

    A novel and versatile soft lithography method, i.e. thermal pressing method has been established to create colloid arrays by using multilevel inks. Patterned poly(dimethylsiloxane) stamp containing silicone dioxide microparticles was pressed into a polycaprolactone (PCL) film at the temperature around the T m of PCL. Subsequent removal of the colloids left cavity arrays. By initially incorporating chitosan, albumin or CdTe quantum dots into the silicone dioxide microparticles, removal of the ordered SiO 2 microspheres would then release these substances which were stably embedded into the PCL matrices or suspended in the interiors of the cellular structures. By coating the SiO 2 microspheres with multilayers previously, thin covers on the cellular structures could be obtained after removal of the templates

  19. Preparation of superhydrophobic poly(methyl methacrylate)-silicon dioxide nanocomposite films

    International Nuclear Information System (INIS)

    Wang Jinyan; Chen Xinhua; Kang Yingke; Yang Guangbin; Yu Laigui; Zhang Pingyu

    2010-01-01

    Superhydrophobic poly(methyl methacrylate)-SiO 2 (coded as PMMA-SiO 2 ) nanocomposite films with micro-nanohierarchical structure were prepared via a simple approach in the absence of low surface-energy compounds. By spin-coating the suspension of hydrophobic silica (SiO 2 ) nanoparticles dispersed in PMMA solution, target nanocomposite films were obtained on glass slides. The wetting behavior of PMMA-SiO 2 nanocomposite films was investigated in relation to the dosage of SiO 2 nanoparticles dispersed in PMMA solution. It was found that hydrophilic PMMA film was transferred to superhydrophobic PMMA-SiO 2 nanocomposite films when hydrophobic SiO 2 nanoparticles were introduced into the PMMA solution at a high enough dosage (0.2 g and above). Resultant PMMA-SiO 2 nanocomposite films had a static water contact angle of above 162 o , showing promising applications in selfcleaning and waterproof for outer wall of building, outer covering for automobile, sanitary wares, and so forth.

  20. Free Energy Minimization Calculation of Complex Chemical Equilibria. Reduction of Silicon Dioxide with Carbon at High Temperature.

    Science.gov (United States)

    Wai, C. M.; Hutchinson, S. G.

    1989-01-01

    Discusses the calculation of free energy in reactions between silicon dioxide and carbon. Describes several computer programs for calculating the free energy minimization and their uses in chemistry classrooms. Lists 16 references. (YP)

  1. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  2. Study of Dielectric Properties and Morphology of Epoxy Resin with Silicon Dioxide Microparticles and Nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Hudec, Jiří; Neděla, Vilém

    2016-01-01

    Roč. 22, S3 (2016), s. 1896-1897 ISSN 1431-9276 R&D Projects: GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : epoxy resin ESEM * Nanoparticles * silicon dioxide * ESEM Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 1.891, year: 2016

  3. Electromagnetic modeling of waveguide amplifier based on Nd3+ Si-rich SiO2 layers by means of the ADE-FDTD method

    Directory of Open Access Journals (Sweden)

    Dufour Christian

    2011-01-01

    Full Text Available Abstract By means of ADE-FDTD method, this paper investigates the electromagnetic modelling of a rib-loaded waveguide composed of a Nd3+ doped Silicon Rich Silicon Oxide active layer sandwiched between a SiO2 bottom cladding and a SiO2 rib. The Auxilliary Differential Equations are the rate equations which govern the levels populations. The Finite Difference Time Domain (FDTD scheme is used to solve the space and time dependent Maxwell equations which describe the electromagnetic field in a copropagating scheme of both pumping (λ pump = 488 nm and signal (λ signal = 1064 nm waves. Such systems are characterized by extremely different specific times such as the period of electromagnetic field ~ 10-15 s and the lifetimes of the electronic levels between ~ 10-10s and ~ 10-4 s. The time scaling method is used in addition to specific initial conditions in order to decrease the computational time. We show maps of the Poynting vector along the propagation direction as a function of the silicon nanograin (Si-ng concentrations. A threshold value of 1024 Si-ng m-3 is extracted below which the pump wave can propagate so that a signal amplication is possible.

  4. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  6. Simple Approach to Superamphiphobic Overhanging Silicon Nanostructures

    DEFF Research Database (Denmark)

    Kumar, Rajendra; Mogensen, Klaus Bo; Bøggild, Peter

    2010-01-01

    with contact angles up to 152 degrees and roll-off angle down to 8 degrees. Such nonlithographic nanoscale overhanging Structures can also be added to silicon nanograss by deposition of a thin SiO2 layer, which equips the silicon rods with 100-300 nm sized overhanging Structures. This is a simple, fast...

  7. Magnetic SiO2/Fe3O4 colloidal crystals

    International Nuclear Information System (INIS)

    Huang, C-K; Hou, C-H; Chen, C-C; Tsai, Y-L; Chang, L-M; Wei, H-S; Hsieh, K-H; Chan, C-H

    2008-01-01

    We proposed a novel technique to fabricate colloidal crystals by using monodisperse SiO 2 coated magnetic Fe 3 O 4 (SiO 2 /Fe 3 O 4 ) microspheres. The magnetic SiO 2 /Fe 3 O 4 microspheres with a diameter of 700 nm were synthesized in the basic condition with ferric sulfate, ferrous sulfate, tartaric acid and tetraethyl orthosilicate (TEOS) in the reaction system. Monodisperse SiO 2 /Fe 3 O 4 superparamagnetic microspheres have been successfully used to fabricate colloidal crystals under the existing magnetic field

  8. Precipitation of amorphous SiO2 particles and their properties

    Directory of Open Access Journals (Sweden)

    S. Musić

    2011-03-01

    Full Text Available The experimental conditions were optimized for the synthesis of amorphous SiO2 particles by the reaction of neutralization of sodium silicate solution with H2SO4 solution. Amorphous SiO2 particles were characterized by XRD, FT-IR, FE-SEM, EDS and microelectrophoresis. The amorphous peak was located at 2θ = 21.8º in the XRD pattern. Primary SiO2 particles were ~ 15 to ~ 30 nm in size and they aggregated into bigger particles. Amorphous SiO2 particles showed a specific surface area up to 130 m²g-1, dependent on the parameters of the precipitation process. The EDS spectrum of amorphous SiO2 particles did not show contamination with sulfate or other ions, which cannot be excluded in traces. pHzpc =1.7 was obtained by microelectrophoresis.

  9. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  10. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  11. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  12. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  13. The mechanism of hydrophilic and hydrophobic colloidal silicon dioxide types as glidants

    OpenAIRE

    Jonat, Stéphane

    2005-01-01

    AEROSIL® 200 is a hydrophilic highly disperse colloidal silicon dioxide (CSD) that is commonly used to improve flowability. This conventional CSD has low bulk and tapped densities and can produce dust if handled improperly. In order to improve its handling, special mechanical processes were developed for the homogeneous compaction of CSD. As a result, two new products have been recently introduced: AEROSIL® 200 VV and AEROSIL® R 972 V. AEROSIL® 200 VV is hydrophilic and chemically identical t...

  14. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    Science.gov (United States)

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  15. Semiconductor-metal phase transition of vanadium dioxide nanostructures on silicon substrate: Applications for thermal control of spacecraft

    International Nuclear Information System (INIS)

    Leahu, G. L.; Li Voti, R.; Larciprete, M. C.; Belardini, A.; Mura, F.; Sibilia, C.; Bertolotti, M.; Fratoddi, I.

    2013-01-01

    We present a detailed infrared study of the semiconductor-to-metal transition (SMT) in a vanadium dioxide (VO2) film deposited on silicon wafer. The VO2 phase transition is studied in the mid-infrared (MIR) region by analyzing the transmittance and the reflectance measurements, and the calculated emissivity. The temperature behaviour of the emissivity during the SMT put into evidence the phenomenon of the anomalous absorption in VO2 which has been explained by applying the Maxwell Garnett effective medium approximation theory, together with a strong hysteresis phenomenon, both useful to design tunable thermal devices to be applied for the thermal control of spacecraft. We have also applied the photothermal radiometry in order to study the changes in the modulated emissivity induced by laser. Experimental results show how the use of these techniques represent a good tool for a quantitative measurement of the optothermal properties of vanadium dioxide based structures

  16. A new high-pressure phase of Fe2SiO4 and the relationship between spin and structural transitions

    Science.gov (United States)

    Yamanaka, T.; Kyono, A.; Nakamoto, Y.; Kharlamova, S. A.; Struzhkin, V. V.; Gramsch, S.; Mao, H.; Hemley, R. J.

    2013-12-01

    Structure transformation of Fe2SiO4 Angle-dispersive powder x-ray diffraction was carried out at beam line 16-BMD APS. Structure of a new high-pressure phase of I-Fe2SiO4 spinel was determined by Rietveld profile fitting of x-ray diffraction data up to 64GPa at ambient temperature. A structural transition from the cubic spinel to the new structure was observed at 34GPa. Diffraction patterns taken at 44.6GPa and 54.6GPa indicate a two-phase mixture of spinel and new high-pressure phase. Reversible transition from I-Fe2SiO4 to spinel was confirmed. Laser heating experiment at 1500K proved the decomposition of Fe2SiO4 spinel to two oxides of FeO and SiO2. Spin transition X-ray emission measurements of Fe2SiO4 were carried out up to 65GPa at ambient temperature at beam line 16-IDD APS. The spin transition exerts an influence to Fe2SiO4 spinel structure and triggers two distinct curves of the lattice constant in the spinel phase. Although the compression curve of the spinel is discontinuous at approximately 20 GPa, Fe Kβ emission measurements show that the transition from a high spin (HS) to an intermediate spin (IS) state begins at 17GPa in the spinel phase. The IS electronic state is gradually enhanced with pressure, which results in an isostructural phase transition. HS-to-LS transition of iron bearing spinels starts from 15.6GPa in Fe3O4 and 19.6GPa in Fe2TiO4. The transition is more capable due to Fe2+ in the octahedral site. The extremely shortened octahedral bonds result in a distortion of 6-fold cation site. New structure of Fe2SiO4 Monte Carlo method was applied to find candidates for the high-pressure phase using the diffraction intensities with fixed lattice constants determined by DICVOL. Rietveld profile fitting was then performed using the initial model. The new structure is a body centered orthorhombic phase (I-Fe2SiO4) with space group Imma and Z=4, with two crystallographically distinct FeO6 octahedra. Silicon exists in six-fold coordination in I-Fe2SiO

  17. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    Science.gov (United States)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  18. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    International Nuclear Information System (INIS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-01-01

    Sponge-like Si nanostructures embedded in SiO 2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO 2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations

  19. Redukce ztrát ve vrstvách tvořených kombinací TiO2 a SiO2

    Czech Academy of Sciences Publication Activity Database

    Budasz, Jiří; Huťka, Jan; Václavík, Jan

    2017-01-01

    Roč. 62, 11-12 (2017), s. 299-302 ISSN 0447-6441 R&D Projects: GA MŠk(CZ) LO1206 Institutional support: RVO:61389021 Keywords : thin films * titanium dioxide * silicon dioxide * losses Subject RIV: JK - Corrosion ; Surface Treatment of Materials OBOR OECD: Coating and films

  20. Spatial control of direct chemical vapor deposition of graphene on silicon dioxide by directional copper dewetting

    NARCIS (Netherlands)

    van den Beld, Wesley Theodorus Eduardus; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    In this paper we present a method for the spatial control of direct graphene synthesis onto silicon dioxide by controlled dewetting. The dewetting process is controlled through a combination of using a grooved substrate and conducting copper deposition at an angle. The substrate is then treated

  1. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  2. Orientation of quartz nanocrystallites in the silicon lattice

    International Nuclear Information System (INIS)

    Kalanov, M.U.; Ibragimova, E.M.; Khamraeva, R.N.; Rustamova, V.M.; Ummatov, Kh.D.

    2006-01-01

    . The analysis has shown that the most intensive selective peak with d/n≅ 0.1358 nm at 2Θ≅ 47.5 deg belongs to reflection from (220) planes of silicon lattice, while a line at ≅ 42.5 deg is its β component. The rest selective reflections come from the crystal lattice of silicon dioxide particles, among them (202) peak with d/n ≅ 0.1669 nm at 2Θ≅ 54.8 deg dominates, (211) peak with d/n≅ 0.1538 nm at 60 deg is medium, and (100) with d/n≅ 0.4254 nm at ≅ 20.8 deg is weak. It is indicative that the normal [110] of the (110) plane of the silicon lattice almost coisides with that [202] of (202) plane of the quartz crystal particle with the characteristic size of ∼ 14.5 nm, therefore the peak (202) is high intensive. Normals [211] and [100] to planes (211) and (100) of quartz particles make the angles of 30 and 45 deg with the normal [110] of the corresponding plane of silicon lattice. Diffuse reflection with d/n≅ 0.271 nm at 2Θ≅ 33 deg is due to SiO x precipitates at the Si-SiO 2 boundary. Thus, it was shown from the analysis of selective reflections of silicon crystals cut along (111) and (110) that SiO 2 quartz crystal nano-particles are oriented along [110] direction of the silicon matrix. The work is supported by the grant F2.1.2 from the Center of Science and Technology of Uzbekistan. (author)

  3. Liquid carbon dioxide absorbents, methods of using the same, and related system

    Science.gov (United States)

    Perry, Robert James; Soloveichik, Grigorii Lev; Rubinsztajn, Malgorzata Iwona; O'Brien, Michael Joseph; Lewis, Larry Neil; Lam, Tunchiao Hubert; Kniajanski, Sergei; Hancu, Dan

    2018-05-01

    A carbon dioxide absorbent composition is described, including (i) a liquid, nonaqueous silicon-based material, functionalized with one or more groups that either reversibly react with CO2 or have a high-affinity for CO2, and (ii) a hydroxy-containing solvent that is capable of dissolving both the silicon-based material and a reaction product of the silicon-based material and CO2. The absorbent may be utilized in methods to reduce carbon dioxide in an exhaust gas, and finds particular utility in power plants.

  4. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    Science.gov (United States)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  5. Laser-controlled stress of Si nanocrystals in a free-standing Si /SiO2 superlattice

    Science.gov (United States)

    Khriachtchev, Leonid; Räsänen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar+ laser radiation melts Si nanocrystals in a free-standing Si /SiO2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means.

  6. Photoluminescent characteristics of ion beam synthesized Ge nanoparticles in thermally grown SiO2 films

    International Nuclear Information System (INIS)

    Yu, C.F.; Chao, D.S.; Chen, Y.-F.; Liang, J.H.

    2013-01-01

    Prospects of developing into numerous silicon-based optoelectronic applications have prompted many studies on the optical properties of Ge nanoparticles within a silicon oxide (SiO 2 ) matrix. Even with such abundant studies, the fundamental mechanism underlying the Ge nanoparticle-induced photoluminescence (PL) is still an open question. In order to elucidate the mechanism, we dedicate this study to investigating the correlation between the PL properties and microstructure of the Ge nanoparticles synthesized in thermally grown SiO 2 films. Our spectral data show that the peak position, at ∼3.1 eV or 400 nm, of the PL band arising from the Ge nanoparticles was essentially unchanged under different Ge implantation fluences and the temperatures of the following annealing process, whereas the sample preparation parameters modified or even fluctuated (in the case of the annealing temperature) the peak intensity considerably. Given the microscopically observed correlation between the nanoparticle structure and the sample preparation parameters, this phenomenon is consistent with the mechanism in which the oxygen-deficiency-related defects in the Ge/SiO 2 interface act as the major luminescence centers; this mechanism also successfully explains the peak intensity fluctuation with the annealing temperature. Moreover, our FTIR data indicate the formation of GeO x upon ion implantation. Since decreasing of the oxygen-related defects by the GeO x formation is expected to be correlated with the annealing temperature, presence of the GeO x renders further experimental support to the oxygen defect mechanism. This understanding may assist the designing of the manufacturing process to optimize the Ge nanoparticle-based PL materials for different technological applications

  7. Exploring the Phase Diagram SiO2-CO2 at High Pressures and Temperatures

    Science.gov (United States)

    Kavner, A.

    2015-12-01

    CO2 is an important volatile system relevant for planetary sciences and fundamental chemistry. Molecular CO2 has doubly bonded O=C=O units but high pressure-high temperature (HP-HT) studies have recently shown its transformation into a three-dimensional network of corner-linked [CO4] units analogous to the silica mineral polymorphs, through intermediate non-molecular phases. Here, we report P-V-T data on CO2-IV ice from time-of-flight neutron diffraction experiments, which allow determining the compressibility and thermal expansivity of this intermediate molecular-to-non-molecular phase.1 Aditionally, we have explored the SiO2-CO2 phase diagram and the potential formation of silicon carbonate compounds. New data obtained by laser-heating diamond-anvil experiments in CO2-filled microporous silica polymorphs will be shown. In particular, these HP-HT experiments explore the existence of potential CO2/SiO2 compounds with tetrahedrally-coordinated C/Si atoms by oxygens, which are predicted to be stable (or metastable) by state-of-the-art ab initio simulations.2,3 These theoretical predictions were supported by a recent study that reports the formation of a cristobalite-type Si0.4C0.6O2 solid solution at high-pressures and temperatures, which can be retained as a metastable solid down to ambient conditions.4 Entirely new families of structures could exist based on [CO4]4- units in various degrees of polymerisation, giving rise to a range of chain, sheet and framework solids like those found in silicate chemistry. References[1] S. Palaich et al., Am. Mineral. Submitted (2015) [2] A. Morales-Garcia et al., Theor. Chem. Acc. 132, 1308 (2013) [3] R. Zhou et al., Phys. Rev. X, 4, 011030 (2014) [4] M. Santoro et al. Nature Commun. 5, 3761 (2014)

  8. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    Science.gov (United States)

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  9. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  10. Liquid carbon dioxide absorbents, methods of using the same, and related systems

    Energy Technology Data Exchange (ETDEWEB)

    O' Brien, Michael Joseph; Perry, Robert James; Lam, Tunchiao Hubert; Soloveichik, Grigorii Lev; Kniajanski, Sergei; Lewis, Larry Neil; Rubinsztajn, Malgorzata Iwona; Hancu, Dan

    2016-09-13

    A carbon dioxide absorbent composition is described, including (i) a liquid, nonaqueous silicon-based material, functionalized with one or more groups that either reversibly react with CO.sub.2 or have a high-affinity for CO.sub.2; and (ii) a hydroxy-containing solvent that is capable of dissolving both the silicon-based material and a reaction product of the silicon-based material and CO.sub.2. The absorbent may be utilized in methods to reduce carbon dioxide in an exhaust gas, and finds particular utility in power plants.

  11. Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm3+ and SiO2:Ho3+, Tm3+ systems

    CSIR Research Space (South Africa)

    Dhlamini, MS

    2012-05-01

    Full Text Available .physb.2011.09.091 Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm 3+ and SiO2:Ho 3+, Tm3+ systems M.S. Dhlamini, G.H. Mhlongo, H.C. Swart, O.M. Ntwaeaborwa, K.T. Hillie ABSTRACT: Cathodoluminescence (CL) properties of Si...O2 powders activated with thulium (Tm3+) and holmium (Ho3+) ions prepared by a sol–gel process were investigated. Different molar concentrations of Tm3+ co-doped with Ho3+ were studied. The 460 nm peak was monitored and the influence of the beam...

  12. Stress in ion-beam assisted silicon dioxide and tantalum pentoxide thin films

    International Nuclear Information System (INIS)

    Sirotkina, Natalia

    2003-01-01

    Ta 2 O 5 and SiO 2 thin films, deposited at room temperature by ion-beam sputtering (IBS) and dual ion-beam sputtering (DIBS), and SiO 2 films, deposited by reactive e-beam evaporation and ion-assisted deposition, were studied. The energy (150-600 eV) and ion-to-atom arrival ratio (0.27-2.0) of assisting argon and oxygen ions were varied. Influence of deposition conditions (deposition system geometry, nature and amount of gas in the chamber, substrate cleaning and ion-assistance parameters) on films properties (stress, composition, refractive index n 500nm and extinction coefficient k 500nm ) was investigated. A scanning method, based on substrate curvature measurements by laser reflection and stress calculation using the Stoney equation, was employed. RBS showed that stoichiometric Ta 2 O 5 films contain impurities of Ar, Fe and Mo. Stoichiometric SiO 2 films also contain Ta impurity. Argon content increases with ion bombardment and, at maximum incorporation, argon bubbles are registered by TEM. XPS studies are complicated by surface contaminations and preferential sputtering. Evaporated SiO 2 films show +100 MPa stress (+ is tensile, - compressive). With 300 eV Ar + bombardment, stress changes to -200 MPa, n 500nm decreases (1.56-1.49) and k 500nm increases (1.4x10 -4 - 1.8x10 -3 ). Of all studied IBS conditions, stress in SiO 2 (-560 MPa) and Ta 2 O 5 (-350 MPa) films depends only on sputtering gas species and oxygen entry point into the chamber. With argon and oxygen bombardment stress in IBS SiO 2 films decreases to -380 MPa and below the stress measurement system resolution, respectively. While Ar + bombardment of Ta 2 O 5 films leads to increase in stress to -490 MPa, the effect of oxygen assistance depends on ion energy. The observed behaviour was related to the total recoil density. In DIBS SiO 2 and Ta 2 O 5 films n 500nm varies in the region of 1.5-1.59 and 2.13-2.20 and k 500nm is below 5.5x10 -3 and 8.5x10 -3 , respectively. The refractive index

  13. Food applications and the toxicological and nutritional implications of amorphous silicon dioxide.

    Science.gov (United States)

    Villota, R; Hawkes, J G

    1986-01-01

    The chemical and physical characteristics of the different types of amorphous silicon dioxide contribute to the versatility of these compounds in a variety of commercial applications. Traditionally, silicas have had a broad spectra of product usage including such areas as viscosity control agents in inks, paints, corrosion-resistant coatings, etc. and as excipients in pharmaceuticals and cosmetics. In the food industry, the most important application has been as an anticaking agent in powdered mixes, seasonings, and coffee whiteners. However, amorphous silica has multifunctional properties that would allow it to act as a viscosity control agent, emulsion stabilizer, suspension and dispersion agent, desiccant, etc. The utilization of silicas in these potential applications, however, has not been undertaken, partially because of the limited knowledge of their physiochemical interactions with other food components and partially due to their controversial status from a toxicological point of view. The main goal of this review is to compile current information on the incorporation of amorphous silicon dioxide as a highly functional and viable additive in the food processing industry as well as to discuss the most recent toxicological investigations of silica in an attempt to present some of the potential food applications and their concomitant toxicological implications. Some of the more significant differences between various silicas and their surface chemistries are presented to elucidate some of their mechanisms of interaction with food components and other biological systems and to aid in the prediction of their rheological or toxicological behavior.

  14. [Effects of silicon supply on rice growth and methane emission from paddy soil under elevated UV-B radiation].

    Science.gov (United States)

    Meng, Yan; Lou, Yun-sheng; Wu, Lei; Cui, He-yang; Wang, Wei-qing

    2015-01-01

    A pot experiment was conducted to investigate the effects of silicon supply on rice growth and methane (CH4) emission in paddy field under elevated UV-B radiation. The experiment was designed with two UV-B radiation levels, i.e. ambient UV-B (ambient, A) and elevated UV-B radiation (elevated by 20%, E) ; with four silicon supply levels, i.e., Si0 (control, without silicon), Si2 (as sodium silicate, 100 kg SiO2 . hm-2), Si2 (as sodium silicate, 200 kg SiO2 hm-2) and Si3 (as slag fertilizer, 200 kg SiO2 . hm-2). The results indicated that, silicon supply obviously alleviated the depressive effect of elevated UV-B radiation on rice growth, and increased the tiller numbers, chlorophyll content, and shoot and root dry masses. Silicon supply promoted rice growth, which increased with the silicon supply level (sodium silicate). Slag fertilizer was better than*sodium silicate in promoting rice growth. CH4 flux and accumulated CH4emission were obviously increased by elevated UV-B radiation, but significantly decreased by silicon application. CH4 emission was reduced with increasing the silicon supply level. Under the same silicon supply level, slag fertilizer was better than sodium silicate in inhibiting CH4 flux and accumulated CH4 emission. This research suggested that fertilizing slag in rice production was helpful not only in utilizing industrial wastes, but also in significantly mitigating CH4 emissions in rice paddy under elevated UV-B radiation.

  15. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  16. Infrared spectroscopic ellipsometry of micrometer-sized SiO2 line gratings

    Science.gov (United States)

    Walder, Cordula; Zellmeier, Matthias; Rappich, Jörg; Ketelsen, Helge; Hinrichs, Karsten

    2017-09-01

    For the design and process control of periodic nano-structured surfaces spectroscopic ellipsometry is already established in the UV-VIS spectral regime. The objective of this work is to show the feasibility of spectroscopic ellipsometry in the infrared, exemplarily, on micrometer-sized SiO2 line gratings grown on silicon wafers. The grating period ranges from 10 to about 34 μm. The IR-ellipsometric spectra of the gratings exhibit complex changes with structure variations. Especially in the spectral range of the oxide stretching modes, the presence of a Rayleigh singularity can lead to pronounced changes of the spectrum with the sample geometry. The IR-ellipsometric spectra of the gratings are well reproducible by calculations with the RCWA method (Rigorous Coupled Wave Analysis). Therefore, infrared spectroscopic ellipsometry allows the quantitative characterization and process control of micrometer-sized structures.

  17. Assessment of Metaborate Fusion for the Rapid Dissolution of Solid Samples: Suitability with the Northstar ARSIIe

    Science.gov (United States)

    2016-07-01

    5 Table 3. Residual Silicates avec Flocculation in Glass Beaker or Polyethylene Cone ................ 8 Table 4...is formed. Since the concentration of silicates in soil or sediment is high (up to 70-75% in silicon dioxide ( SiO2 ) in some cases), the condensation...flux, for the fusion of acidic samples such as solids containing a significant portion of SiO2 . Conversely, lithium tetraborate, an acidic flux, will

  18. More accurate definition of liquation dome in Na2O-B2O3-SiO2 system

    International Nuclear Information System (INIS)

    Alekseeva, Z.D.; Mazurin, O.V.; Aver'yanov, V.I.; Galakhov, F.Ya.

    1977-01-01

    The liquation region in the system Na 2 O-B 2 O 3 -SiO 2 was investigated. The liquation temperatures were determined by the boundary of disappearance of opalescence in specimens with pre-induced opalescence. Extrapolation of the concentration dependences of the liquation temperature along sections with a constant SiO 2 content to a point indicates that with a SiO 2 content of 20-40% the liquation boundary in the system B 2 O 3 -SiO 2 hardly lies above 450 deg C

  19. Adsorption of cationic dye on a biohybrid SiO2-alginate

    International Nuclear Information System (INIS)

    Barrón Zambrano, J A; Ávila Ortega, A; Muñoz Rodríguez, D; Carrera Figueiras, C; López-Pérez, A J

    2013-01-01

    In this work, a biohybrid material based on SiO 2 -alginate was obtained and its properties of adsorption evaluated using methylene blue as a model dye. The experimental results showed that the biohybrid SiO 2 -alginate has a higher adsorption ability compared to their base compounds (SiO 2 and alginate). Methylene blue adsorption is pH dependent, resulting in a maximum adsorption at pH = 8. The sorption kinetics rate is similar to SiO 2 . Kinetic data were fitted to a model of pseudosecond order. The experimental isotherms fit well the Langmuir model.

  20. The role of silicon in physiology of the medicinal plant (Lonicera japonica L.) under salt stress

    Science.gov (United States)

    Gengmao, Zhao; Shihui, Li; Xing, Sun; Yizhou, Wang; Zipan, Chang

    2015-08-01

    Silicon(Si) is the only element which can enhance the resistance to multiple stresses. However, the role of silicon in medicinal plants under salt stress is not yet understood. This experiment was conducted to study the effects of silicon addition on the growth, osmotic adjustments, photosynthetic characteristics, chloroplast ultrastructure and Chlorogenic acid (CGA) production of Honeysuckle plant (Lonicera japonica L.) under salt-stressed conditions. Salinity exerted an adverse effect on the plant fresh weight and dry weight, whilst 0.5 g L-1 K2SiO3·nH2O addition obviously improved the plant growth. Although Na+ concentration in plant organs was drastically increased with increasing salinity, higher levels of K+/Na+ ratio was obtained after K2SiO3·nH2O addition. Salinity stress induced the destruction of the chloroplast envelope; however, K2SiO3·nH2O addition counteracted the adverse effect by salinity on the structure of the photosynthetic apparatus. K2SiO3·nH2O addition also enhanced the activities of superoxide dismutase and catalase. To sum up, exogenous Si plays a key role in enhancing its resistance to salt stresses in physiological base, thereby improving the growth and CGA production of Honeysuckle plant.

  1. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  2. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  3. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  4. Electron Microscopy Characterization of Vanadium Dioxide Thin Films and Nanoparticles

    Science.gov (United States)

    Rivera, Felipe

    Vanadium dioxide (VO_2) is a material of particular interest due to its exhibited metal to insulator phase transition at 68°C that is accompanied by an abrupt and significant change in its electronic and optical properties. Since this material can exhibit a reversible drop in resistivity of up to five orders of magnitude and a reversible drop in infrared optical transmission of up to 80%, this material holds promise in several technological applications. Solid phase crystallization of VO_2 thin films was obtained by a post-deposition annealing process of a VO_{x,x approx 2} amorphous film sputtered on an amorphous silicon dioxide (SiO_2) layer. Scanning electron microscopy (SEM) and electron-backscattered diffraction (EBSD) were utilized to study the morphology of the solid phase crystallization that resulted from this post-deposition annealing process. The annealing parameters ranged in temperature from 300°C up to 1000°C and in time from 5 minutes up to 12 hours. Depending on the annealing parameters, EBSD showed that this process yielded polycrystalline vanadium dioxide thin films, semi-continuous thin films, and films of isolated single-crystal particles. In addition to these films on SiO_2, other VO_2 thin films were deposited onto a-, c-, and r-cuts of sapphire and on TiO_2(001) heated single-crystal substrates by pulsed-laser deposition (PLD). The temperature of the substrates was kept at ˜500°C during deposition. EBSD maps and orientation imaging microscopy were used to study the epitaxy and orientation of the VO_2 grains deposited on the single crystal substrates, as well as on the amorphous SiO_2 layer. The EBSD/OIM results showed that: 1) For all the sapphire substrates analyzed, there is a predominant family of crystallographic relationships wherein the rutile VO_2{001} planes tend to lie parallel to the sapphire's {10-10} and the rutile VO_2{100} planes lie parallel to the sapphire's {1-210} and {0001}. Furthermore, while this family of

  5. UV-VUV laser induced phenomena in SiO2 glass

    International Nuclear Information System (INIS)

    Kajihara, Koichi; Ikuta, Yoshiaki; Oto, Masanori; Hirano, Masahiro; Skuja, Linards; Hosono, Hideo

    2004-01-01

    Creation and annihilation of point defects were studied for SiO 2 glass exposed to ultraviolet (UV) and vacuum UV (VUV) lights to improve transparency and radiation toughness of SiO 2 glass to UV-VUV laser light. Topologically disordered structure of SiO 2 glass featured by the distribution of Si-O-Si angle is a critical factor degrading transmittance near the fundamental absorption edge. Doping with terminal functional groups enhances the structural relaxation and reduces the number of strained Si-O-Si bonds by breaking up the glass network without creating the color centers. Transmittance and laser toughness of SiO 2 glass for F 2 laser is greatly improved in fluorine-doped SiO 2 glass, often referred as 'modified silica glass'. Interstitial hydrogenous species are mobile and reactive at ambient temperature, and play an important role in photochemical reactions induced by exposure to UV-VUV laser light. They terminate the dangling-bond type color centers, while enhancing the formation of the oxygen vacancies. These findings are utilized to develop a deep-UV optical fiber transmitting ArF laser photons with low radiation damage

  6. Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.

    Science.gov (United States)

    Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali

    2013-07-29

    We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).

  7. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  8. Liquidus Temperature of SrO-Al2O3-SiO2 Glass-Forming Compositions

    DEFF Research Database (Denmark)

    Abel, Brett M.; Morgan, James M.; Mauro, John C.

    2013-01-01

    . In the composition range of interest for industrial glasses, Tliq tends to decrease with increasing strontium-to-alumina ratio. We find that cristobalite, mullite, and slawsonite are the dominant devitrification phases for the compositions with high SiO2, SiO2+Al2O3, and SrO contents, respectively. By comparison...... with the phase diagrams for CaO-Al2O3-SiO2 and MgO-Al2O3-SiO2 systems, we have found that for the highest [RO]/[Al2O3] ratios, Tliq exhibits a minimum value for R = Ca. Based on the phase diagram established here, the composition of glass materials, for example, for liquid crystal display substrates, belonging...... to the SrO-Al2O3-SiO2 family may be designed with a more exact control of the glass-forming ability by avoiding the regions of high liquidus temperature....

  9. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  10. Fabrication and properties of highly luminescent materials from Tb(OH)3-SiO2 and Tb(OH)3-SiO2:Eu3+ nanotubes

    International Nuclear Information System (INIS)

    Tran Thu Huong; Tran Kim Anh; Le Quoc Minh

    2009-01-01

    Luminescent nanomaterials with one-dimensional (1D) structures have attracted much attention due to their unique properties and potential applications in nanophotonics and nanobiophotonics. In this paper, we report a synthesis of terbium - hydroxide - at - silica Tb(OH) 3 -SiO 2 and Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes. Terbium - hydroxide tubes were synthesized by soft template method. The size of the tubes can be controlled precisely and have outer diameters ranging from 80 to 120 nm, wall thickness of about 30 nm, and lengths ranging from 300 to 800 nm. To fabricate core/shell materials, the seed growth method is used. FESEM, X-ray diffraction, Raman spectra of Tb(OH) 3 and Tb(OH) 3 -SiO 2 nanotubes were investigated. The photoluminescence (PL) spectrum of Tb(OH) 3 under 325 nm excitation consists of four main peaks at 488, 542, 582, and 618 nm. Furthermore, a preliminary suggestion for the mechanism of growth of the Tb(OH) 3 nanotubes using the soft - template synthesis technique has been proposed. The PL intensity from Tb(OH) 3 -SiO 2 or Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes is much stronger than that of Tb(OH) 3 .

  11. Long lasting yellow phosphorescence and photostimulated luminescence in Sr3SiO5 : Eu2+ and Sr3SiO5 : Eu2+, Dy3+ phosphors

    International Nuclear Information System (INIS)

    Sun Xiaoyuan; Zhang Jiahua; Zhang Xia; Luo Yongshi; Wang Xiaojun

    2008-01-01

    We report the observation of long lasting yellow phosphorescence and photostimulated luminescence (PSL) in Sr 3 SiO 5 : Eu 2+ and Sr 3 SiO 5 : Eu 2+ , Dy 3+ phosphors. The decay patterns of phosphorescence and thermoluminescence curves demonstrate that introduction of Dy 3+ into Sr 3 SiO 5 : Eu 2+ can generate a large number of shallow traps and deep traps. The generated deep traps prolong the phosphorescence up to 6 h after UV irradiation. The PSL is studied under 808 nm excitation. Slow rising and falling edges of the emission in Sr 3 SiO 5 : Eu 2+ , Dy 3+ are observed, showing a retrapping process by the generated shallow traps due to co-doping Dy 3+ .

  12. Behind the Nature of Titanium Oxide Excellent Surface Passivation and Carrier Selectivity of c-Si

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Crovetto, Andrea; Hansen, Ole

    We present an expanded study of the passivation properties of titanium dioxide (TiO2) on p-type crystalline silicon (c-Si). We report a low surface recombination velocity (16 cm/s) for TiO2 passivation layers with a thin tunnelling oxide interlayer (SiO2 or Al2O3) on p-type crystalline silicon (c-Si......), and post-deposition annealing temperature were investigated. We have observed that that SiO2 and Al2O3 interlayers enhance the TiO2 passivation of c-Si. TiO2 thin film passivation layers alone result in lower effective carrier lifetime. Further annealing at 200  ̊C in N2 gas enhances the surface...

  13. Enhanced Photocatalytic Activity of ZrO2-SiO2 Nanoparticles by Platinum Doping

    Directory of Open Access Journals (Sweden)

    Mohammad W. Kadi

    2013-01-01

    Full Text Available ZrO2-SiO2 mixed oxides were prepared via the sol-gel method. Photo-assisted deposition was utilized for doping the prepared mixed oxide with 0.1, 0.2, 0.3, and 0.4 wt% of Pt. XRD spectra showed that doping did not result in the incorporation of Pt within the crystal structure of the material. UV-reflectance spectrometry showed that the band gap of ZrO2-SiO2 decreased from 3.04 eV to 2.48 eV with 0.4 wt% Pt doping. The results show a specific surface area increase of 20%. Enhanced photocatalysis of Pt/ZrO2-SiO2 was successfully tested on photo degradation of cyanide under illumination of visible light. 100% conversion was achieved within 20 min with 0.3 wt% of Pt doped ZrO2-SiO2.

  14. Formation and electrical characteristics of silicon dioxide layers by use of nitric acid oxidation method

    International Nuclear Information System (INIS)

    Imal, S.; Takahashi, M.; Matsuba, K.; Asuha; Ishikawa, Y.; Kobayashi, Hikaru

    2005-01-01

    SiO 2 /Si structure can be formed at low temperatures by use of nitric acid (HNO 3 ) oxidation of Si (NAOS) method. When Si wafers are immersed in ∼ 40 wt% HNO 3 solutions at 108 deg C, ∼ 1 nm SiO 2 layers are formed. The subsequent immersion in 68 wt% HNO 3 (i.e., azeotropic mixture of HNO 3 with water) at 121 deg C increases the SiO 2 thickness. The 3,5 nm-thick SiO 2 layers produced by this two-step NAOS method possess a considerably low leakage current density (e.g. 1 x 10 2 A/cmi 2 at the forward gate bias, V G , of 1.5 V), in spite of the low temperature oxidation, and further decreased (e.g., 8 x 10 4 A/cm 2 at V G = 1.5 V) by post-metallization annealing at 250 deg C in hydrogen atmosphere. In order to increase the SiO 2 thickness, a bias voltage is applied during the NAOS method. When 10 V is applied to Si with respect to a Pt counter electrode both immersed in 1 M HNO 3 solutions at 25 deg C, SiO 2 layers with 8 nm thickness can be formed for 1 h(Authors)

  15. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  16. Studies of the dependence on oxidation thermal processes of effects on the electrical properties of silicon detectors by fast neutron radiation

    International Nuclear Information System (INIS)

    Li, Zheng; Kraner, H.W.

    1991-11-01

    High resistivity silicon detectors along with MOS capacitors made on five silicon dioxides with different thermal conditions (975 degree C to 1200 degree C) have been exposed to fast neutron irradiation up to the fluence of a few times 10 14 n/cm 2 . New measurement techniques such as capacitance-voltage (C-V) of MOS capacitors and current-voltage (I-V) of back to back diodes (p + -n - - p + if n - is not inverted to p) or resistors (p + -p-p + if inverted) have been introduced in this study in monitoring the possible type-inversion (n→p) under high neutron fluence. No type-inversion in the material underneath SiO 2 and the p + contact has been observed so far in this work for detectors made on the five oxides up to the neutron fluence of a few times 10 13 n/cm 2 . However, it has been found that detectors made on higher temperature oxides (T≤ 1100 degree C) exhibit less leakage current increase at high neutron fluence (φ ≤ 10 13 n/cm 2 )

  17. Facile preparation of polyethylenimine-tannins coated SiO2 hybrid materials for Cu2+ removal

    Science.gov (United States)

    Huang, Qiang; Liu, Meiying; Zhao, Jiao; Chen, Junyu; Zeng, Guangjian; Huang, Hongye; Tian, Jianwen; Wen, Yuanqing; Zhang, Xiaoyong; Wei, Yen

    2018-01-01

    Polyethylenimine-tannins coated SiO2 (SiO2@PEI-TA) hybrid materials have been prepared via a single-step multifunctional coating with polyethylenimine (PEI) and tannins (TA), and characterized by transmission electron microscope (TEM), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and X-ray photoelectron spectroscopy (XPS). The as-prepared SiO2@PEI-TA composites were examined as adsorbents to remove the Cu2+ from aqueous solution. The effects of contact time, initial Cu2+ concentration, solution pH and temperature, on Cu2+ adsorption have been investigated. The results show that the adsorption of Cu2+ onto SiO2@PEI-TA is dependent on the contact time, Cu2+ concentration, pH and temperature. The SiO2@PEI-TA composites show a 2.4-fold increase in adsorption capacity, implying that the introduction of PEI-TA coating is in favor of the Cu2+ adsorption. Based on the analysis of kinetic data, the kinetics of Cu2+ adsorption is more accurately described by the pseudo-second-order model. The equilibrium data are analyzed by Langmuir and Freundlich isotherms. Results of isotherms show that the better agreement is Freundlich isotherm model with correlation coefficient of 0.9914, which suggests that the adsorption of Cu2+ onto SiO2@PEI-TA is mainly a heterogeneous adsorption process. Thermodynamic analyses show that the adsorption interaction is actually a spontaneous and endothermic chemical process, which might involve the chemical chelation between Cu2+ and functional groups (amine and carboxyl groups) on the surface of SiO2@PEI-TA. In addition, the Cu2+ ions could desorb from SiO2@PEI-TA by using acid solution and the adsorption efficiency remains at high level after five adsorption-desorption recycles. These results provide potential applications of these novel adsorbents for the removal of heavy metal Cu2+ from aqueous solution and also provide strong evidence to support the adsorption mechanism proposed in the study.

  18. EFFECT OF SILICON ON BEHAVIORAL ASPECTS AND LIFE HISTORY OF TUTA ABSOLUTA (MEYRICK (LEPIDOPTERA: GELECHIIDAE

    Directory of Open Access Journals (Sweden)

    Marília Cristina dos Santos

    2012-07-01

    Full Text Available The technology based on the use of silicon reduces pesticide use, keeping fruit quality and protecting the environment. This study evaluated the effects of different sources and levels of silicon applied on tomato plants, on biological and behavior characteristics of the tomato pinworm Tuta absoluta. The experimental outline was a randomized block with twenty one treatments, including control, in five replicates[(Agrosilício® soil (t ha-1 of SiO2 – 0.45, 0.90, 1.35 and 1.80; (Agrosilício® leaves (t ha-1 of SiO22.0, 4.0, 6.0 and 8.0; (Sili-K® (l ha-1 of product – 0.5, 1.0, 2.0 and 3.0; (Silicic Acid Leaves (% of SiO2 – 0.25, 0.50, 0.75 and 1.00; (Silicic acid soil (% of SiO2 – 0.25, 0.50, 0.75 and 1.00 and control]. The biological characteristics evaluated, were: duration of larval and pupal stages, survival of larvae and pupae and pupal weight of males and females individuals. The non-preference for oviposition of T. absoluta in tomato plants treated with silicon was evaluated through tests with choice and without choice trials. There were no differences observed in the length of larval and pupal stages, survival of larvae and pupae, pupal weight of males and females of T.absoluta and oviposition in individuals from the treatments with silicon applied to the soil and those in the control group. However, insects collected from treatments based on leaf application silicon showed an increase in the duration the larval and pupal stages, decreased survival of larvae and pupae, decreased pupae weight of males and females and a decrease in oviposition preference.

  19. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  20. Sol-gel synthesis of magnesium oxide-silicon dioxide glass compositions

    Science.gov (United States)

    Bansal, Narottam P.

    1988-01-01

    MgO-SiO2 glasses containing up to 15 mol pct MgO, which could not have been prepared by the conventional glass melting method due to the presence of stable liquid-liquid immiscibility, were synthesized by the sol-gel technique. Clear and transparent gels were obtained from the hydrolysis and polycondensation of silicon tetraethoxide (TEOS) and magnesium nitrate hexahydrate when the water/TEOS mole ratio was four or more. The gelling time decreased with increase in magnesium content, water/TEOS ratio, and reaction temperature. Magnesium nitrate hexahydrate crystallized out of the gels containing 15 and 20 mol pct MgO on slow drying. This problem was partially alleviated by drying the gels quickly at higher temperatures. Monolithic gel samples were prepared using glycerol as the drying control additive. The gels were subjected to various thermal treatments and characterized by several methods. No organic groups could be detected in the glasses after heat treatments to approx. 800 C, but trace amounts of hydroxyl groups were still present. No crystalline phase was found from X-ray diffraction in the gel samples to approx. 890 C. At higher temperatures, alpha quartz precipitated out as the crystalline phase in gels containing up to 10 mol pct MgO. The overall activation energy for gel formation in 10MgO-90SiO2 (mol pct) system for water/TEOS mole ratio of 7.5 was calculated to be 58.7 kJ/mol.

  1. CoFe2O4-SiO2 Composites: Preparation and Magnetodielectric Properties

    Directory of Open Access Journals (Sweden)

    T. Ramesh

    2016-01-01

    Full Text Available Cobalt ferrite (CoFe2O4 and silica (SiO2 nanopowders have been prepared by the microwave hydrothermal (M-H method using metal nitrates as precursors of CoFe2O4 and tetraethyl orthosilicate as a precursor of SiO2. The synthesized powders were characterized by XRD and FESEM. The (100-x (CoFe2O4 + xSiO2 (where x = 0%, 10%, 20%, and 30% composites with different weight percentages have been prepared using ball mill method. The composite samples were sintered at 800°C/60 min using the microwave sintering method and then their structural and morphological studies were investigated using X-ray diffraction (XRD, Fourier transformation infrared (FTIR spectra, and scanning electron microscopy (SEM, respectively. The effect of SiO2 content on the magnetic and electrical properties of CoFe2O4/SiO2 nanocomposites has been studied via the magnetic hysteresis loops, complex permeability, permittivity spectra, and DC resistivity measurements. The synthesized nanocomposites with adjustable grain sizes and controllable magnetic properties make the applicability of cobalt ferrite even more versatile.

  2. Fast and direct measurements of the electrical properties of graphene using micro four-point probes

    DEFF Research Database (Denmark)

    Klarskov, Mikkel Buster; Dam, Henrik Friis; Petersen, Dirch Hjorth

    2011-01-01

    . Measurements are performed in ambient, vacuum and controlled environmental conditions using an environmental scanning electron microscope (SEM). The results are comparable to previous results for microcleaved graphene on silicon dioxide (SiO2). We observe a pronounced hysteresis of the charge neutrality point...

  3. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  4. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  5. Synthetic osteogenic extracellular matrix formed by coated silicon dioxide nanosprings

    Directory of Open Access Journals (Sweden)

    Hass Jamie L

    2012-01-01

    Full Text Available Abstract Background The design of biomimetic materials that parallel the morphology and biology of extracellular matrixes is key to the ability to grow functional tissues in vitro and to enhance the integration of biomaterial implants into existing tissues in vivo. Special attention has been put into mimicking the nanostructures of the extracellular matrix of bone, as there is a need to find biomaterials that can enhance the bonding between orthopedic devices and this tissue. Methods We have tested the ability of normal human osteoblasts to propagate and differentiate on silicon dioxide nanosprings, which can be easily grown on practically any surface. In addition, we tested different metals and metal alloys as coats for the nanosprings in tissue culture experiments with bone cells. Results Normal human osteoblasts grown on coated nanosprings exhibited an enhanced rate of propagation, differentiation into bone forming cells and mineralization. While osteoblasts did not attach effectively to bare nanowires grown on glass, these cells propagated successfully on nanosprings coated with titanium oxide and gold. We observed a 270 fold increase in the division rate of osteoblasts when grow on titanium/gold coated nanosprings. This effect was shown to be dependent on the nanosprings, as the coating by themselves did not alter the growth rate of osteoblast. We also observed that titanium/zinc/gold coated nanosprings increased the levels of osteoblast production of alkaline phosphatase seven folds. This result indicates that osteoblasts grown on this metal alloy coated nanosprings are differentiating to mature bone making cells. Consistent with this hypothesis, we showed that osteoblasts grown on the same metal alloy coated nanosprings have an enhanced ability to deposit calcium salt. Conclusion We have established that metal/metal alloy coated silicon dioxide nanosprings can be used as a biomimetic material paralleling the morphology and biology of

  6. Narrow-linewidth lasers on a silicon chip

    NARCIS (Netherlands)

    Bernhardi, Edward; Pollnau, Markus; Di Bartolo, Baldassare; Collins, John; Silvestri, Luciano

    2015-01-01

    Diode-pumped distributed-feedback (DFB) channel waveguide lasers were demonstrated in Er3+-doped and Yb3+-doped Al2O3 on standard thermally ox-idized silicon substrates. Uniform surface-relief Bragg gratings were patterned by laser-interference lithography and etched into the SiO2 top cladding. The

  7. Synthesis of Hollow Nanotubes of Zn2SiO4 or SiO2: Mechanistic Understanding and Uranium Adsorption Behavior.

    Science.gov (United States)

    Tripathi, Shalini; Bose, Roopa; Roy, Ahin; Nair, Sajitha; Ravishankar, N

    2015-12-09

    We report a facile synthesis of Zn2SiO4 nanotubes using a two-step process consisting of a wet-chemical synthesis of core-shell ZnO@SiO2 nanorods followed by thermal annealing. While annealing in air leads to the formation of hollow Zn2SiO4, annealing under reducing atmosphere leads to the formation of SiO2 nanotubes. We rationalize the formation of the silicate phase at temperatures much lower than the temperatures reported in the literature based on the porous nature of the silica shell on the ZnO nanorods. We present results from in situ transmission electron microscopy experiments to clearly show void nucleation at the interface between ZnO and the silica shell and the growth of the silicate phase by the Kirkendall effect. The porous nature of the silica shell is also responsible for the etching of the ZnO leading to the formation of silica nanotubes under reducing conditions. Both the hollow silica and silicate nanotubes exhibit good uranium sorption at different ranges of pH making them possible candidates for nuclear waste management.

  8. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  9. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    Science.gov (United States)

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  10. SIMULTANEOUS OBSERVATIONS OF SiO AND H2O MASERS TOWARD SYMBIOTIC STARS

    International Nuclear Information System (INIS)

    Cho, Se-Hyung; Kim, Jaeheon

    2010-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, J = 1-0, 29 SiO v = 0, J = 1-0, and H 2 O 6 16 -5 23 maser lines performed with the KVN Yonsei 21 m radio telescope from 2009 November to 2010 January. We searched for these masers in 47 symbiotic stars and detected maser emission from 21 stars, giving the first time detection from 19 stars. Both SiO and H 2 O masers were detected from seven stars of which six were D-type symbiotic stars and one was an S-type star, WRAY 15-1470. In the SiO maser emission, the 28 SiO v = 1 maser was detected from 10 stars, while the v = 2 maser was detected from 15 stars. In particular, the 28 SiO v = 2 maser emission without the v = 1 maser detection was detected from nine stars with a detection rate of 60%, which is much higher than that of isolated Miras/red giants. The 29 SiO v = 0 maser emission was also detected from two stars, H 2-38 and BF Cyg, together with the 28 SiO v = 2 maser. We conclude that these different observational results between isolated Miras/red giants and symbiotic stars may be related with the presence of hot companions in a symbiotic binary system.

  11. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    Science.gov (United States)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  12. The influence of SiO2 Addition on 2MgO-Al2O3-3.3P2O5 Glass

    DEFF Research Database (Denmark)

    Larsen, P.H.; Poulsen, F.W.; Berg, Rolf W.

    1999-01-01

    2MgO-Al2O3-3.3P2O5 glasses with increasing amounts of SiO2 are considered for sealing applications in Solid Oxide Fuel Cells (SOFC). The change in chemical durability under SOFC anode conditions and the linear thermal expansion is measured as functions of the SiO2 concentration. Raman spectroscopy...... analysis of the glasses reveals no sign of important changes in the glass structure upon SiO2 addition. Some increase in glass durability with SiO2 concentration is reported and its cause is discussed....

  13. Diffusive charge transport in graphene on SiO 2

    Science.gov (United States)

    Chen, J.-H.; Jang, C.; Ishigami, M.; Xiao, S.; Cullen, W. G.; Williams, E. D.; Fuhrer, M. S.

    2009-07-01

    We review our recent work on the physical mechanisms limiting the mobility of graphene on SiO 2. We have used intentional addition of charged scattering impurities and systematic variation of the dielectric environment to differentiate the effects of charged impurities and short-range scatterers. The results show that charged impurities indeed lead to a conductivity linear in density ( σ(n)∝n) in graphene, with a scattering magnitude that agrees quantitatively with theoretical estimates; increased dielectric screening reduces the scattering from charged impurities, but increases the scattering from short-range scatterers. We evaluate the effects of the corrugations (ripples) of graphene on SiO 2 on transport by measuring the height-height correlation function. The results show that the corrugations cannot mimic long-range (charged impurity) scattering effects, and have too small an amplitude-to-wavelength ratio to significantly affect the observed mobility via short-range scattering. Temperature-dependent measurements show that longitudinal acoustic phonons in graphene produce a resistivity that is linear in temperature and independent of carrier density; at higher temperatures, polar optical phonons of the SiO 2 substrate give rise to an activated, carrier density-dependent resistivity. Together the results paint a complete picture of charge carrier transport in graphene on SiO 2 in the diffusive regime.

  14. Characterization of Al2O3 surface passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Albadri, Abdulrahman M.

    2014-01-01

    A study of the passivation of silicon surface by aluminum oxide (Al 2 O 3 ) is reported. A correlation of fixed oxide charge density (Q f ) and interface trap density (D it ) on passivation efficiency is presented. Low surface recombination velocity (SRV) was obtained even by as-deposited Al 2 O 3 films and this was found to be associated to the passivation of interface states. Fourier transfer infrared spectroscopy spectra show the existence of an interfacial silicon oxide thin layer in both as-deposited and annealed Al 2 O 3 films. Q f is found positive in as-deposited films and changing to negative upon subsequent annealing, providing thus an enhancement of the passivation in p-type silicon wafers, associated to field effects. Secondary ion mass spectrometry analysis confirms the correlation between D it and hydrogen concentration at the Al 2 O 3 /Si interface. A lowest SRV of 15 cm/s was obtained after an anneal at 400 °C in nitrogen atmosphere. - Highlights: • Al 2 O 3 provides superior passivation for silicon surfaces. • Atomic layer deposition-Al 2 O 3 was deposited at a low temperature of 200 °C. • A lowest surface passivation velocity of 15 cm/s was obtained after an anneal at 400 °C in nitrogen. • As-deposited Al 2 O 3 films form very thin SiO 2 layer responsible of low interface trap densities. • High negative fixed charge density of (− 2 × 10 12 cm −2 ) was achieved upon annealing at 400 °C

  15. Lateral spread of P+ ions implanted in silicon through the SiO2 mask window

    International Nuclear Information System (INIS)

    Sakurai, T.; Kawata, H.; Sato, T.; Hisatsugu, T.; Hashimoto, H.; Furuya, T.

    1979-01-01

    The lateral spread of implanted P + ions and the shape of the mask window have been observed simultaneously using the technique of staining the cleaved surface and scanning electron microscopy for the Si samples with the SiO 2 mask window with a tapered edge. The mask edge with a gradient of 45 0 or 78 0 to the Si surface and the implanted n-type region with a carrier concentration higher than 2 x 10 17 /cm 3 are observed in the same photograph. The observed maximum lateral spread when the gradient of the mask edge is 45 0 is about 1.6 times larger than that when the gradient is 78 0 . The calculated results of the lateral spread agree relatively well with the experimental data although the precise analysis based on the definite basis is necessary

  16. Room temperature NO2 gas sensing of Au-loaded tungsten oxide nanowires/porous silicon hybrid structure

    International Nuclear Information System (INIS)

    Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming

    2016-01-01

    In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)

  17. Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.

    Science.gov (United States)

    Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui

    2015-12-30

    This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  18. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  19. Luminescence properties of Ca2 Ga2 SiO7 :RE phosphors for UV white-light-emitting diodes.

    Science.gov (United States)

    Jiao, Mengmeng; Lv, Wenzhen; Lü, Wei; Zhao, Qi; Shao, Baiqi; You, Hongpeng

    2015-03-16

    A series of Eu(2+) -, Ce(3+) -, and Tb(3+) -doped Ca2 Ga2 SiO7 phosphors is synthesized by using a high-temperature solid-state reaction. The powder X-ray diffraction and structure refinement data indicate that our prepared phosphors are single phased and the phosphor crystalizes in a tetrahedral system with the ${P\\bar 42m}$ (113) space group. The Eu(2+) - and Ce(3+) -doped phosphors both have broad excitation bands, which match well with the UV light-emitting diodes chips. Under irradiation of λ=350 nm, Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) have green and blue emissions, respectively. Luminescence of Ca2 Ga2 SiO7 :Tb(3+) , Li(+) phosphor varies with the different Tb(3+) contents. The thermal stability and energy-migration mechanism of Ca2 Ga2 SiO7 :Eu(2+) are also studied. The investigation results indicate that the prepared Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) samples show potential as green and blue phosphors, respectively, for UV-excited white-light-emitting diodes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    Science.gov (United States)

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  1. Study on spectroscopic properties and effects of tungsten ions in 2Bi2O3-3GeO2/SiO2 glasses.

    Science.gov (United States)

    Yu, Pingsheng; Su, Liangbi; Cheng, Junhua; Zhang, Xia; Xu, Jun

    2017-04-01

    The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass samples have been prepared by the conventional melt quenching technique. XRD patterns, absorption spectra, excitation-emission spectra and Raman measurements were utilized to characterize the synthesized glasses. When substitute SiO 2 for GeO 2 , the 0.4Bi 2 O 3 -(0.4-0.1)GeO 2 -(0.2-0.5)SiO 2 glasses exhibit strong emission centered at about 475nm (under 300nm excitation), and the decay constants are within the scope of 20-40ns. W doping into 2Bi 2 O 3 -3SiO 2 glass could increase the emission intensity of 470nm, and the W-doped 2Bi 2 O 3 -3SiO 2 glass has shown another emission at about 433nm with much shorter decay time (near 10ns). The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass system could be the possible candidate for scintillator in high energy physics applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Ultrafast Carbon Dioxide Sorption Kinetics Using Lithium Silicate Nanowires.

    Science.gov (United States)

    Nambo, Apolo; He, Juan; Nguyen, Tu Quang; Atla, Veerendra; Druffel, Thad; Sunkara, Mahendra

    2017-06-14

    In this paper, the Li 4 SiO 4 nanowires (NWs) were shown to be promising for CO 2 capture with ultrafast kinetics. Specifically, the nanowire powders exhibited an uptake of 0.35 g g -1 of CO 2 at an ultrafast adsorption rate of 0.22 g g -1 min -1 at 650-700 °C. Lithium silicate (Li 4 SiO 4 ) nanowires and nanopowders were synthesized using a "solvo-plasma" technique involving plasma oxidation of silicon precursors mixed with lithium hydroxide. The kinetic parameter values (k) extracted from sorption kinetics obtained using NW powders are 1 order of magnitude higher than those previously reported for the Li 4 SiO 4 -CO 2 reaction system. The time scales for CO 2 sorption using nanowires are approximately 3 min and two orders magnitude faster compared to those obtained using lithium silicate powders with spherical morphologies and aggregates. Furthermore, Li 4 SiO 4 nanowire powders showed reversibility through sorption-desorption cycles indicating their suitability for CO 2 capture applications. All of the morphologies of Li 4 SiO 4 powders exhibited a double exponential behavior in the adsorption kinetics indicating two distinct time constants for kinetic and the mass transfer limited regimes.

  3. Toward intrinsic graphene surfaces: a systematic study on thermal annealing and wet-chemical treatment of SiO2-supported graphene devices.

    Science.gov (United States)

    Cheng, Zengguang; Zhou, Qiaoyu; Wang, Chenxuan; Li, Qiang; Wang, Chen; Fang, Ying

    2011-02-09

    By combining atomic force microscopy and trans-port measurements, we systematically investigated effects of thermal annealing on surface morphologies and electrical properties of single-layer graphene devices fabricated by electron beam lithography on silicon oxide (SiO(2)) substrates. Thermal treatment above 300 °C in vacuum was required to effectively remove resist residues on graphene surfaces. However, annealing at high temperature was found to concomitantly bring graphene in close contact with SiO(2) substrates and induce increased coupling between them, which leads to heavy hole doping and severe degradation of mobilities in graphene devices. To address this problem, a wet-chemical approach employing chloroform was developed in our study, which was shown to enable both intrinsic surfaces and enhanced electrical properties of graphene devices. Upon the recovery of intrinsic surfaces of graphene, the adsorption and assisted fibrillation of amyloid β-peptide (Aβ1-42) on graphene were electrically measured in real time.

  4. Titanium dioxide antireflection coating for silicon solar cells by spray deposition

    Science.gov (United States)

    Kern, W.; Tracy, E.

    1980-01-01

    A high-speed production process is described for depositing a single-layer, quarter-wavelength thick antireflection coating of titanium dioxide on metal-patterned single-crystal silicon solar cells for terrestrial applications. Controlled atomization spraying of an organotitanium solution was selected as the most cost-effective method of film deposition using commercial automated equipment. The optimal composition consists of titanium isopropoxide as the titanium source, n-butyl acetate as the diluent solvent, sec-butanol as the leveling agent, and 2-ethyl-1-hexanol to render the material uniformly depositable. Application of the process to the coating of circular, large-diameter solar cells with either screen-printed silver metallization or with vacuum-evaporated Ti/Pd/Ag metallization showed increases of over 40% in the electrical conversion efficiency. Optical characteristics, corrosion resistance, and several other important properties of the spray-deposited film are reported. Experimental evidence indicates a wide tolerance in the coating thickness upon the overall efficiency of the cell. Considerations pertaining to the optimization of AR coatings in general are discussed, and a comprehensive critical survey of the literature is presented.

  5. Imaging, structural, and chemical analysis of silicon nanowires

    International Nuclear Information System (INIS)

    Barsotti, R.J. Jr.; Fischer, J.E.; Lee, C.H.; Mahmood, J.; Adu, C.K.W.; Eklund, P.C.

    2002-01-01

    Laser ablation has been used to grow silicon nanowires with an average silicon crystal core diameter of 6.7 nm±2.9 nm surrounded by an amorphous SiO x sheath of 1-2 nm, the smallest silicon wires reported in the literature. Imaging, chemical, and structural analysis of these wires are reported. Due to the growth temperature and the presence of calcium impurities and trace oxygen, two distinct types of wires are found. They appear to grow by two different processes. One requires a metal catalyst, the other is catalyzed by oxygen. Suggestions for controlled synthesis based on these growth mechanisms are made

  6. Two-Dimensional SiO2/VO2 Photonic Crystals with Statically Visible and Dynamically Infrared Modulated for Smart Window Deployment.

    Science.gov (United States)

    Ke, Yujie; Balin, Igal; Wang, Ning; Lu, Qi; Tok, Alfred Iing Yoong; White, Timothy J; Magdassi, Shlomo; Abdulhalim, Ibrahim; Long, Yi

    2016-12-07

    Two-dimensional (2D) photonic structures, widely used for generating photonic band gaps (PBG) in a variety of materials, are for the first time integrated with the temperature-dependent phase change of vanadium dioxide (VO 2 ). VO 2 possesses thermochromic properties, whose potential remains unrealized due to an undesirable yellow-brown color. Here, a SiO 2 /VO 2 core/shell 2D photonic crystal is demonstrated to exhibit static visible light tunability and dynamic near-infrared (NIR) modulation. Three-dimensional (3D) finite difference time domain (FDTD) simulations predict that the transmittance can be tuned across the visible spectrum, while maintaining good solar regulation efficiency (ΔT sol = 11.0%) and high solar transmittance (T lum = 49.6%). Experiments show that the color changes of VO 2 films are accompanied by NIR modulation. This work presents a novel way to manipulate VO 2 photonic structures to modulate light transmission as a function of wavelength at different temperatures.

  7. Cd2SiO4/Graphene nanocomposite: Ultrasonic assisted synthesis, characterization and electrochemical hydrogen storage application.

    Science.gov (United States)

    Masjedi-Arani, Maryam; Salavati-Niasari, Masoud

    2018-05-01

    For the first time, a simple and rapid sonochemical technique for preparing of pure Cd 2 SiO 4 nanostructures has been developed in presence of various surfactants of SDS, CTAB and PVP. Uniform and fine Cd 2 SiO 4 nanoparticle was synthesized using of polymeric PVP surfactant and ultrasonic irradiation. The optimized cadmium silicate nanostructures added to graphene sheets and Cd 2 SiO 4 /Graphene nanocomposite synthesized through pre-graphenization. Hydrogen storage capacity performances of Cd 2 SiO 4 nanoparticle and Cd 2 SiO 4 /Graphene nanocomposite were compared. Obtained results represent that Cd 2 SiO 4 /Graphene nanocomposites have higher hydrogen storage capacity than Cd 2 SiO 4 nanoparticles. Cd 2 SiO 4 /Graphene nanocomposites and Cd 2 SiO 4 nanoparticles show hydrogen storage capacity of 3300 and 1300 mAh/g, respectively. Copyright © 2018 Elsevier B.V. All rights reserved.

  8. Preventing protein adsorption from a range of surfaces using an aqueous fish protein extract

    DEFF Research Database (Denmark)

    Pillai, Saju; Arpanaei, Ayyoob; Meyer, Rikke L.

    2009-01-01

    We utilize an aqueous extract of fish proteins (FPs) as a coating for minimizing the adsorption of fibrinogen (Fg) and human serum albumin (HSA). The surfaces include stainless steel (SS), gold (Au), silicon dioxide (SiO2), and poly(styrene) (PS). The adsorption processes (kinetics and adsorbed...

  9. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  10. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  11. Photocatalytic application of TiO2/SiO2-based magnetic nanocomposite (Fe3O4@SiO2/TiO2 for reusing of textile wastewater

    Directory of Open Access Journals (Sweden)

    Laleh Enayati Ahangar

    2016-01-01

    Full Text Available In this research we have developed a treatment method for textile wastewater by TiO2/SiO2-based magnetic nanocomposite. Textile wastewater includes a large variety of dyes and chemicals and needs treatments. This manuscript presents a facile method for removing dyes from the textile wastewater by using TiO2/SiO2-based nanocomposite (Fe3O4@SiO2/TiO2 under UV irradiation. This magnetic nanocomposite, as photocatalytically active composite, is synthesized via solution method in mild conditions. A large range of cationic, anionic and neutral dyes including: methyl orange, methylene blue, neutral red, bromocresol green and methyl red are used for treatment investigations. Neutral red and bromocresol green have good results in reusing treatment. The high surface area of nanocomposites improve the kinetic of wastewater treatment. In this method, by using the magnetic properties of Fe3O4 nanoparticles, TiO2-based photocatalyst could be separated and reused for 3 times. The efficiency of this method is respectively 100% and 65% for low concentration (10 ppm and high concentration (50 ppm of neutral red and bromocrosol green after 3 h treatment. The efficiency of treatment using the second used nanocomposite was 90% for 10 ppm of the same dyes.

  12. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  13. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  14. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  15. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  16. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  17. Effect of SiO2 addition and gamma irradiation on the lithium borate glasses

    Science.gov (United States)

    Raut, A. P.; Deshpande, V. K.

    2018-01-01

    The physical properties like density, glass transition temperature (Tg), and ionic conductivity of lithium borate (LB) glasses with SiO2 addition were measured before and after gamma irradiation. Remarkable changes in properties have been obtained in the physical properties of LB glasses with SiO2 addition and after gamma irradiation. The increase in density and glass transition temperature of LB glasses with SiO2 addition has been explained with the help of increase in density of cross linking due to SiO4 tetrahedra formation. The increase in ionic conductivity with SiO2 addition was explained with the help of ‘mixed glass former effect’. The increase in density and Tg of LB glasses with SiO2 addition after gamma irradiation has been attributed to fragmentation of bigger ring structure into smaller rings, which increases the density of cross linking and hence compaction. The exposure of gamma irradiation has lead to decrease in ionic conductivity of LB glasses with SiO2 addition. The atomic displacement caused by gamma irradiation resulted in filling of interstices and decrease in trapping sites. This explains the obtained decrease in ionic conductivity after gamma irradiation of glasses. The obtained results of effect of SiO2 addition and gamma irradiation on the density, Tg and ionic conductivity has been supported by FTIR results.

  18. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  19. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  20. Use of MnO2 and MnO2 SiO2 for sorbing of Sr-90 from liquid rad waste

    International Nuclear Information System (INIS)

    Subiarto; Las, Thamzil; Aan BH, Martin; Utomo, Cahyo Hari

    1998-01-01

    The synthesis of MnO 2 adsorbent and MnO 2 -SiO 2 composite has been done. MnO 2 synthesis is done by the reaction of KMnO 4 , Mn(NO 3 ) 2 .4H 2 O and Na 2 S 2 O 4 ( MnO 2 -A, MnO 2 -B, and MnO 2 -T ). MnO 2 . SiO 2 is made from KMnO 4 , Na 2 SiO 3 , and H 2 O 2 . The result obtained show the best Sr-90 sorption by MnO 2 -A with Kd = 2085.63 ml/g, by MnO 2 -L with Kd = 755.09 ml/g, and by MnO 2 - SiO 2 composite with Kd = 1466.51 ml/g. From this result, we can conclude that MnO 2 -SiO 2 can be expanded for Sr-90 sorption from liquid radioactive waste. (author)

  1. Nanoshaving and Nanografting of Water Soluble Polymers on Glass and Silicon Dioxide Surfaces with Applications to DNA Localization

    Science.gov (United States)

    Davis, Brian; Conley, Hiram; Ochoa, Rosie; Hurd, Katie; Linford, Matthew R.; Davis, Robert C.

    2008-10-01

    Chemical surface patterning at the nanoscale is a critical component of chemically directed assembly of nanoscale devices or sensitive biological molecules onto surfaces. Here we present a scanning probe lithography technique that allows for patterning of aqueous polymers on glass or silicon dioxide surfaces. The surfaces were functionalized by covalently bonding a silane monolayer with a known surface charge to either a glass slide or a silicon wafer. A polymer layer less then 2 nm in thickness was electrostatically bound to the silane layer, passivating the functionalized surface. An Atomic Force Microscope (AFM) probe was used to remove a portion of the polymer layer, exposing the functional silane layer underneath. Employing this method we made chemically active submicron regions. These regions were backfilled with a fluorescent polymer and Lambda-DNA. Chemical differentiation was verified through tapping mode AFM and optical fluorescent microscopy. Lines with a pitch as small as 20nm were observed with AFM height and phase mode data.

  2. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  3. Cytotoxicity and effect on GJIC of SiO2 nanoparticles in HL-7702 cells

    International Nuclear Information System (INIS)

    Pan Tao; Jin Minghua; Liu Xiaomei; Du Zhongjun; Zhou Xianqing; Huang Peili; Sun Zhiwei

    2013-01-01

    Objective: To study the cytotoxicity and effect on gap junction intracellular communication (GJIC) of SiO 2 nanoparticles in HL-7702 cells, and to provide experimental basis for toxicity assessment and the security applications of SiO 2 nanoparticles. Methods: Transmission electron microscope (TEM) was used to characterize two kinds of SiO 2 nanoparticles, verifying their size, dispersion and shape; dynamic light scattering (DLS) method was used to analyze the water dispersion and culture medium dispersion of the SiO 2 nanoparticles; MTT assay was carried out to examine the cytotoxicities of the two sizes SiO 2 nanoparticles on the cells; lactate dehydrogenase (LDH) release assay was performed to examine the integrity nano of the cell membrane; Scrape-loading and dye transfer assay was performed to examine the effect of SiO 2 nanoparticles on GJIC. Results: Based on the result of TEM, two kinds of SiO 2 nanoparticles were spherically shaped, uniformly sized and sporadically dispersed; the statistical analysis results showed the diameters of the two nanoparticles were (447.60±20.78) nm and (67.42±5.69) nm, respectively, thus they could be categorized as submicron scale and nano scale. The DLS method results manifested that the hydration nanoparticle sizes of the two SiO 2 nanoparticles were (684.37±18.76) nm, (128.31±7.64) nm in high purity water and (697.02±19.57) nm, (133.74±8.97) nm in RPMI-1640 solution, all the two nanoparticles were well dispersed without aggregation. MTT assay indicated that 24 h after treatment of SiO 2 nanoparticles, the cell viabilities were affected by both the size and the dose of the SiO 2 nanoparticles; the higher the dose was, the less viability the cells exhibited. Moreover, the nano scale particles inflicted more damage to the cells. LDH release assay indicated that the SiO 2 particles could also damage the cell membrane in a dose-dependent and size-dependent way. Scrape-loading and dye transfer assay indicated that the nano

  4. The Effect of SiO2 Shell on the Suppression of Photocatalytic Activity of TiO2 and ZnO Nanoparticles

    International Nuclear Information System (INIS)

    Lee, Min Hee; Lee, Choon Soo; Patil, Umakant Mahadev; Kochuveedu, Saji Thomas

    2012-01-01

    In this study, we investigate the potential use of TiO 2 SiO 2 and ZnO SiO 2 core/shell nanoparticles (NPs) as effective UV shielding agent. In the typical synthesis, SiO 2 was coated over different types of TiO 2 (anatase and rutile) and ZnO by sol-gel method. The synthesized TiO 2 SiO 2 and ZnO SiO 2 Nps were characterized by UV-Vis, XRD, Sem and TEM. The UV-vis absorbance and transmittance spectra of core shell NPs showed an efficient blocking effect in the UV region and more than 90% transmittance in the visible region. XRD and SAED studies confirmed the formation of amorphous SiO 2 coated over the TiO 2 and ZnO NPs. The FESEM and TEM images shows that coating of SiO 2 over the surface of anatase, rutile TiO 2 and ZnO NPs resulted in the increase in particle size by ∼30 nm. In order to study the UV light shielding capability of the samples, photocatalytic degradation of methylene blue dye on TiO 2 SiO 2 and ZnO SiO 2 NPs was performed. Photocatalytic activity for both types of TiO 2 NPs was partially suppressed. In comparison, the photocatalytic activity of ZnO almost vanished after the SiO 2 coating

  5. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  6. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  7. Biplot Analysis of Silicon Dioxide on Early Growth of Sunflower

    Directory of Open Access Journals (Sweden)

    Sabaghnia Naser

    2016-06-01

    Full Text Available Research into nanotechnology has advanced in almost all fields of technology and the aim of this study was to evaluate the role of nano-silicon dioxide (nano-SiO2 in germination performance sunflower. Germination and seedling growth are the most important stage of plant development and are critical factors to crop production and are essential to achieve optimum performance. The effects of pre-germination hydration in solutions of nano-SiO2 (0, 0.2, 0.4, 0.6, 0.8, 1 and 1.2 mM for 8 h on germination characteristics of sunflower were investigated. The trait by treatment (TT biplot explained 93% of the total variation of the standardized data (77% and 16% for the first and second principal components, respectively. According to polygon-view of TT biplot, T2 (0.2 mM had the highest values for all of the measured traits except mean germination time and the time to 50% germination. The germination percentage was determined as the best trait and showed the high association with promptness index, energy of germination and germination rate traits. The results of the present study indicated that pre-sowing seed treatments with low concentration of nano-SiO2 had favorable effect sunflower seed germination and seedling early growth. Such a similar outcome could be applied in the future to outline other crops in response to nano-particles as well as to help define tolerance tools for recommendations in stressful conditions in the world.

  8. Irradiation-induced hardening/softening in SiO2 studied with instrumented indentation

    International Nuclear Information System (INIS)

    Nakano, Shinsuke; Muto, Shunsuke; Tanabe, Tetsuo

    2005-01-01

    To understand the plastic deformation mechanism of SiO 2 polytypes, we measured the mechanical parameters of He + -irradiated crystalline SiO 2 (α-quartz, c-SiO 2 ) and vitreous SiO 2 (silica glass, v-SiO 2 ) as functions of the irradiation dose, by using the instrumented indentation method combined with a finite-element analysis. We extracted the effects of local rotation and bending of the SiO 4 framework (the degree of local structural freedom), which play key roles in the plastic deformation, and expressed the hardness change with a simple formula. For v-SiO 2 , the changes in the density and the number of broken bonds correlated well with the change in the degree of freedom. In contrast, for c-SiO 2 the present formulation was insufficient to fully express the hardness change in the structural disordering regime. The structure change by irradiation peculiar to this material is discussed, based on the theoretical formulation

  9. Mechanical characteristics of microwave sintered silicon carbide

    Indian Academy of Sciences (India)

    In firing of products by conventionally sintered process, SiC grain gets oxidized producing SiO2 (∼ 32 wt%) and deteriorates the quality of the product substantially. Partially sintered silicon carbide by such a method is a useful material for a varieties of applications ranging from kiln furniture to membrane material.

  10. Study of Pellets and Lumps as Raw Materials in Silicon Production from Quartz and Silicon Carbide

    Science.gov (United States)

    Dal Martello, E.; Tranell, G.; Gaal, S.; Raaness, O. S.; Tang, K.; Arnberg, L.

    2011-10-01

    The use of high-purity carbon and quartz raw materials reduces the need for comprehensive refining steps after the silicon has been produced carbothermically in the electric reduction furnace. The current work aims at comparing the reaction mechanisms and kinetics occurring in the inner part of the reduction furnace when pellets or lumpy charge is used, as well as the effect of the raw material mix. Laboratory-scale carbothermic reduction experiments have been carried out in an induction furnace. High-purity silicon carbide and two different high-purity hydrothermal quartzes were charged as raw materials at different molar ratios. The charge was in the form of lumps (size, 2-5 mm) or as powder (size, 10-20 μm), mixed and agglomerated as pellets (size, 1-3 mm) and reacted at 2273 K (2000 °C). The thermal properties of the quartzes were measured also by heating a small piece of quartz in CO atmosphere. The investigated quartzes have different reactivity in reducing atmosphere. The carbothermal reduction experiments show differences in the reacted charge between pellets and lumps as charge material. Solid-gas reactions take place from the inside of the pellets porosity, whereas reactions in lumps occur topochemically. Silicon in pellets is produced mainly in the rim zone. Larger volumes of silicon have been found when using lumpy charge. More SiO is produced when using pellets than for lumpy SiO2 for the same molar ratio and heating conditions. The two SiC polytypes used in the carbothermal reduction experiments as carbon reductants presented different reactivity.

  11. Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites

    Science.gov (United States)

    Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren

    2018-01-01

    Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).

  12. Towards understanding the thermoanalysis of water sorption on lithium orthosilicate (Li4SiO4)

    International Nuclear Information System (INIS)

    Ortiz-Landeros, J.; Martinez-dlCruz, L.; Gomez-Yanez, C.; Pfeiffer, H.

    2011-01-01

    A systematic thermogravimetric study of hygroscopic and reactivity behaviors, at low temperatures of lithium orthosilicate (Li 4 SiO 4 ), are presented. Li 4 SiO 4 sample was prepared by solid-state reaction and then treated at different temperature-humidity conditions. Li 4 SiO 4 samples previously treated under different temperature-humidity conditions were characterized by Fourier transform infrared spectroscopy and thermogravimetric analyses. Different processes, adsorption and absorption, take place between the Li 4 SiO 4 and water vapor. Absorbed water produces superficial hydroxylated species such as Si-OH and Li-OH. In addition, a kinetic analysis was performed, and the different water absorption activation enthalpies were calculated. It was found that activation enthalpy (ΔH) values decrease when the relative humidity is incremented, from 5528.6 J/mol to 2074.2 J/mol at relative humidity levels of 60% and 75% respectively. These results show the impact of different humidity and temperature conditions on the stability and/or chemical reactivity of Li 4 SiO 4 , if this ceramic is used in different application fields, such as carbon dioxide captor or as a breeder ceramic into the fusion reactors.

  13. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  14. PENGUJIAN AKTIVITAS KOMPOSIT Fe2O3-SiO2 SEBAGAI FOTOKATALIS PADA FOTODEGRADASI 4-KLOROFENOL (The Activity Test of Fe2O3-SiO2 Composite As Photocatalyst on 4-Chlorophenol Photodegradation

    Directory of Open Access Journals (Sweden)

    Eko Sri Kunarti

    2009-03-01

    Full Text Available ABSTRAK  Pada penelitian ini telah dilakukan pengujian aktivitas komposit Fe2O3-SiO2 sebagai fotokatalis pada fotodegradasi 4-klorofenol. Penelitian diawali dengan preparasi dan karakterisasi fotokatalis Fe2O3-SiO2. Preparasi dilakukan dengan metode sol-gel pada temperatur kamar menggunakan tetraetil ortosilikat (TEOS dan besi (III nitrat sebagai prekursor diikuti dengan perlakuan termal pada temperature 500 oC. Karakterisasi dilakukan dengan metode spektrometri inframerah, difraksi sinar-X dan spektrometri fluoresensi sinar-X. Uji aktivitas komposit untuk fotodegradasi 4-klorofenol dilakukan dalam reaktor tertutup yang dilengkapi dengan lampu UV. Pada uji ini telah dipelajari pengaruh waktu penyinaran dan pH larutan terhadap efektivitas fotodegradasi 4-klorofenol. Hasil penelitian menunjukkan bahwa komposit Fe2O3-SiO2 dapat dipreparasi dengan metode sol-gel pada temperatur kamar diikuti perlakuan termal. Komposit Fe2O3-SiO2 dapat meningkatkan efektivitas fotodegradasi 4-klorofenol dari 11,86 % menjadi 55,38 %. Efektivitas fotodegradasi 4- klorofenol dipengaruhi waktu penyinaran dan pH larutan yang semakin lama waktu penyinaran efektifitas fotodegradasi semakin tinggi, namun waktu penyinaran yang lebih lama dari 4 jam dapat menurunkan efektivitasnya. pH larutan memberikan pengaruh yang berbeda-beda pada efektivitas fotodegradasi 4-klorofenol.   ABSTRACT The activity test of Fe2O3-SiO2 composite as photocatalyst on 4-chlorophenol photodegradation has been studied. The research was initiated by preparation of Fe2O3-SiO2 photocatalyst and followed by characterization. The preparation was conducted by sol-gel method at room temperature using tetraethylorthosilicate (TEOS and iron (III nitrate as precursors followed by thermal treatment at a temperature of 500oC. The characterizations were performed by X-ray Diffraction (XRD, Infrared and X-ray Fluorescence Spectrophotometry. The photocatalytic activity test of composites for 4 chlorophenol

  15. Macular edema in siliconized eyes

    Directory of Open Access Journals (Sweden)

    Kaya A

    2016-05-01

    Full Text Available Abdullah Kaya,1 Yakup Aksoy,2 Yıldıray Yildirim,3 Murat Sonmez3 1Department of Ophthalmology, Anittepe Military Dispensary, Ankara, Turkey; 2Department of Ophthalmology, Girne Military Hospital, Girne, Cyprus; 3Department of Ophthalmology, GATA Haydarpasa Training Hospital, Istanbul, TurkeyWe read with great interest the article titled “Value of optical coherence tomography in the detection of macular pathology before the removal of silicone oil” by Rashad et al.1 The authors have evaluated the optical coherence tomography (OCT findings before the removal of silicone oil (SiO. We congratulate the authors for this well-organized study and would like to contribute to their findings.View original paper by Rashad and colleagues.

  16. Photo-conversion of CO2 using titanium dioxide: enhancements by plasmonic and co-catalytic nanoparticles

    International Nuclear Information System (INIS)

    Mankidy, Bijith D; Joseph, Babu; Gupta, Vinay K

    2013-01-01

    Converting carbon dioxide (CO 2 ) to hydrocarbons that can be used as fuels is beneficial from both environmental and economic points of view. In this study, nanoparticles are designed to enhance the photoreduction of CO 2 on a titanium dioxide (TiO 2 ) catalyst. An increase in catalytic activity is reported when silver (Ag), platinum (Pt) or bimetallic Ag–Pt and core–shell Ag@silica (SiO 2 ) nanoparticles are used with the TiO 2 semiconductor catalyst. Nanoparticles with different elemental composition or geometrical structure facilitate successive photo-excitation steps—generation, transport, storage and interfacial transfer of electrons and holes. Results show that while the addition of either type of nanoparticles augments product formation rates, bimetallic co-catalysts improve product selectivity. When both bimetallic co-catalysts and Ag@SiO 2 nanoparticles are used in combination, product yields are enhanced more than seven fold in comparison to native TiO 2 and high selectivity for methane (CH 4 ) is observed. When the bimetallic Ag–Pt co-catalysts are tuned, a selectivity of CH 4 of approximately 80%, as compared to 20% with only TiO 2 , can be achieved. (paper)

  17. Co-implantation of carbon and nitrogen into silicon dioxide for synthesis of carbon nitride materials

    CERN Document Server

    Huang, M B; Nuesca, G; Moore, R

    2002-01-01

    Materials synthesis of carbon nitride has been attempted with co-implantation of carbon and nitrogen into thermally grown SiO sub 2. Following implantation of C and N ions to doses of 10 sup 1 sup 7 cm sup - sup 2 , thermal annealing of the implanted SiO sub 2 sample was conducted at 1000 degree sign C in an N sub 2 ambient. As evidenced in Fourier transform infrared measurements and X-ray photoelectron spectroscopy, different bonding configurations between C and N, including C-N single bonds, C=N double bonds and C=N triple bonds, were found to develop in the SiO sub 2 film after annealing. Chemical composition profiles obtained with secondary ion mass spectroscopy were correlated with the depth information of the chemical shifts of N 1s core-level electrons, allowing us to examine the formation of C-N bonding for different atomic concentration ratios between N and C. X-ray diffraction and transmission electron microscopy showed no sign of the formation of crystalline C sub 3 N sub 4 precipitates in the SiO ...

  18. Analysis of SiO2 nanoparticles binding proteins in rat blood and brain homogenate

    Directory of Open Access Journals (Sweden)

    Shim KH

    2014-12-01

    Full Text Available Kyu Hwan Shim,1 John Hulme,1 Eun Ho Maeng,2 Meyoung-Kon Kim,3 Seong Soo A An1 1Department of Bionano Technology, Gachon Medical Research Institute, Gachon University, Sungnam-si, 2Department of Analysis, KTR, Kimpo, Gyeonggi-do, 3Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea Abstract: A multitude of nanoparticles, such as titanium oxide (TiO2, zinc oxide, aluminum oxide, gold oxide, silver oxide, iron oxide, and silica oxide, are found in many chemical, cosmetic, pharmaceutical, and electronic products. Recently, SiO2 nanoparticles were shown to have an inert toxicity profile and no association with an irreversible toxicological change in animal models. Hence, exposure to SiO2 nanoparticles is on the increase. SiO2 nanoparticles are routinely used in numerous materials, from strengthening filler for concrete and other construction composites, to nontoxic platforms for biomedical application, such as drug delivery and theragnostics. On the other hand, recent in vitro experiments indicated that SiO2 nanoparticles were cytotoxic. Therefore, we investigated these nanoparticles to identify potentially toxic pathways by analyzing the adsorbed protein corona on the surface of SiO2 nanoparticles in the blood and brain of the rat. Four types of SiO2 nanoparticles were chosen for investigation, and the protein corona of each type was analyzed using liquid chromatography-tandem mass spectrometry technology. In total, 115 and 48 plasma proteins from the rat were identified as being bound to negatively charged 20 nm and 100 nm SiO2 nanoparticles, respectively, and 50 and 36 proteins were found for 20 nm and 100 nm arginine-coated SiO2 nanoparticles, respectively. Higher numbers of proteins were adsorbed onto the 20 nm sized SiO2 nanoparticles than onto the 100 nm sized nanoparticles regardless of charge. When proteins were compared between the two charges, higher numbers of proteins were

  19. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    Science.gov (United States)

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  20. Densification of ∼5 nm-thick SiO_2 layers by nitric acid oxidation

    International Nuclear Information System (INIS)

    Choi, Jaeyoung; Joo, Soyeong; Park, Tae Joo; Kim, Woo-Byoung

    2017-01-01

    Highlights: • Leakage current density of the commercial PECVD grown ∼5 nm SiO_2 layer has been decreased about three orders of magnitude by densification. • The densification of SiO_2 layer is achieved by high oxidation ability of O·. • Densities of suboxide, fixed charge (N_f) and defect state (N_d) in SiO_2/Si interface are decreased by NAOS and PMA. • Tunneling barrier height (Φ_t) is increased because of the increase of atomic density in SiO_2 layer. - Abstract: Low-temperature nitric acid (HNO_3) oxidation of Si (NAOS) has been used to improve the interface and electrical properties of ∼5 nm-thick SiO_2/Si layers produced by plasma-enhanced chemical vapor deposition (PECVD). Investigations of the physical properties and electrical characteristics of these thin films revealed that although their thickness is not changed by NAOS, the leakage current density at a gate bias voltage of −1 V decreases by about two orders of magnitude from 1.868 × 10"−"5 A/cm"2. This leakage current density was further reduced by post-metallization annealing (PMA) at 250 °C for 10 min in a 5 vol.% hydrogen atmosphere, eventually reaching a level (5.2 × 10"−"8 A/cm"2) approximately three orders of magnitude less than the as-grown SiO_2 layer. This improvement is attributed to a decrease in the concentration of suboxide species (Si"1"+, Si"2"+ and Si"3"+) in the SiO_2/Si interface, as well as a decrease in the equilibrium density of defect sites (N_d) and fixed charge density (N_f). The barrier height (Φ_t) generated by a Poole-Frenkel mechanism also increased from 0.205 to 0.371 eV after NAOS and PMA. The decrease in leakage current density is therefore attributed to a densification of the SiO_2 layer in combination with the removal of OH species and increase in interfacial properties at the SiO_2/Si interface.

  1. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  2. SIMULTANEOUS OBSERVATIONS OF SiO AND H{sub 2}O MASERS TOWARD KNOWN STELLAR H{sub 2}O MASER SOURCES

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaeheon [Yonsei University Observatory, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Cho, Se-Hyung [Korean VLBI Network Yonsei Radio Astronomy Observatory, Yonsei University, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Kim, Sang Joon, E-mail: jhkim@kasi.re.kr, E-mail: cho@kasi.re.kr, E-mail: sjkim1@khu.ac.kr [Department of Astronomy and Space Science, Kyung Hee University, Seocheon-Dong, Giheung-Gu, Yongin, Gyeonggi-Do 446-701 (Korea, Republic of)

    2013-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, {sup 29}SiO v = 0, J = 1-0, and H{sub 2}O 6{sub 16}-5{sub 23} maser lines toward 152 known stellar H{sub 2}O maser sources using the Yonsei 21 m radio telescope of the Korean VLBI Network from 2009 June to 2011 January. Both SiO and H{sub 2}O masers were detected from 62 sources with a detection rate of 40.8%. The SiO-only maser emission without H{sub 2}O maser detection was detected from 27 sources, while the H{sub 2}O-only maser without SiO maser detection was detected from 22 sources. Therefore, the overall SiO maser emission was detected from 89 sources, resulting in a detection rate of 58.6%. We have identified 70 new detections of the SiO maser emission. For both H{sub 2}O and SiO maser detected sources, the peak and integrated antenna temperatures of SiO masers are stronger than those of H{sub 2}O masers in both Mira variables and OH/IR stars and the relative intensity ratios of H{sub 2}O to SiO masers in OH/IR stars are larger than those in Mira variables. In addition, distributions of 152 observed sources were investigated in the IRAS two-color diagram.

  3. Crack-resistant Al2O3-SiO2 glasses.

    Science.gov (United States)

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  4. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  5. Silicon-Doped Titanium Dioxide Nanotubes Promoted Bone Formation on Titanium Implants.

    Science.gov (United States)

    Zhao, Xijiang; Wang, Tao; Qian, Shi; Liu, Xuanyong; Sun, Junying; Li, Bin

    2016-02-26

    While titanium (Ti) implants have been extensively used in orthopaedic and dental applications, the intrinsic bioinertness of untreated Ti surface usually results in insufficient osseointegration irrespective of the excellent biocompatibility and mechanical properties of it. In this study, we prepared surface modified Ti substrates in which silicon (Si) was doped into the titanium dioxide (TiO₂) nanotubes on Ti surface using plasma immersion ion implantation (PIII) technology. Compared to TiO₂ nanotubes and Ti alone, Si-doped TiO₂ nanotubes significantly enhanced the expression of genes related to osteogenic differentiation, including Col-I, ALP, Runx2, OCN, and OPN, in mouse pre-osteoblastic MC3T3-E1 cells and deposition of mineral matrix. In vivo, the pull-out mechanical tests after two weeks of implantation in rat femur showed that Si-doped TiO₂ nanotubes improved implant fixation strength by 18% and 54% compared to TiO₂-NT and Ti implants, respectively. Together, findings from this study indicate that Si-doped TiO₂ nanotubes promoted the osteogenic differentiation of osteoblastic cells and improved bone-Ti integration. Therefore, they may have considerable potential for the bioactive surface modification of Ti implants.

  6. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    Science.gov (United States)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  7. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    Science.gov (United States)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  8. Using silicon nanostructures for the improvement of silicon solar cells' efficiency

    International Nuclear Information System (INIS)

    Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.

    2006-01-01

    Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost

  9. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  10. Development of nano SiO2 incorporated nano zinc phosphate coatings on mild steel

    International Nuclear Information System (INIS)

    Tamilselvi, M.; Kamaraj, P.; Arthanareeswari, M.; Devikala, S.; Selvi, J. Arockia

    2015-01-01

    Highlights: • Nano SiO 2 incorporated nano zinc phosphate coating on mild steel was developed. • Coatings showed enhanced corrosion resistance. • The nano SiO 2 is adsorbed on mild steel surface and become nucleation sites. • The nano SiO 2 accelerates the phosphating process. - Abstract: This paper reports the development of nano SiO 2 incorporated nano zinc phosphate coatings on mild steel at low temperature for achieving better corrosion protection. A new formulation of phosphating bath at low temperature with nano SiO 2 was attempted to explore the possibilities of development of nano zinc phosphate coatings on mild steel with improved corrosion resistance. The coatings developed were studied by Scanning Electron Microscopy (SEM), Energy-Dispersive X-ray Spectroscopy (EDX), X-ray Diffraction (XRD), Transmission Electron Microscopy (TEM) and Electrochemical measurements. Significant variation in the coating weight, morphology and corrosion resistance was observed as nano SiO 2 concentrations varied from 0.5–4 g/L. The results showed that, the nano SiO 2 in the phosphating solution changed the initial potential of the interface between mild steel substrate and phosphating solution and reduce the activation energy of the phosphating process, increase the nucleation sites and yielded zinc phosphate coatings of higher coating weight, greater surface coverage and enhanced corrosion resistance. Better corrosion resistance was observed for coatings derived from phosphating bath containing 1.5 g/L nano SiO 2 . The new formulation reported in the present study was free from Ni or Mn salts and had very low concentration of sodium nitrite (0.4 g/L) as accelerator

  11. Facile synthesis of microporous SiO2/triangular Ag composite nanostructures for photocatalysis

    Science.gov (United States)

    Sirohi, Sidhharth; Singh, Anandpreet; Dagar, Chakit; Saini, Gajender; Pani, Balaram; Nain, Ratyakshi

    2017-11-01

    In this article, we present a novel fabrication of microporous SiO2/triangular Ag nanoparticles for dye (methylene blue) adsorption and plasmon-mediated degradation. Microporous SiO2 nanoparticles with pore size aminopropyl) trimethoxysilane) to introduce amine groups. Amine-functionalized microporous silica was used for adsorption of triangular silver (Ag) nanoparticles. The synthesized microporous SiO2 nanostructures were investigated for adsorption of different dyes including methylene blue, congo red, direct green 26 and curcumin crystalline. Amine-functionalized microporous SiO2/triangular Ag nanostructures were used for plasmon-mediated photocatalysis of methylene blue. The experimental results revealed that the large surface area of microporous silica facilitated adsorption of dye. Triangular Ag nanoparticles, due to their better charge carrier generation and enhanced surface plasmon resonance, further enhanced the photocatalysis performance.

  12. Composition and crystallization kinetics of R2O-Al2O3-SiO2 glass-ceramics

    International Nuclear Information System (INIS)

    Xiong, Dehua; Cheng, Jinshu; Li, Hong

    2010-01-01

    The crystallization behavior and microstructure of R 2 O-Al 2 O 3 -SiO 2 (R means K, Na and Li) glass were investigated by means of differential scanning calorimeter (DSC), X-ray diffraction (XRD) and scanning electron microscopy (SEM). The crystallization kinetic parameters including the crystallization apparent activation energy (E a ), the Avrami parameter (n), glass transition temperature (T g ) and the activity energy of glass transition (E t ) were also measured with different methods. The results have shown that: the DSC traces of composition A parent glass have two different precipitation crystallization peaks corresponding to E a1 (A) = 151.4 kJ/mol (Li 2 SiO 3 ) and E a2 (A) = 623.1 kJ/mol (Li 2 Si 2 O 5 ), the average value of n = 1.70 (Li 2 Si 2 O 5 ) for the surface crystallization and E t (A) = 202.8 kJ/mol. And E a (B) = 50.7 kJ/mol (Li 2 SiO 3 ), the average value of n = 3.89 (Li 2 SiO 3 ) for the bulk crystallization and E t (B) = 220.4 kJ/mol for the composition B parent glass. Because of the content of R 2 O is bigger than composition A, composition B parent glass has a lower E a , T g and a larger n, E t .

  13. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  14. Optical characterisation of cubic silicon carbide

    International Nuclear Information System (INIS)

    Jackson, S.M.

    1998-09-01

    The varied properties of Silicon Carbide (SiC) are helping to launch the material into many new applications, particularly in the field of novel semiconductor devices. In this work, the cubic form of SiC is of interest as a basis for developing integrated optical components. Here, the formation of a suitable SiO 2 buried cladding layer has been achieved by high dose oxygen ion implantation. This layer is necessary for the optical confinement of propagating light, and hence optical waveguide fabrication. Results have shown that optical propagation losses of the order of 20 dB/cm are obtainable. Much of this loss can be attributed to mode leakage and volume scattering. Mode leakage is a function of the effective oxide thickness, and volume scattering related to the surface layer damage. These parameters have been shown to be controllable and so suggests that further reduction in the waveguide loss is feasible. Analysis of the layer growth mechanism by RBS, XTEM and XPS proves that SiO 2 is formed, and that the extent, of formation depends on implant dose and temperature. The excess carbon generated is believed to exit the oxide layer by a number of varying mechanisms. The result of this appears to be a number of stable Si-C-O intermediaries that, form regions to either depth extreme of the SiO 2 layer. Early furnace tests suggest a need to anneal at, temperatures approaching the melting point of the silicon substrate, and that the quality of the virgin material is crucial in controlling the resulting oxide growth. (author)

  15. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  16. Role of oxygen concentration distribution and microstructure in luminescent properties of laser-irradiated silicon

    International Nuclear Information System (INIS)

    Zhu, Min; Li, Xiaohong; Li, Guoqiang; Xie, Changxin; Qiu, Rong; Li, Jiawen; Huang, Wenhao

    2015-01-01

    Graphical abstract: Photoluminescence (PL) of monocrystalline silicon irradiated by femtosecond laser pulses was studied. The visible blue luminescence is observed both from the deionized water and air. The position and shape of emission luminescence peaks in the visible range are same at 330 nm. The PL is confirmed to be not merely induced by the oxygen defects or quantum confinement effects, but is commonly decided by the concentration distribution of SiO x and the depth of the surface microstructure. The PL gets strongest only when depth of the surface microstructure is not deeper and the distribution of the shallow SiO x is more intensive. - Highlights: • Different morphologies and compositions of the surface microstructures are formed. • The SiO x concentration and surface microstructure depth commonly decide the PL. • The PL intensity can be controlled by changing the experimental conditions. - Abstract: We study the photoluminescence (PL) of monocrystalline silicon irradiated by femtosecond laser pulses in different environments (deionized water and air) and energy intensities. The fluorescence spectroscopy measurement results indicate that the visible blue luminescence is observed both from the silicon surfaces ablated in the deionized water and air. The more interesting phenomenon is that the position and shape of the emission luminescence peaks in the visible range are substantially the same at the same excitation wavelength 330 nm. Compared with the granular-like microstructure generated on the silicon surface in air, the smaller and stripe-like microstructure is formed in the deionized water as the field emission scanning electron microscope (FESEM) measures. The results of the energy dispersive spectroscopy (EDS) show that silicon and oxygen is the main elemental composition on laser-induced silicon surfaces, and the oxygen content on the sample surfaces formed in air is nearly four times more than that in the deionized water. The studies confirm

  17. Synthesis of metallic nanoparticles in SiO2 matrices

    International Nuclear Information System (INIS)

    Gutierrez W, C.; Mondragon G, G.; Perez H, R.; Mendoza A, D.

    2004-01-01

    Metallic nanoparticles was synthesized in SiO 2 matrices by means of a process of two stages. The first one proceeded via sol-gel, incorporating the metallic precursors to the reaction system before the solidification of the matrix. Later on, the samples underwent a thermal treatment in atmosphere of H 2 , carrying out the reduction of the metals that finally formed to the nanoparticles. Then it was detected the presence of smaller nanoparticles than 20 nm, dispersed and with the property of being liberated easily of the matrix, conserving a free surface, chemically reactive and with response to external electromagnetic radiation. The system SiO 2 -Pd showed an important thermoluminescent response. (Author)

  18. Introduction of high oxygen concentrations into silicon wafers by high-temperature diffusion

    International Nuclear Information System (INIS)

    Casse, G.; Glaser, M.; Lemeilleur, F.; Ruzin, A.; Wegrzecki, M.

    1999-01-01

    The tolerance of silicon detectors to hadron irradiation can be improved by the introduction of a high concentration of oxygen into the starting material. High-resistivity Floating-Zone (FZ) silicon is required for detectors used in particle physics applications. A significantly high oxygen concentration (>10 17 atoms cm -3 ) cannot readily be achieved during the FZ silicon refinement. The diffusion of oxygen at elevated temperatures from a SiO 2 layer grown on both sides of a silicon wafer is a simple and effective technique to achieve high and uniform concentrations of oxygen throughout the bulk of a 300 μm thick silicon wafer

  19. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  20. Structure and crystallization of SiO2 and B2O3 doped lithium disilicate glasses from theory and experiment.

    Science.gov (United States)

    Erlebach, Andreas; Thieme, Katrin; Sierka, Marek; Rüssel, Christian

    2017-09-27

    Solid solutions of SiO 2 and B 2 O 3 in Li 22SiO 2 are synthesized and characterized for the first time. Their structure and crystallization mechanisms are investigated employing a combination of simulations at the density functional theory level and experiments on the crystallization of SiO 2 and B 2 O 3 doped lithium disilicate glasses. The remarkable agreement of calculated and experimentally determined cell parameters reveals the preferential, kinetically controlled incorporation of [SiO 4 ] and [BO 4 ] at the Li + lattice sites of the Li 22SiO 2 crystal structure. While the addition of SiO 2 increases the glass viscosity resulting in lower crystal growth velocities, glasses containing B 2 O 3 show a reduction of both viscosities and crystal growth velocities. These observations could be rationalized by a change of the chemical composition of the glass matrix surrounding the precipitated crystal phase during the course of crystallization, which leads to a deceleration of the attachment of building units required for further crystal growth at the liquid-crystal interface.

  1. Origin of the visible emission of black silicon microstructures

    International Nuclear Information System (INIS)

    Fabbri, Filippo; Lin, Yu-Ting; Bertoni, Giovanni; Rossi, Francesca; Salviati, Giancarlo; Smith, Matthew J.; Gradečak, Silvija; Mazur, Eric

    2015-01-01

    Silicon, the mainstay semiconductor in microelectronics, is considered unsuitable for optoelectronic applications due to its indirect electronic band gap that limits its efficiency as light emitter. Here, we univocally determine at the nanoscale the origin of visible emission in microstructured black silicon by cathodoluminescence spectroscopy and imaging. We demonstrate the formation of amorphous silicon oxide microstructures with a white emission. The white emission is composed by four features peaking at 1.98 eV, 2.24 eV, 2.77 eV, and 3.05 eV. The origin of such emissions is related to SiO x intrinsic point defects and to the sulfur doping due to the laser processing. Similar results go in the direction of developing optoelectronic devices suitable for silicon-based circuitry

  2. Beyond sixfold coordinated Si in SiO2 glass at ultrahigh pressures.

    Science.gov (United States)

    Prescher, Clemens; Prakapenka, Vitali B; Stefanski, Johannes; Jahn, Sandro; Skinner, Lawrie B; Wang, Yanbin

    2017-09-19

    We investigated the structure of SiO 2 glass up to 172 GPa using high-energy X-ray diffraction. The combination of a multichannel collimator with diamond anvil cells enabled the measurement of structural changes in silica glass with total X-ray diffraction to previously unachievable pressures. We show that SiO 2 first undergoes a change in Si-O coordination number from fourfold to sixfold between 15 and 50 GPa, in agreement with previous investigations. Above 50 GPa, the estimated coordination number continuously increases from 6 to 6.8 at 172 GPa. Si-O bond length shows first an increase due to the fourfold to sixfold coordination change and then a smaller linear decrease up to 172 GPa. We reconcile the changes in relation to the oxygen-packing fraction, showing that oxygen packing decreases at ultrahigh pressures to accommodate the higher than sixfold Si-O coordination. These results give experimental insight into the structural changes of silicate glasses as analogue materials for silicate melts at ultrahigh pressures.

  3. [Effects of silicon supply on diurnal variations of physiological properties at rice heading stage under elevated UV-B radiation].

    Science.gov (United States)

    Wu, Lei; Lou, Yun-sheng; Meng, Yan; Wang, Wei-qing; Cui, He-yang

    2015-01-01

    A pot experiment was conducted to investigate the effects of silicon (Si) supply on diurnal variations of photosynthesis and transpiration-related physiological parameters at rice heading stage under elevated UV-B radiation. The experiment was designed with two UV-B radiation levels, i.e. ambient UV-B. (ambient, A) and elevated UV-B (elevated by 20%, E), and four Si supply levels, i.e. Sio (control, 0 kg SiO2 . hm-2), Si, (sodium silicate, 100 kg SiO2 . hm-2), Si2 (sodium silicate, 200 kg SiO2 . hm2), Si3 (slag fertilizer, 200 kg SiO2 . hm-2). The results showed that, compared with ambient UV-B radiation, elevated UV-B radiation decreased the net photosynthesis rate (Pn) , intercellular CO2 concentration (Ci), transpiration rate (Tr), stomatal conductivity (gs) and water use efficiency (WUE) by 11.3%, 5.5%, 10.4%, 20.3% and 6.3%, respectively, in the treatment without Si supply (Si, level), and decreased the above parameters by 3.8%-5.5%, 0.7%-4.8%, 4.0%-8.7%, 7.4%-20.2% and 0.7%-5.9% in the treatments with Si supply (Si1, Si2 and Si3 levels) , respectively. Namely, elevated UV-B radiation decreased the photosynthesis and transpiration-related physiological parameters, but silicon supply could obviously mitigate the depressive effects of elevated UV-B radiation. Under elevated UV-B radiation, compared with control (Si0 level), silicon supply increased Pn, Ci, gs and WUE by 16.9%-28.0%, 3.5%-14.3%, 16.8% - 38.7% and 29.0% - 51.2%, respectively, but decreased Tr by 1.9% - 10.8% in the treatments with Si supply (Si1 , Si2 and Si3 levels). That is, silicon supply could mitigate the depressive effects of elevated UV-B radiation through significantly increasingnP., CigsgK and WUE, but decreasing T,. However, the difference existed in ameliorating the depressive effects of elevated UV-B radiation on diurnal variations of physiological parameters among the treatments of silicon supply, with the sequence of Si3>Si2>1i >Si0. This study suggested that fertilizing slag was

  4. Synthesis and Characterization of Ti-Phenyl at SiO2 Core-Shell Nanoparticles Catalyst

    International Nuclear Information System (INIS)

    Syamsi Aini; Jon Efendi; Syamsi Aini; Jon Efendi

    2012-01-01

    This study highlights the potential use of Ti-Phenyl at SiO 2 core-shell nanoparticles as heterogeneous catalysis in oxidation reaction. The Ti-Phenyl at SiO 2 was synthesized by reduction of TiCl 4 and diazonium salt with sodium borohydride to produce phenyl titanium nanoparticles (Ti-Phenyl), followed by the silica shell coating using tetraethyl orthosilicate (TEOS). The Ti-Phenyl at SiO 2 nanoparticles were characterized by Fourier transform infrared (FTIR) spectrometer, diffuse reflectance (DR) UV-visible spectrometer, thermogravimetric analyzer (TGA), X-ray diffraction (XRD) spectrometer, field emission scanning electron microscope (FESEM) and transmission electron microscope (TEM). The core-shell size of Ti-Phenyl at SiO 2 was in the range of 40 to 100 nm with its core composed with an agglomeration of Ti-Phenyl. The Ti-Phenyl at SiO 2 was active as a catalyst in the liquid phase epoxidation of 1-octene with aqueous hydrogen peroxide as an oxidant. (author)

  5. Cotton fabric finishing with TiO2/SiO2 composite hydrosol based on ionic cross-linking method

    International Nuclear Information System (INIS)

    Xu, Z.J.; Tian, Y.L.; Liu, H.L.; Du, Z.Q.

    2015-01-01

    Highlights: • We studied the cotton finishing with TiO 2 /SiO 2 based on ionic cross-linking method. • The samples treated with CHTAC had lower value of whiteness. • The samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. • The ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) was better than with TiO 2 /SiO 2 sol alone. - Abstract: Cotton fabric was successfully modified by 3-chloro-2-hydroxypropyl trimethyl ammonium chloride (CHTAC), 1,2,3,4-butanetetracarboxylic acid (BTCA) and TiO 2 /SiO 2 sol. Self-cleaning characteristic was investigated using a Color Measuring and Matching System with 6 h sunlight irradiation. And the stability of TiO 2 /SiO 2 coatings was explored by measuring the washing fastness and wrinkle resistance of treated cotton samples. In addition, whiteness index, crease recovery angle and tensile strength retention (%) of treated samples were evaluated. Moreover, the morphology, structure change and crystallinity of samples were observed by scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD), respectively. The results revealed that the samples treated with CHTAC had lower value of whiteness index as compared with original cotton fabric. It was also found that samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. Moreover, the treatment of CHTAC and BTCA had adverse effect on the crystallinity of cotton samples, as treated samples had lower crystallinity in comparison with raw cotton fabrics. Nevertheless, the stability of self-cleaning coatings was better for samples treated with ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) than samples treated with TiO 2 /SiO 2 sol alone. Furthermore, compared with original samples the UV-blocking property of ionic cross-linking treated samples was obviously enhanced

  6. Ca2 Al2 SiO7 :Ce3+ phosphors for mechanoluminescence dosimetry.

    Science.gov (United States)

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D P; Sao, Sanjay Kumar; Sahu, Ishwar Prasad

    2016-12-01

    A series of Ce 3+ ion single-doped Ca 2 Al 2 SiO 7 phosphors was synthesized by a combustion-assisted method at an initiating temperature of 600 °C. The samples were annealed at 1100 °C for 3 h and their X-ray diffraction patterns confirmed a tetragonal structure. The phase structure, particle size, surface morphology and elemental analysis were analyzed using X-ray diffraction (XRD), transmission electron microscope (TEM), scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) spectroscopy techniques. Thermoluminescence (TL) intensity increased with increase in ultraviolet (UV) light exposure time up to 15 min. With further increase in the UV irradiation time the TL intensity decreases. The increase in TL intensity indicates that trap concentration increased with UV exposure time. A broad peak at 121 °C suggested the existence of a trapping level. The peak of mechanoluminescence (ML) intensity versus time curve increased linearly with increasing impact velocity of the moving piston. Mechanoluminescence intensity increased with increase in UV irradiation time up to 15 min. Under UV-irradiation excitation, the TL and ML emission spectra of Ca 2 Al 2 SiO 7 :Ce 3+ phosphor showed the characteristic emission of Ce 3+ peaking at 400 nm (UV-violet) and originating from the Ce 3+ transitions of 5d-4f ( 2 F 5/2 and 2 F 7/2 ). The photoluminescence (PL) emission spectra for Ca 2 Al 2 SiO 7 :Ce 3+ were similar to the ML/TL emission spectra. The mechanism of ML excitation and the suitability of the Ca 2 Al 2 SiO 7 :Ce 3+ phosphor for radiation dosimetry are discussed. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  7. High Temperature Corrosion of Silicon Carbide and Silicon Nitride in Water Vapor

    Science.gov (United States)

    Opila, E. J.; Robinson, Raymond C.; Cuy, Michael D.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    Silicon carbide (SiC) and silicon nitride (Si3N4) are proposed for applications in high temperature combustion environments containing water vapor. Both SiC and Si3N4 react with water vapor to form a silica (SiO2) scale. It is therefore important to understand the durability of SiC, Si3N4 and SiO2 in water vapor. Thermogravimetric analyses, furnace exposures and burner rig results were obtained for these materials in water vapor at temperatures between 1100 and 1450 C and water vapor partial pressures ranging from 0.1 to 3.1 atm. First, the oxidation of SiC and Si3N4 in water vapor is considered. The parabolic kinetic rate law, rate dependence on water vapor partial pressure, and oxidation mechanism are discussed. Second, the volatilization of silica to form Si(OH)4(g) is examined. Mass spectrometric results, the linear kinetic rate law and a volatilization model based on diffusion through a gas boundary layer are discussed. Finally, the combined oxidation and volatilization reactions, which occur when SiC or Si3N4 are exposed in a water vapor-containing environment, are presented. Both experimental evidence and a model for the paralinear kinetic rate law are shown for these simultaneous oxidation and volatilization reactions.

  8. Criticality characteristics of mixtures of plutonium, silicon dioxide, Nevada tuff, and water

    International Nuclear Information System (INIS)

    Sanchez, R.G.; Myers, W.; Stratton, W.

    1996-01-01

    The major objective of this study has been to examine the possibility of a nuclear explosion should 50 to 100 kg of plutonium be mixed with SiO 2 , vitrified, placed within a heavy steel container, and buried in the material known as Nevada tuff. To accomplish this objective, the authors have created a survey of critical states or configurations of mixtures of plutonium, SiO 2 , tuff, and water and examined these data to determine those configurations that might be unstable or autocatalytic. They have identified regions of criticality instability with the possibility of autocatalytic power behavior. Autocatalytic behavior is possible but improbable, for a very limited range of wet systems

  9. Raman and optical absorption spectroscopic investigation of Yb-Er codoped phosphate glasses containing SiO2

    Institute of Scientific and Technical Information of China (English)

    Youkuo Chen; Lei Wen; Lili Hu; Wei Chen; Y. Guyot; G. Boulon

    2009-01-01

    Yb-Er codoped Na2O-Al2O3-P2Os-xSiO2 glasses containing 0 鈥? 20 mol% SiO2 were prepared successfully. The addition of SiO2 to the phosphate glass not only lengthens the bond between P5+ and non-bridging oxygen but also reduces the number of P=O bond. In contrast with silicate glass in which there is only four-fold coordinated Si4+, most probably there coexist [SiO4] tetrahedron and [SiO6] octahedron in our glasses. Within the range of 0 鈥? 20 mol% SiO2 addition, the stimulated emission cross-section of Er3+ ion only decreases no more than 10%. The Judd-Ofelt intensity parameters of Er3+, 惟.2 does not change greatly, but 惟74 and 惟6 decrease obviously with increasing SiO2 addition, because the bond between Er + and O2- is more strongly covalently bonded.

  10. SiO2 stabilized Pt/C cathode catalyst for proton exchange membrane fuel cells

    International Nuclear Information System (INIS)

    Zhu Tong; Du Chunyu; Liu Chuntao; Yin Geping; Shi Pengfei

    2011-01-01

    This paper describes the preparation of SiO 2 stabilized Pt/C catalyst (SiO 2 /Pt/C) by the hydrolysis of alkoxysilane, and examines the possibility that the SiO 2 /Pt/C is used as a durable cathode catalyst for proton exchange membrane fuel cells (PEMFCs). TEM and XRD results revealed that the hydrolysis of alkoxysilane did not significantly change the morphology and crystalline structure of Pt particles. The SiO 2 /Pt/C catalyst exhibited higher durability than the Pt/C one, due to the facts that the silica layers covered were beneficial for reducing the Pt aggregation and dissolution as well as increasing the corrosion resistance of supports, although the benefit of silica covering was lower than the case of Pt/CNT catalyst. Also, it was observed that the activity of the SiO 2 /Pt/C catalyst for the oxygen reduction reaction was somewhat reduced compared to the Pt/C one after the silica covering. This reduction was partially due to the low oxygen kinetics as revealed by the rotating-disk-electrode measurement. Silica covering by hydrolysis of only 3-aminopropyl trimethoxysilane is able to achieve a good balance between the durability and activity, leading to SiO 2 /Pt/C as a promising cathode catalyst for PEMFCs.

  11. Recent Progress in the Development of Supercritical Carbon Dioxide-Soluble Metal Ion Extractants: Aggregation, Extraction, and Solubility Properties of Silicon-Substituted Alkylenediphosphonic Acids

    Energy Technology Data Exchange (ETDEWEB)

    Dietz, Mark L.; McAlister, Daniel R.; Stepinski, Dominique C.; Zalupski, Peter R.; Dzilawa, Julie A.; Barrans, Richard E.; Hess, J.N.; Rubas, Audris V.; Chiarizia, Renato; Lubbers, Christopher M.; Scurto, Aaron M.; Brennecke, Joan F.; Herlinger, Albert W.

    2003-09-11

    Partially esterified alkylenediphosphonic acids (DPAs) have been shown to be effective reagents for the extraction of actinide ions from acidic aqueous solution into conventional organic solvents. Efforts to employ these compounds in supercritical fluid extraction have been hampered by their modest solubility in unmodified supercritical carbon dioxide (SC-CO2). In an effort to design DPAs that are soluble in SC-CO2, a variety of silicon-substituted alkylenediphosphonic acids have been prepared and characterized, and their behavior compared with that of conventional alkyl-substituted reagents. Silicon substitution is shown to enhance the CO2-philicity of the reagents, while other structural features, in particular, the number of methylene groups bridging the phosphorus atoms of the extractant, are shown to exert a significant influence on their aggregation and extraction properties. The identification of DPAs combining desirable extraction properties with adequate solubility in SC-CO2 is shown to be facilitated by the application of molecular connectivity indices.

  12. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  13. Adsorption of uranyl in SiO2 porous glass

    International Nuclear Information System (INIS)

    Benedetto, F. E.; Prado, M. O.

    2013-01-01

    Vitreous SiO 2 porous matrices can be used in many applications involving the uptake of chemical species on its solid surface. In this work, vitreous silica sponges were prepared from a sodium borosilicate glass manufactured in our laboratory. The product obtained was then separated into phases with subsequent leaching of the soluble phase rich in B and Na. The resulting porous matrices have a specific surface of 35 m2/gr. Adsorption of uranyl ions onto the SiO 2 porous surface was studied to evaluate the use of this material as a filter for treatment of uranium containing water. The effects of contact time, adsorbent mass and equilibrium concentration of solution were studied. The porous adsorbent exhibits a pseudo-second-order kinetic behavior. The sponges with adsorbed uranium were thermally sealed as a way of U immobilization. Retention of uranium was confirmed during the matrix sealing by TGA. Uranium concentration before and after adsorption tests were made by means of ICP-OES. For uranium concentration of 800 ppm, 72 hours contact time and pH of 3.5, the amount of uranium adsorbed was 21.06 ± 0.02 mg U per gram of vitreous porous SiO 2 . (author)

  14. Probing the formation of silicon nano-crystals (Si-ncs) using variable energy positron annihilation spectroscopy

    Science.gov (United States)

    Knights, A. P.; Bradley, J. D. B.; Hulko, O.; Stevanovic, D. V.; Edwards, C. J.; Kallis, A.; Coleman, P. G.; Crowe, I. F.; Halsall, M. P.; Gwilliam, R. M.

    2011-01-01

    We describe preliminary results from studies of the formation of silicon nano-crystals (Si-ncs) embedded in stoichiometric, thermally grown SiO2 using Variable Energy Positron Annihilation Spectroscopy (VEPAS). We show that the VEPAS technique is able to monitor the introduction of structural damage. In SiO2 through the high dose Si+ ion implantation required to introduce excess silicon as a precursor to Si-nc formation. VEPAS is also able to characterize the rate of the removal of this damage with high temperature annealing, showing strong correlation with photoluminescence. Finally, VEPAS is shown to be able to selectively probe the interface between Si-ncs and the host oxide. Introduction of hydrogen at these interfaces suppresses the trapping of positrons at the interfaces.

  15. Effects of four step sintering on Y2O3: SiO2 nanocomposite

    International Nuclear Information System (INIS)

    Ahlawat, Rachna

    2015-01-01

    Need for high performance materials for advanced applications have led to the development of new concepts in materials design processing and their fabrication. The development of nanocrystalline materials with improved and novel properties is an important turning point in materials research. In present work, we report synthesis and structural characterization of Y 2 O 3 : SiO 2 nanocomposite. Sol-gel technique is being used to prepare-Y 2 O 3 SiO 2 nanocomposite due to its effectiveness in preparing samples with good mixing of starting materials and at relatively low reaction temperature. We have used Y(NO 3 ) 3 .4H 2 O and TEOS as precursors and followed usual approach of sol-gel technique, the final product of Y 2 O 3 : SiO 2 nanocomposite is obtained in the form of powder. The powder samples were sintered at different temperature for different time durations in programmable muffle furnace. The samples were characterized by complementary techniques as X-Ray Diffraction (XRD), Fourier Transforms Infrared Spectroscopy (FTIR) and Transmission Electron Microscopy (TEM). (author)

  16. The electrorheological properties of nano-sized SiO2 particle materials doped with rare earths

    International Nuclear Information System (INIS)

    Liu Yang; Liao Fuhui; Li Junran; Zhang Shaohua; Chen Shumei; Wei Chenguan; Gao Song

    2006-01-01

    Electrorheological (ER) materials of pure SiO 2 and SiO 2 doped with rare earths (RE = Ce, Gd, Y) (non-metallic glasses (silicates)) were prepared using Na 2 SiO 3 and RECl 3 as starting materials. The electrorheological properties are not enhanced by all rare earth additions. The material doped with Ce exhibits the best ER performance

  17. A facile approach to fabricate Au nanoparticles loaded SiO2 microspheres for catalytic reduction of 4-nitrophenol

    International Nuclear Information System (INIS)

    Tang, Mingyi; Huang, Guanbo; Li, Xianxian; Pang, Xiaobo; Qiu, Haixia

    2015-01-01

    Hydrophilic and biocompatible macromolecules were used to improve and simplify the process for the fabrication of core/shell SiO 2 @Au composite particles. The influence of polymers on the morphology of SiO 2 @Au particles with different size of SiO 2 cores was analyzed by transmission electron microscopy and scanning electron microscopy. The optical property of the SiO 2 @Au particles was studied with UV–Vis spectroscopy. The results indicate that the structure and composition of macromolecules affect the morphology of Au layers on SiO 2 microspheres. The SiO 2 @Au particles prepared in the presence of polyvinyl alcohol (PVA) or polyvinylpyrrolidone (PVP) have thin and complete Au nanoshells owing to their inducing act in preferential growth of Au nanoparticles along the surface of SiO 2 microspheres. SiO 2 @Au particles can be also prepared from SiO 2 microspheres modified with 3-aminopropyltrimethoxysilane in the presence of PVA or PVP. This offers a simple way to fabricate a Au layer on SiO 2 or other microspheres. The SiO 2 @Au particles demonstrated high catalytic activity in the reduction of 4-nitrophenol. - Highlights: • Facile direct deposition method for Au nanoparticles on silica microspheres. • Influence of different types of macromolecule on the formation of Au shell. • High catalytic performance of Au nanoparticles on silica microspheres

  18. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  19. Luminescence characteristics of Mg2SiO4:Nd

    International Nuclear Information System (INIS)

    Indira, P.; Subrahmanyam, R.V.; Murthy, K.V.R.

    2011-01-01

    Thermoluminescence (TL) properties of Magnesium Ortho silicate (2:1) Mg 2 SiO 4 doped with various concentrations of rare earth (Nd) have been studied. The phosphor material were prepared using standard solid state reaction technique and heated specimens at 1100 ± 20 deg C for two hours. 4% Ammonium chloride was used as flux. The received material was grinded in an agate mortar and pestle. The TL exhibited by the Mg 2 SiO 4 with varying concentration of Nd is interesting in nature. It is interesting to note but as the concentration of Nd increases the peak around 125 deg C TL peak intensity increases. But the hump around 200 deg C resolved as TL peak at 253 deg C with high intensity. (author)

  20. Silicate liquid immiscibility in magmas and in the system K2O-FeO-AI2O3-SiO2: an example of serendipity

    Science.gov (United States)

    Roedder, E.

    1978-01-01

    The concept of silicate liquid immiscibility was invoked early in the history of petrology to explain certain pairs of compositionally divergent rocks, but. as a result of papers by Greig (Am. J. Sci. 13, 1-44, 133-154) and Bowen (The Evolution of the Igneous Rocks), it fell into disfavor for many years. The discovery of immiscibility in geologically reasonable temperature ranges and compositions in experimental work on the system K2O-FeO-Al2O3-SiO2, and of evidence for immiscibility in a variety of lunar and terrestrial rocks, has reinstated the process. Phase equilibria in the high-silica corner of the tetrahedron representing the system K2O- FeO-Al2O3-SiO2 are presented, in the form of constant FeO sections through the tetrahedron, at 10% increments. Those sections, showing the tentative relationships of the primary phase volumes, are based on 5631 quenching runs on 519 compositions, made in metallic iron containers in pure nitrogen. Thirteen crystalline compounds are involved, of which at least six show two or more crystal modifica-tions. Two separate phase volumes, in each of which two immiscible liquids, one iron-rich and the other iron-poor, are present at the liquidus. One of these volumes is entirely within the quaternary system, astride the 1:1 K2O:Al2O3 plane. No quaternary compounds as such have been found, but evidence does point toward at least partial quaternary solid solution, with rapidly lowering liquidus temperatures, from K2O??Al2O3?? 2SiO2 ('potash nepheline', kalsilite. kaliophilite) to the isostructural compound K2O??FeO??3SiO2, and from K2O??Al2O3??4SiO2 (leucite) to the isostructural compound K2O??FeO??5SiO2, Both of these series apparently involve substitution, in tetrahedral coordination. of a ferrous iron and a silicon ion for two aluminum ions. Some of the 'impurities' found in analyses of the natural phases may reflect these substitutions. As a result of the geometry of the immiscibility volume located entirely within the quaternary

  1. Immobilization of the Enzyme Glucose Oxidase on Both Bulk and Porous SiO2 Surfaces

    Directory of Open Access Journals (Sweden)

    Fulvia Sinatra

    2008-09-01

    Full Text Available Silicon dioxide surfaces, both bulk and porous, were used to anchor the enzyme glucose oxidase. The immobilization protocol was optimized and the samples characterized using X-ray Photoelectron Spectroscopy, Energy Dispersive X-rays coupled to scanning electron microscopy and enzymatic activity measurements. We show that a uniform layer was obtained by activating the oxide before immobilization. X-ray Photoelectron Spectroscopy measurements carried out on bulk oxide showed that the silicon substrate signal was fully screened after the enzyme deposition showing the absence of uncovered surface regions. The enzyme presence was detected monitoring both the C 1s and N 1s signals. Finally, enzymatic activity measurements confirmed that the glucose oxidase activity was preserved after immobilization and maintained after three months of shelf life if the sample was properly stored. The importance of using porous silicon oxide to maximize the surface area was also evidenced.

  2. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  3. Visible Light Excited Catalysis and Reusability Performances of TiO2@Pr:Y2SiO5 Upconversion Materials

    Directory of Open Access Journals (Sweden)

    Yan Jiao

    2017-01-01

    Full Text Available To get high efficiency photodegradation on pollutants under visible light, Pr(III doped Y2SiO5 upconversion materials and anatase TiO2 nanofilm coated Pr:Y2SiO5 composite have been prepared by using a sol-gel method. XRD and SEM test results indicated that TiO2 nanofilm was well coated on Pr:Y2SiO5 to form TiO2@Pr:Y2SiO5 composite particles with the sizes of 0.5–1.0 μm. To avoid secondary pollution resulting from incomplete recovery of catalyst particles, TiO2@Pr:Y2SiO5 was loaded on the glass fiber filters by using a dip-coating method. It is found that the catalyst particles were embedded into the carrier firmly, even after having been reused for 6 times. The luminescence intensities of TiO2@Pr:Y2SiO5 were getting down sharply with the coating contents of TiO2 increased, which was attributed to the adsorption of the luminescence by the TiO2 film in situ. As a result, TiO2@Pr:Y2SiO5 with 4% TiO2, which presented lowest luminescence intensity, showed the highest efficiency on the photodegradation of nitrobenzene wastewater. The catalysts loaded on glass fiber filters showed excellent reusability on the photodegradation of nitrobenzene and presented a photodegradation rate of 95% at the first time and up to 75.9% even after 6 times of reusing by the treatment time of 12 h.

  4. Surface passivation and carrier selectivity of the thermal-atomic-layer-deposited TiO2 on crystalline silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Schüler, Nadine; Shkondin, Evgeniy

    2017-01-01

    Here, we demonstrate the use of an ultrathin TiO2 film as a passivating carrier-selective contact for silicon photovoltaics. The effective lifetime, surface recombination velocity, and diode quality dependence on TiO2 deposition temperature with and without a thin tunneling oxide interlayer (SiO2...... heterojunction with optimized photovoltage, interface quality, and electron extraction to maximize the photovoltage of TiO2–Si heterojunction photovoltaic cells are formulated. Diode behaviour was analysed with the help of experimental, analytical, and simulation methods. It is predicted that TiO2 with a high...

  5. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  6. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Ingenito, A.; Verheijen, M.A.; Isabella, O.; Zeman, M.; Kessels, W.M.M.

    2017-01-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly

  7. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    Science.gov (United States)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  8. Hazards of TiO2 and amorphous SiO2 nanoparticles

    NARCIS (Netherlands)

    Reijnders, L.; Kahn, H.A.; Arif, I.A.

    2012-01-01

    TiO2 and amorphous SiO2 nanoparticles have been described as ‘safe’, ‘non-toxic’ and ‘environment friendly’ in scientific literature. However, though toxicity data are far from complete, there is evidence that these nanoparticles are hazardous. TiO2 nanoparticles have been found hazardous to humans

  9. Silicon dioxide obtained by Polymeric Precursor Method

    International Nuclear Information System (INIS)

    Oliveira, C.T.; Granado, S.R.; Lopes, S.A.; Cavalheiro, A.A.

    2011-01-01

    The Polymeric Precursor Method is able for obtaining several oxide material types with high surface area even obtained in particle form. Several MO 2 oxide types such as titanium, silicon and zirconium ones can be obtained by this methodology. In this work, the synthesis of silicon oxide was monitored by thermal analysis, XRD and surface area analysis in order to demonstrate the influence of the several synthesis and calcining parameters. Surface area values as higher as 370m2/g and increasing in the micropore volume nm were obtained when the material was synthesized by using ethylene glycol as polymerizing agent. XRD analysis showed that the material is amorphous when calcinated at 600°C in despite of the time of calcining, but the material morphology is strongly influenced by the polymeric resin composition. Using Glycerol as polymerizing agent, the pore size increase and the surface area goes down with the increasing in decomposition time, when compared to ethylene glycol. (author)

  10. Co-electrospinning fabrication and photocatalytic performance of TiO2/SiO2 core/sheath nanofibers with tunable sheath thickness

    International Nuclear Information System (INIS)

    Cao, Houbao; Du, Pingfan; Song, Lixin; Xiong, Jie; Yang, Junjie; Xing, Tonghai; Liu, Xin; Wu, Rongrong; Wang, Minchao; Shao, Xiaoli

    2013-01-01

    Graphical abstract: - Highlights: • The core–sheath TiO 2 /SiO 2 nanofibers were fabricated by co-electrospinning technique. • The catalytic property of nanofibers with different sheath thickness was studied. • The potential methods of improving catalytic efficiency are suggested. - Abstract: In this paper, core/sheath TiO 2 /SiO 2 nanofibers with tunable sheath thickness were directly fabricated via a facile co-electrospinning technique with subsequent calcination at 500 °C. The morphologies and structures of core/sheath TiO 2 /SiO 2 nanofibers were characterized by TGA, FESEM, TEM, FTIR, XPS and BET. It was found that the 1D core/sheath nanofibers are made up of anatase–rutile TiO 2 core and amorphous SiO 2 sheath. The influences of SiO 2 sheath and its thickness on the photoreactivity were evaluated by observing photo-degradation of methylene blue aqueous solution under the irradiation of UV light. Compared with pure TiO 2 nanofibers, the core/sheath TiO 2 /SiO 2 nanofibers performed a better catalytic performance. That was attributed to not only efficient separation of hole–electron pairs resulting from the formation of heterojunction but also larger surface area and surface silanol group which will be useful to provide higher capacity for oxygen adsorption to generate more hydroxyl radicals. And the optimized core/sheath TiO 2 /SiO 2 nanofibers with a sheath thickness of 37 nm exhibited the best photocatalytic performance

  11. Quality Tests of Double-Sided Silicon Strip Detectors

    CERN Document Server

    Cambon, T; CERN. Geneva; Fintz, P; Guillaume, G; Jundt, F; Kuhn, C; Lutz, Jean Robert; Pagès, P; Pozdniakov, S; Rami, F; Sparavec, K; Dulinski, W; Arnold, L

    1997-01-01

    The quality of the SiO2 insulator (AC coupling between metal and implanted strips) of double-sided Silicon strip detectors has been studied by using a probe station. Some tests performed on 23 wafers are described and the results are discussed. Remark This note seems to cause problems with ghostview but it can be printed without any problem.

  12. Silicon isotope ratio measurements by inductively coupled plasma tandem mass spectrometry for alteration studies of nuclear waste glasses.

    Science.gov (United States)

    Gourgiotis, Alkiviadis; Ducasse, Thomas; Barker, Evelyne; Jollivet, Patrick; Gin, Stéphane; Bassot, Sylvain; Cazala, Charlotte

    2017-02-15

    High-level, long-lived nuclear waste arising from spent fuel reprocessing is vitrified in silicate glasses for final disposal in deep geologic formations. In order to better understand the mechanisms driving glass dissolution, glass alteration studies, based on silicon isotope ratio monitoring of 29 Si-doped aqueous solutions, were carried out in laboratories. This work explores the capabilities of the new type of quadrupole-based ICP-MS, the Agilent 8800 tandem quadrupole ICP-MS/MS, for accurate silicon isotope ratio determination for alteration studies of nuclear waste glasses. In order to avoid silicon polyatomic interferences, a new analytical method was developed using O 2 as the reaction gas in the Octopole Reaction System (ORS), and silicon isotopes were measured in mass-shift mode. A careful analysis of the potential polyatomic interferences on SiO + and SiO 2 + ion species was performed, and we found that SiO + ion species suffer from important polyatomic interferences coming from the matrix of sample and standard solutions (0.5M HNO 3 ). For SiO 2 + , no interferences were detected, and thus, these ion species were chosen for silicon isotope ratio determination. A number of key settings for accurate isotope ratio analysis like, detector dead time, integration time, number of sweeps, wait time offset, memory blank and instrumental mass fractionation, were considered and optimized. Particular attention was paid to the optimization of abundance sensitivity of the quadrupole mass filter before the ORS. We showed that poor abundance sensitivity leads to a significant shift of the data away from the Exponential Mass Fractionation Law (EMFL) due to the spectral overlaps of silicon isotopes combined with different oxygen isotopes (i.e. 28 Si 16 O 18 O + , 30 Si 16 O 16 O + ). The developed method was validated by measuring a series of reference solutions with different 29 Si enrichment. Isotope ratio trueness, uncertainty and repeatability were found to be

  13. Stability of trapped electrons in SiO2

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Flament, O.; Leray, J.L.

    1998-01-01

    Electron trapping near the Si/SiO 2 interface plays a crucial role in mitigating the response of MOS devices to ionizing radiation or high-field stress. These electrons offset positive charge due to trapped holes, and can be present at densities exceeding 10 12 cm -2 in the presence of a similar density of trapped positive charge. The nature of the defects that serve as hosts for trapped electrons in the near-interfacial SiO 2 is presently unknown, although there is compelling evidence that these defects are often intimately associated with trapped holes. This association is depicted most directly in the model of Lelis et al., which suggests that trapped electrons and holes occupy opposite sides of a compensated E center in SiO 2 . Charge exchange between electron traps and the Si can occur over a wide range of time scales, depending on the trap depth and location relative to the Si/SiO 2 interface. Here the authors report a detailed study of the stability of electron traps associated with trapped holes near the Si/SiO 2 interface

  14. Theory of Al2O3 incorporation in SiO2

    DEFF Research Database (Denmark)

    Lægsgaard, Jesper

    2002-01-01

    Different possible forms of Al2O3 units in a SiO2 network are studied theoretically within the framework of density-functional theory. Total-energy differences between the various configurations are obtained, and simple thermodynamical arguments are used to provide an estimate of their relative...

  15. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  16. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  17. Effects of fillers on the properties of liquid silicone rubbers (LSRs)

    DEFF Research Database (Denmark)

    Yu, Liyun; Vudayagiri, Sindhu; Zakaria, Shamsul Bin

    low viscosities, which is favorable for loading of inorganic fillers [5]. In this study, commercially available fillers, such as fumed silica (SiO2), titanium dioxide (TiO2), barium titanate (BaTiO3), copper calcium titanate (CaCu3Ti4O12, CCTO), multi-walled carbon nanotubes (MWCNTs) were added...

  18. Crystallization and chemical durability of glasses in the system Bi2O3-SiO2

    International Nuclear Information System (INIS)

    Fredericci, C.

    2011-01-01

    The crystallization of the Bi 2 O 3 -SiO 2 -TiO 2 -Al 2 O 3 -Na 2 O-K 2 O and Bi 2 O 3 -SiO 2 -ZnO-Al 2 O 3 -B 2 O 3 -Na 2 O glasses was studied using glass samples prepared by traditional melt-quench method. Differential thermal analysis (DTA) curves suggested that surface crystallization played a major role in the crystallization of the glass samples. X-ray diffraction (XRD) analysis revealed the crystallization of bismuth silicate for both glasses and bismuth silicate and zinc silicate for the glass containing ZnO. Through scanning electron microscopy (MEV) and energy dispersive spectroscopy (EDS), it was possible to observe that the crystals of zinc silicate (Zn 2 SiO 4 ) were readily attacked by hot 0,1 N sulfuric acid, whereas bismuth silicate crystals were more resistant to acidic attack etching. (author)

  19. Characterizing the effects of free carriers in fully etched, dielectric-clad silicon waveguides

    Science.gov (United States)

    Sharma, Rajat; Puckett, Matthew W.; Lin, Hung-Hsi; Vallini, Felipe; Fainman, Yeshaiahu

    2015-06-01

    We theoretically characterize the free-carrier plasma dispersion effect in fully etched silicon waveguides, with various dielectric material claddings, due to fixed interface charges and trap states at the silicon-dielectric interfaces. The values used for these charges are obtained from the measured capacitance-voltage characteristics of SiO2, SiNx, and Al2O3 thin films deposited on silicon substrates. The effect of the charges on the properties of silicon waveguides is then calculated using the semiconductor physics tool Silvaco in combination with the finite-difference time-domain method solver Lumerical. Our results show that, in addition to being a critical factor in the analysis of such active devices as capacitively driven silicon modulators, this effect should also be taken into account when considering the propagation losses of passive silicon waveguides.

  20. Acousto-optical and SAW propagation characteristics of temperature stable multilayered structures based on LiNbO3 and diamond

    Science.gov (United States)

    Shandilya, Swati; Sreenivas, K.; Gupta, Vinay

    2008-01-01

    Theoretical studies on the surface acoustic wave (SAW) properties of c-axis oriented LiNbO3/IDT/diamond and diamond/IDT/128° rotated Y-X cut LiNbO3 multilayered structures have been considered. Both layered structures exhibit a positive temperature coefficient of delay (TCD) characteristic, and a zero TCD device is obtained after integrating with an over-layer of either tellurium dioxide (TeO2) or silicon dioxide (SiO2). The presence of a TeO2 over-layer enhanced the electromechanical coupling coefficients of both multilayered structures, which acts as a better temperature compensation layer than SiO2. The temperature stable TeO2/LiNbO3/IDT/diamond layered structure exhibits good electromechanical coefficient and higher phase velocity for SAW device applications. On the other hand, a high acousto-optical (AO) figure of merit (30-37) × 10-15 s3 kg-1 has been obtained for the temperature stable SiO2/diamond/IDT/LiNbO3 layered structure indicating a promising device structure for AO applications.

  1. Acousto-optical and SAW propagation characteristics of temperature stable multilayered structures based on LiNbO3 and diamond

    International Nuclear Information System (INIS)

    Shandilya, Swati; Sreenivas, K; Gupta, Vinay

    2008-01-01

    Theoretical studies on the surface acoustic wave (SAW) properties of c-axis oriented LiNbO 3 /IDT/diamond and diamond/IDT/128 0 rotated Y-X cut LiNbO 3 multilayered structures have been considered. Both layered structures exhibit a positive temperature coefficient of delay (TCD) characteristic, and a zero TCD device is obtained after integrating with an over-layer of either tellurium dioxide (TeO 2 ) or silicon dioxide (SiO 2 ). The presence of a TeO 2 over-layer enhanced the electromechanical coupling coefficients of both multilayered structures, which acts as a better temperature compensation layer than SiO 2 . The temperature stable TeO 2 /LiNbO 3 /IDT/diamond layered structure exhibits good electromechanical coefficient and higher phase velocity for SAW device applications. On the other hand, a high acousto-optical (AO) figure of merit (30-37) x 10 -15 s 3 kg -1 has been obtained for the temperature stable SiO 2 /diamond/IDT/LiNbO 3 layered structure indicating a promising device structure for AO applications

  2. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  3. Si nanocrystals embedded in SiO2: Optical studies in the vacuum ultraviolet range

    DEFF Research Database (Denmark)

    Pankratov, V.; Osinniy, Viktor; Kotlov, A.

    2011-01-01

    done. It is demonstrated that the experimentally determined blueshift of the photoluminescence excitation and absorption spectra is larger than the theoretical predictions. The influence of point defects in the SiO2 matrix on the optical and luminescence properties of the embedded Si nanocrystals...... is discussed. Moreover, it is demonstrated that no energy transfer takes place between the SiO2 and Si nanocrystals when the excitation energy is higher than the band-to-band transition energy in SiO2....

  4. Robust Fluorine-Free Superhydrophobic Amino-Silicone Oil/SiO2 Modification of Electrospun Polyacrylonitrile Membranes for Waterproof-Breathable Application.

    Science.gov (United States)

    Sheng, Junlu; Xu, Yue; Yu, Jianyong; Ding, Bin

    2017-05-03

    Superhydrophobic waterproof-breathable membranes have attracted considerable interest owing to their multifunctional applications in self-cleaning, anti-icing, anticorrosion, outdoor tents, and protective clothing. Despite the researches pertaning to the construction of superhydrophobic functional membranes by nanoparticle finishing have increased drastically, the disconnected particle component is easy to fall off from the membranes under deformation and wear conditions, which has restricted their wide use in practice. Here, robust superhydrophobic microporous membranes were prepared via a facile and environmentally friendly strategy by dip-coating amino-silicone oil (ASO) onto the electrospun polyacrylonitrile (PAN) membranes, followed by SiO 2 nanoparticles (SiO 2 NPs) blade coating. Compared with hydrophilic PAN membranes, the modified membranes exhibited superhydrophobic surface with an advancing water contact angle up to 156°, after introducing ASO as low surface energy substance and SiO 2 NPs as filler to reduce the pore size and construct the multihierarchical rough structure. Varying the concentrations of ASO and SiO 2 NPs systematically, the PAN electrospun membranes modified with 1 wt % ASO and 0.1 wt % SiO 2 NPs were endowed with good water-resistance (74.3 kPa), relative low thermal conductivity (0.0028 W m -1 K -1 ), modest vapor permeability (11.4 kg m -2 d -1 ), and air permeability (20.5 mm s -1 ). Besides, the inorganic-organic hybrid coating of ASO/SiO 2 NPs could maintain its superhydrophobicity even after 40 abrasion cycles. The resulting membranes were found to resist variations on the pH scale from 0 to 12, and retained their water repellent properties when exposed to harsh acidic and alkali conditions. This facile fabrication of durable fluorine-free superhydrophobic membranes simultaneous with good waterproof-breathable performance provides the advantages for potential applications in self-cleaning materials and versatile protective

  5. B2O3/SiO2 substitution effect on structure and properties of Na2O-CaO-SrO-P2O5-SiO2 bioactive glasses from molecular dynamics simulations.

    Science.gov (United States)

    Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng

    2018-05-23

    The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.

  6. Novel silicone elastomer formulations for DEAPs

    DEFF Research Database (Denmark)

    Skov, Anne Ladegaard; Vudayagiri, Sindhu; Benslimane, Mohamed

    2013-01-01

    We demonstrate that the force output and work density of polydimethylsiloxane (PDMS) based dielectric elastomer transducers can be significantly enhanced by the addition of high permittivity titanium dioxide nanoparticles which was also shown by Stoyanov et al[1] for pre-stretched elastomers...... and by Carpi et al for RTV silicones[2]. Furthermore the elastomer matrix is optimized to give very high breakdown strengths. We obtain an increase in the dielectric permittivity of a factor of approximately 2 with a loading of 12% TiO2 particles compared to the pure modified silicone elastomer with breakdown...

  7. Ultralow-density SiO2 aerogels prepared by a two-step sol-gel process

    International Nuclear Information System (INIS)

    Wang Jue; Li Qing; Shen Jun; Zhou Bin; Chen Lingyan; Jiang; Weiyang

    1996-01-01

    Low density SiO 2 gels are prepared by a two-step sol-gel process from TEOS. The influence of various solution ratios on the gelation process is investigated. The comparative characterization of gels using different solvent, such as ethanol, acetone and methyl cyanide, is also given. The ultralow-density SiO 2 aerogels with density less than 10 kg/m 3 are prepared by CO 2 supercritical drying technique. The structure difference between SiO 2 aerogels prepared by conventional single-step process and the two-step process is also presented

  8. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Directory of Open Access Journals (Sweden)

    Ling Wang

    2017-02-01

    Full Text Available Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms, the highest gain coefficient (1.10 ms·pm2, the maximum Stark splitting manifold of 2F7/2 level (781 cm−1, and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers.

  9. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Science.gov (United States)

    Wang, Ling; Zeng, Huidan; Yang, Bin; Ye, Feng; Chen, Jianding; Chen, Guorong; Smith, Andew T.; Sun, Luyi

    2017-01-01

    Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms), the highest gain coefficient (1.10 ms·pm2), the maximum Stark splitting manifold of 2F7/2 level (781 cm−1), and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers. PMID:28772601

  10. Modulation Doping of Silicon using Aluminium-induced Acceptor States in Silicon Dioxide

    OpenAIRE

    K?nig, Dirk; Hiller, Daniel; Gutsch, Sebastian; Zacharias, Margit; Smith, Sean

    2017-01-01

    All electronic, optoelectronic or photovoltaic applications of silicon depend on controlling majority charge carriers via doping with impurity atoms. Nanoscale silicon is omnipresent in fundamental research (quantum dots, nanowires) but also approached in future technology nodes of the microelectronics industry. In general, silicon nanovolumes, irrespective of their intended purpose, suffer from effects that impede conventional doping due to fundamental physical principles such as out-diffusi...

  11. Enhancement of photocatalytic properties of TiO2 nanoparticles doped with CeO2 and supported on SiO2 for phenol degradation

    International Nuclear Information System (INIS)

    Hao, Chunjing; Li, Jing; Zhang, Zailei; Ji, Yongjun; Zhan, Hanhui; Xiao, Fangxing; Wang, Dan; Liu, Bin; Su, Fabing

    2015-01-01

    Highlights: • CeO 2 -TiO 2 /SiO 2 composites were prepared via a facile co-precipitation method. • Introduction of SiO 2 support increases the dispersion of CeO 2 -TiO 2 . • CeO 2 -TiO 2 /SiO 2 exhibits an enhanced photocatalytic efficiency for phenol degradation. • Ce 3+ /Ce 4+ pair coexisting in CeO 2 improves electron–hole pairs separation efficiency. - Abstract: A series of CeO 2 -TiO 2 and CeO 2 -TiO 2 /SiO 2 composites were prepared with TiCl 4 and Ce (NO 3 ) 3 ·6H 2 O as precursors via a facile co-precipitation method. The obtained samples were characterized by various techniques such as X-ray diffraction (XRD), nitrogen adsorption (N 2 -BET), Fourier transformation infrared spectrum (FT-IR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and UV–Vis spectroscopy measurements. The results indicated that TiO 2 doped with CeO 2 and supported on SiO 2 could reduce the crystallite size, inhibit the phase transformation, enhance the thermal stability, and effectively extend the spectral response from UV to visible range. When applied to the phenol photodegradation on a homemade batch reactor with an external cooling jacket, the CeO 2 -TiO 2 /SiO 2 catalysts exhibited significantly enhanced photodegradation efficiency in comparison with commercial Degussa P25 and CeO 2 -TiO 2 . The unique catalytic properties of CeO 2 -TiO 2 /SiO 2 were ascribed to improved electron–hole pairs separation efficiency and formation of more reactive oxygen species owing to the presence of Ce 3+ /Ce 4+ , as well as high dispersion of active component of CeO 2 -TiO 2 as a result of the introduction of SiO 2 support. Furthermore, the catalysts can be easily recovered from the reaction solution by centrifugation and reused for four cycles without significant loss of activity

  12. Fiber-optic thermometer application of thermal radiation from rare-earth end-doped SiO2 fiber

    International Nuclear Information System (INIS)

    Katsumata, Toru; Morita, Kentaro; Komuro, Shuji; Aizawa, Hiroaki

    2014-01-01

    Visible light thermal radiation from SiO 2 glass doped with Y, La, Ce, Pr, Nd, Eu, Tb, Dy, Ho, Er, Tm, Yb, and Lu were studied for the fiber-optic thermometer application based on the temperature dependence of thermal radiation. Thermal radiations according to Planck's law of radiation are observed from the SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu at the temperature above 1100 K. Thermal radiations due to f-f transitions of rare-earth ions are observed from the SiO 2 fibers doped with Nd, Dy, Ho, Er, Tm, and Yb at the temperature above 900 K. Peak intensities of thermal radiations from rare-earth doped SiO 2 fibers increase sensitively with temperature. Thermal activation energies of thermal radiations by f-f transitions seen in Nd, Dy, Ho, Er, Tm, and Yb doped SiO 2 fibers are smaller than those from SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu. Thermal radiation due to highly efficient f-f transitions in Nd, Dy, Ho, Er, Tm, and Yb ions emits more easily than usual thermal radiation process. Thermal radiations from rare-earth doped SiO 2 are potentially applicable for the fiber-optic thermometry above 900 K

  13. Preparation of Raspberry-like Superhydrophobic SiO2 Particles by Sol-gel Method and Its Potential Applications

    Directory of Open Access Journals (Sweden)

    Xu Gui-Long

    2011-12-01

    Full Text Available Raspberry‐like SiO2 particles with a nano‐micro‐binary structure were prepared by a simple sol‐gel method using tetraethoxysilane (TEOS and methyltriethoxysilane (MTES as precursors. The chemical components and morphology of the SiO2 particles were characterized by Fourier transform infrared spectroscopy (FT‐IR and a Transmission electron microscope (TEM. The surface topography and wetting behaviour of the raspberry‐like SiO2 surface were observed with a Scanning electron microscope (SEM and studied by the water/oil contact angle (CA, respectively. The thermal stability of the prepared SiO2 particles was characterized by TGA analysis. The results show that the highly dispersed SiO2 particles initially prepared by the sol‐gel method turn into raspberry‐like particles with during the aging process. The raspberry‐like SiO2 particles show superhydrophobicity and superoleophilicity across a wide range of pH values. The SiO2 particles were thermally stable up to 475°C, while above this temperature the hydrophobicity decreases and finally becomes superhydrophobic when the temperature reaches 600°C. The raspberry‐like SiO2 particles which were prepared have potential applications in the fields of superhydrophobic surfaces, water‐oil separation, anti‐corrosion and fluid transportation.

  14. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  15. In-situ synthesis of SiO2@MOF composites for high-efficiency removal of aniline from aqueous solution

    Science.gov (United States)

    Han, Tongtong; Li, Caifeng; Guo, Xiangyu; Huang, Hongliang; Liu, Dahuan; Zhong, Chongli

    2016-12-01

    A series of SiO2@aluminum-MOF(MIL-68) composites with different SiO2 loadings have been synthesized by a simple and mild compositing strategy for high-efficiency removal of aniline. As evidenced from SEM and TEM images as well as the particle size distribution, the incorporation of SiO2 can improve the dispersity of MIL-68(Al) in composites, and result in the smaller particle size than that of pristine MIL-68(Al). Besides, the adsorption of aniline over SiO2, MIL-68(Al), the physical mixture of these two materials, and SiO2@MIL-68(Al) composites was investigated comparatively, demonstrating a relatively high adsorption capacity (531.9 mg g-1) of 7% SiO2@MIL-68(Al) towards aniline. Combining the ultrafast adsorption dynamics (reaching equilibrium within 40 s) and great reusability, 7% SiO2@MIL-68(Al) shows excellent adsorption performance. This indicates that the SiO2@MIL-68(Al) composites possess great potential applications as a kind of fascinating adsorbent in water pollution protection.

  16. Micro-discharge noise and radiation damage of silicon microstrip sensors

    International Nuclear Information System (INIS)

    Ohsugi, T.; Iwata, Y.; Ohyama, H.; Ohmoto, T.; Yoshikawa, M.; Handa, T.; Kurino, K.; Fujita, K.; Kitabayashi, H.; Tamura, N.; Hatakenaka, T.; Maeohmichi, M.; Takahata, M.; Nakao, M.; Iwasaki, H.; Kohriki, T.; Terada, S.; Unno, Y.; Takashima, R.; Yamamoto, K.; Yamamura, K.

    1996-01-01

    We have examined experimentally some existing ideas for improving the radiation hardness of silicon microstrip sensors. We confirm that the extended electrode and the deep implant-strip proposed on the basis of simulation studies works effectively to suppress micro-discharge as well as junction breakdown of the bias or guard ring. For an integrated coupling capacitor a double layer structure of SiO 2 and Si 3 N 4 provides better radiation hardness than that of single SiO 2 coupling in our design conditions. The onset voltage of the micro-discharge on the bias/guard ring has been studied for an extended electrode and a floating guard ring. (orig.)

  17. Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres

    Indian Academy of Sciences (India)

    Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres. K C BARICK and D BAHADUR*. Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay,. Mumbai 400 076, India. Abstract. The assembly of superparamagnetic Fe3O4 nanoparticles on submicroscopic SiO2 ...

  18. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  19. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  20. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  1. Silicon Alleviates Nonalcoholic Steatohepatitis by Reducing Apoptosis in Aged Wistar Rats Fed a High-Saturated Fat, High-Cholesterol Diet.

    Science.gov (United States)

    Garcimartín, Alba; López-Oliva, M Elvira; Sántos-López, Jorge A; García-Fernández, Rosa A; Macho-González, Adrián; Bastida, Sara; Benedí, Juana; Sánchez-Muniz, Francisco J

    2017-06-01

    Background: Lipoapoptosis has been identified as a key event in the progression of nonalcoholic fatty liver disease (NAFLD), and hence, antiapoptotic agents have been recommended as a possible effective treatment for nonalcoholic steatohepatitis (NASH). Silicon, included in meat as a functional ingredient, improves lipoprotein profiles and liver antioxidant defenses in aged rats fed a high-saturated fat, high-cholesterol diet (HSHCD). However, to our knowledge, the antiapoptotic effect of this potential functional meat on the liver has never been tested. Objective: This study was designed to evaluate the effect of silicon on NASH development and the potential antiapoptotic properties of silicon in aged rats. Methods: One-year-old male Wistar rats weighing ∼500 g were fed 3 experimental diets containing restructured pork (RP) for 8 wk: 1 ) a high-saturated fat diet, as an NAFLD control, with 16.9% total fat, 0.14 g cholesterol/kg diet, and 46.8 mg SiO 2 /kg (control); 2 ) the HSHCD as a model of NASH, with 16.6% total fat, 16.3 g cholesterol/kg diet, and 46.8 mg SiO 2 /kg [high-cholesterol diet (Chol-C)]; and 3 ) the HSHCD with silicon-supplemented RP with amounts of fat and cholesterol identical to those in the Chol-C diet, but with 750 mg SiO 2 /kg (Chol-Si). Detailed histopathological assessments were performed, and the NAFLD activity score (NAS) was calculated. Liver apoptosis and damage markers were evaluated by Western blotting and immunohistochemical staining. Results: Chol-C rats had a higher mean NAS (7.4) than did control rats (1.9; P silicon substantially affects NASH development in aged male Wistar rats fed an HSHCD by partially blocking apoptosis. These results suggest that silicon-enriched RP could be used as an effective nutritional strategy in preventing NASH. © 2017 American Society for Nutrition.

  2. Effect of Engineered Nanoparticles on Exopolymeric Substances Release from Marine Phytoplankton

    Science.gov (United States)

    Chiu, Meng-Hsuen; Khan, Zafir A.; Garcia, Santiago G.; Le, Andre D.; Kagiri, Agnes; Ramos, Javier; Tsai, Shih-Ming; Drobenaire, Hunter W.; Santschi, Peter H.; Quigg, Antonietta; Chin, Wei-Chun

    2017-12-01

    Engineered nanoparticles (ENPs), products from modern nanotechnologies, can potentially impact the marine environment to pose serious threats to marine ecosystems. However, the cellular responses of marine phytoplankton to ENPs are still not well established. Here, we investigate four different diatom species ( Odontella mobiliensis, Skeletonema grethae, Phaeodactylum tricornutum, Thalassiosira pseudonana) and one green algae ( Dunaliella tertiolecta) for their extracellular polymeric substances (EPS) release under model ENP treatments: 25 nm titanium dioxide (TiO2), 10-20 nm silicon dioxide (SiO2), and 15-30 nm cerium dioxide (CeO2). We found SiO2 ENPs can significantly stimulate EPS release from these algae (200-800%), while TiO2 ENP exposure induced the lowest release. Furthermore, the increase of intracellular Ca2+ concentration can be triggered by ENPs, suggesting that the EPS release process is mediated through Ca2+ signal pathways. With better understanding of the cellular mechanism mediated ENP-induced EPS release, potential preventative and safety measures can be developed to mitigate negative impact on the marine ecosystem.

  3. Impact of silica dioxide nanoparticles on the morphology of internal organs in rats by oral supplementation

    Directory of Open Access Journals (Sweden)

    N.V. Zaitseva

    2016-12-01

    Full Text Available The object of the study was amorphous silica dioxide (SiO 2 , which is widely used as a food additive (E551, a subsidiary component in pharmaceutical preparations, perfumery and cosmetic products etc. In the specification of JECFA silica dioxide does not have information about the size of its particles, which allows the use of fine amorphous SiO 2 , obtained by gas phase hydrolysis of tetrachlorosilane as a food additive. This material, known as the "Aerosil", is characterized by the size of the specific surface area of 300–380 m 2 /g and the size of its relatively weakly agglomerated particles of 6–30 nm, i.e., it is a nanomaterial. In the biological model the morphological changes in organs and tissue systems on oral supplementation of nanoscale particles of silica dioxide were studied. Wistar male rats were given nanosized silica dioxide with specific surface area of 300 m 2 /g and primary nanoparticle size on the basis of data of electrical, atomic-powered microscopy, and dynamic light scattering in the range of 20–60 nm during 92 days. Light microscopic morphological examination of organs of rats showed a relatively mild inflammation in the structure of parenchymal organs (liver, kidney, not showing a certain dose-dependent nanoparticles. The most pronounced changes were in ileum morphology, consisting of a massive lymph macrophage and eosinophil infiltration of villi, without any apparent violation of their epithelial layer structure, which indirectly indicates the absence of violations of the barrier function of the intestinal epithelium. At the maximum dose of 100 mg/kg bw, the increased immune response was the most significant in the wall of the ileum. The results indicate the potential risks to human health when using SiO 2 having a specific surface area of 300 m 2 /g or higher in the composition of food products as a food additive.

  4. Nanopatterned Silicon Substrate Use in Heterojunction Thin Film Solar Cells Made by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Shao-Ze Tseng

    2014-01-01

    Full Text Available This paper describes a method for fabricating silicon heterojunction thin film solar cells with an ITO/p-type a-Si : H/n-type c-Si structure by radiofrequency magnetron sputtering. A short-circuit current density and efficiency of 28.80 mA/cm2 and 8.67% were achieved. Novel nanopatterned silicon wafers for use in cells are presented. Improved heterojunction cells are formed on a nanopatterned silicon substrate that is prepared with a self-assembled monolayer of SiO2 nanospheres with a diameter of 550 nm used as an etching mask. The efficiency of the nanopattern silicon substrate heterojunction cells was 31.49% greater than that of heterojunction cells on a flat silicon wafer.

  5. UV Light-Driven Photodegradation of Methylene Blue by Using Mn0.5Zn0.5Fe2O4/SiO2 Nanocomposites

    Science.gov (United States)

    Indrayana, I. P. T.; Julian, T.; Suharyadi, E.

    2018-04-01

    The photodegradation activity of nanocomposites for 20 ppm methylene blue solution has been investigated in this work. Nanocomposites Mn0.5Zn0.5Fe2O4/SiO2 have been synthesized using coprecipitation method. The X-ray diffraction (XRD) pattern confirmed the formation of three phases in sample Mn0.5Zn0.5Fe2O4/SiO2 i.e., Mn0.5Zn0.5Fe2O4, Zn(OH)2, and SiO2. The appearance of SiO2 phase showed that the encapsulation process has been carried out. The calculated particles size of Mn0.5Zn0.5Fe2O4/SiO2 is greater than Mn0.5Zn0.5Fe2O4. Bonding analysis via vibrational spectra for Mn0.5Zn0.5Fe2O4/SiO2 confirmed the formation of bonds Me-O-Si stretching (2854.65 cm-1) and Si-O-Si asymmetric stretching (1026.13 cm-1). The optical gap energy of Mn0.5Zn0.5Fe2O4/SiO2 was smaller (2.70 eV) than Mn0.5Zn0.5Fe2O4 (3.04 eV) due to smaller lattice dislocation and microstrain that affect their electronic structure. The Mn0.5Zn0.5Fe2O4/SiO2 showed high photodegradation ability due to smaller optical gap energy and the appearance of SiO2 ligand that can easily attract dye molecules. The Mn0.5Zn0.5Fe2O4/SiO2 also showed high degradation activity even without UV light radiation. The result showed that photodegradation reaction doesn’t follow pseudo-first order kinetics.

  6. Experimental study of the organic light emitting diode with a p-type silicon anode

    International Nuclear Information System (INIS)

    Ma, G.L.; Xu, A.G.; Ran, G.Z.; Qiao, Y.P.; Zhang, B.R.; Chen, W.X.; Dai, L.; Qin, G.G.

    2006-01-01

    We have fabricated and studied an organic light emitting diode (OLED) with a p-type silicon anode and a SiO 2 buffer layer between the anode and the organic layers which emits light from a semitransparent top Yb/Au cathode. The luminance of the OLED is up to 5600 cd/m 2 at 17 V and 1800 mA/cm 2 , the current efficiency is 0.31 cd/A. Both its luminance and current efficiency are much higher than those of the OLEDs with silicon as the anodes reported previously. The enhancement of the luminance and efficiency can be attributed to an improved balance between the hole- and electron-injection through two efficient ways: 1) restraining the hole-injection by inserting an ultra-thin SiO 2 buffer layer between the Si anode and the organic layers; and 2) enhancing the electron-injection by using a low work function, low optical reflectance and absorption semitransparent Yb/Au cathode

  7. The oxidation of titanium nitride- and silicon nitride-coated stainless steel in carbon dioxide environments

    International Nuclear Information System (INIS)

    Mitchell, D.R.G.; Stott, F.H.

    1992-01-01

    A study has been undertaken into the effects of thin titanium nitride and silicon nitride coatings, deposited by physical vapour deposition and chemical vapour deposition processes, on the oxidation resistance of 321 stainless steel in a simulated advanced gas-cooled reactor carbon dioxide environment for long periods at 550 o C and 700 o C under thermal-cycling conditions. The uncoated steel contains sufficient chromium to develop a slow-growing chromium-rich oxide layer at these temperatures, particularly if the surfaces have been machine-abraded. Failure of this layer in service allows formation of less protective iron oxide-rich scales. The presence of a thin (3-4 μm) titanium nitride coating is not very effective in increasing the oxidation resistance since the ensuing titanium oxide scale is not a good barrier to diffusion. Even at 550 o C, iron oxide-rich nodules are able to develop following relatively rapid oxidation and breakdown of the coating. At 700 o C, the coated specimens oxidize at relatively similar rates to the uncoated steel. A thin silicon nitride coating gives improved oxidation resistance, with both the coating and its slow-growing oxide being relatively electrically insulating. The particular silicon nitride coating studied here was susceptible to spallation on thermal cycling, due to an inherently weak coating/substrate interface. (Author)

  8. Preparation of TiO2-SiO2 composite photocatalysts for environmental applications

    Czech Academy of Sciences Publication Activity Database

    Paušová, Š.; Krýsa, J.; Jirkovský, Jaromír; Prevot, V.; Mailhot, G.

    2014-01-01

    Roč. 89, č. 8 (2014), s. 1129-1135 ISSN 0268-2575 Institutional support: RVO:61388955 Keywords : photocatalysis * TiO2/SiO2 * composite Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.349, year: 2014

  9. Heterogeneously Catalysed Aldol Reactions in Supercritical Carbon Dioxide as Innovative and Non-Flammable Reaction Medium

    DEFF Research Database (Denmark)

    Musko, Nikolai; Grunwaldt, Jan-Dierk

    2011-01-01

    Aldol reactions of several aldehydes have been investigated over acidic and basic catalysts in supercritical carbon dioxide at 180 bar and 100 °C. Both acidic (Amberlyst-15, tungstosilicic acid (TSA) on SiO2 and MCM-41) and basic (hydrotalcite) materials showed interesting performance in this pre...

  10. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  11. Integrated nanophotonic hubs based on ZnO-Tb(OH3/SiO2 nanocomposites

    Directory of Open Access Journals (Sweden)

    Lin Yu

    2011-01-01

    Full Text Available Abstract Optical integration is essential for practical application, but it remains unexplored for nanoscale devices. A newly designed nanocomposite based on ZnO semiconductor nanowires and Tb(OH3/SiO2 core/shell nanospheres has been synthesized and studied. The unique sea urchin-type morphology, bright and sharply visible emission bands of lanthanide, and large aspect ratio of ZnO crystalline nanotips make this novel composite an excellent signal receiver, waveguide, and emitter. The multifunctional composite of ZnO nanotips and Tb(OH3/SiO2 nanoparticles therefore can serve as an integrated nanophotonics hub. Moreover, the composite of ZnO nanotips deposited on a Tb(OH3/SiO2 photonic crystal can act as a directional light fountain, in which the confined radiation from Tb ions inside the photonic crystal can be well guided and escape through the ZnO nanotips. Therefore, the output emission arising from Tb ions is truly directional, and its intensity can be greatly enhanced. With highly enhanced lasing emissions in ZnO-Tb(OH3/SiO2 as well as SnO2-Tb(OH3/SiO2 nanocomposites, we demonstrate that our approach is extremely beneficial for the creation of low threshold and high-power nanolaser.

  12. Nano-silicon dioxide mitigates the adverse effects of salt stress on Cucurbita pepo L.

    Science.gov (United States)

    Siddiqui, Manzer H; Al-Whaibi, Mohamed H; Faisal, Mohammad; Al Sahli, Abdulaziz A

    2014-11-01

    Research into nanotechnology, an emerging science, has advanced in almost all fields of technology. The aim of the present study was to evaluate the role of nano-silicon dioxide (nano-SiO2 ) in plant resistance to salt stress through improvement of the antioxidant system of squash (Cucurbita pepo L. cv. white bush marrow). Seeds treated with NaCl showed reduced germination percentage, vigor, length, and fresh and dry weights of the roots and shoots. However, nano-SiO2 improved seed germination and growth characteristics by reducing malondialdehyde and hydrogen peroxide levels as well as electrolyte leakage. In addition, application of nano-SiO2 reduced chlorophyll degradation and enhanced the net photosynthetic rate (Pn ), stomatal conductance (gs ), transpiration rate, and water use efficiency. The increase in plant germination and growth characteristics through application of nano-SiO2 might reflect a reduction in oxidative damage as a result of the expression of antioxidant enzymes, such as catalase, peroxidase, superoxide dismutase, glutathione reductase, and ascorbate peroxidase. These results indicate that nano-SiO2 may improve defense mechanisms of plants against salt stress toxicity by augmenting the Pn , gs , transpiration rate, water use efficiency, total chlorophyll, proline, and carbonic anhydrase activity in the leaves of plants. © 2014 SETAC.

  13. Refractories in the Al2O3-ZrO2-SiO2 system

    International Nuclear Information System (INIS)

    Banerjee, S.P.; Bhadra, A.K.; Sircar, N.R.

    1978-01-01

    The effect of addition of ZrO 2 in different proportions in the refractories of the Al 2 O 3 -SiO 2 system was studied. The investigation was confined to two broad ranges of compositions incorporating zirconia (15-30 percent and 80-85 percent) in the Al 2 O 3 -ZrO 2 -SiO 2 system. The overall attainment of properties is dependent upon the mode of fabrication and firing, and bears a relationship with the phase assemblages and the relative proportion thereof. Of the different characteristics, the trend of dissociation of zircon has been found to be specially significant vis-a-vis the temperature of firing and thermal shock resistance. Reassociation of the dissociated products has been ascribed to bring forth improved resistance to thermal spalling. The different products developed during this investigation are considered to be very promising which find useful applications in view of the properties attained by them. (auth.)

  14. Bulk properties and near-critical behaviour of SiO2 fluid

    Science.gov (United States)

    Green, Eleanor C. R.; Artacho, Emilio; Connolly, James A. D.

    2018-06-01

    Rocky planets and satellites form through impact and accretion processes that often involve silicate fluids at extreme temperatures. First-principles molecular dynamics (FPMD) simulations have been used to investigate the bulk thermodynamic properties of SiO2 fluid at high temperatures (4000-6000 K) and low densities (500-2240 kg m-3), conditions which are relevant to protoplanetary disc condensation. Liquid SiO2 is highly networked at the upper end of this density range, but depolymerises with increasing temperature and volume, in a process characterised by the formation of oxygen-oxygen (Odbnd O) pairs. The onset of vaporisation is closely associated with the depolymerisation process, and is likely to be non-stoichiometric at high temperature, initiated via the exsolution of O2 molecules to leave a Si-enriched fluid. By 6000 K the simulated fluid is supercritical. A large anomaly in the constant-volume heat capacity occurs near the critical temperature. We present tabulated thermodynamic properties for silica fluid that reconcile observations from FPMD simulations with current knowledge of the SiO2 melting curve and experimental Hugoniot curves.

  15. Role of masking oxide on silicon in processes of defect generation at formation of SIMOX structures

    CERN Document Server

    Askinazi, A Y; Miloglyadova, L V

    2002-01-01

    One investigated into Si-SiO sub 2 structures formed by implantation of oxygen ions into silicon (SIMOX-technology) by means of techniques based on measuring of high-frequency volt-farad characteristics and by means of electroluminescence. One determined existence of electrically active centres and of luminescence centres in the formed oxide layer near boundary with silicon. One clarified the role SiO sub 2 masking layer in silicon in defect generation under formation of the masked oxide layer. One established dependence of concentration of electrically active and luminescence centres on thickness of masking layer

  16. Microstructural dependence on relevant physical-mechanical properties on SiO2-Na2O-CaO-P2O5 biological glasses.

    Science.gov (United States)

    Rajendran, V; Begum, A Nishara; Azooz, M A; el Batal, F H

    2002-11-01

    Bioactive glasses of the system SiO2-Na2O-CaO-P2O5 have been prepared by the normal melting and annealing technique. The elastic moduli, attenuation, Vickers hardness, fracture toughness and fracture surface energy have been obtained using the known method at room temperature. The temperature dependence of elastic moduli and attenuation measurements have been extended over a wide range of temperature from 150 to 500 K. The SiO2 content dependence of velocities, attenuation, elastic moduli, and other parameters show an interesting observation at 45 wt% of SiO2 by exhibiting an anomalous behaviour. A linear relation is developed for Tg, which explores the influence of Na2O on SiO2-Na2O-CaO-P2O5 bioactive glasses. The measured hardness, fracture toughness and fracture surface energy show a linear relation with Young's modulus. It is also interesting to note that the observed results are functions of polymerisation and the number of non-bridging oxygens (NBO) prevailing in the network with change in SiO2 content. The temperature dependence of velocities, attenuation and elastic moduli show the existence of softening in the glass network structure as temperature increases.

  17. Effect of UV irradiations on the structural and optical features of porous silicon: application in silicon solar cells

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Boujmil, M.F.; Ben Rabha, M.; Bessaies, B.

    2004-01-01

    The aim of this paper is to investigate the structural and optical stability of porous silicon layers (PSLs) planned to be used in silicon solar cells technology. The PSLs were prepared by a HNO 3 /HF vapor etching (VE) based method. Fourier transform infrared (FT-IR) spectroscopy shows that fresh VE-based PSLs contain N-H and Si-F bonds related to a ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 minor phase, and conventional Si-H x and Si-O x bonds. Free air exposures of PSLs without and with UV irradiation lead to oxidation or photo-oxidation of the porous layer, respectively. FT-IR characterisation of the PSLs shows that UV irradiations modify the transformation kinetics replacing instable Si-H x by Si-O x or Si-O-H bonds. When fresh PSLs undergo free air oxidation within 7 days, the surface reflectivity decreases from 10 to about 8%, while it drops to about 4% when a 10 min free air UV irradiation is applied. Long periods of free air oxidation do not ensure the reflectivity to be stable, whereas it becomes stable after only 10 min of UV irradiation. This behaviour was explained taking into account the kinetic differences between oxidation with and without UV irradiation. Fresh VE-based PSLs were found to improve efficiently the photovoltaic (PV) characteristics of crystalline silicon solar cells. The passivating action of VE-based PSLs was discussed. An improvement of the PV performances was observed solely for stable oxidized porous silicon (PS) structures obtained from UV irradiations

  18. Study of oxide facing at silicone detectors of ionization detectors

    International Nuclear Information System (INIS)

    Kopestansky, J.; Tykva, R.

    1999-01-01

    Formation of oxide facing on silicone in discrete phases of technological preparation of detectors and interaction of gold (aluminium) steamed with SiO x layer were studied. The homogeneity of Au and Si) x layers and interface Au-SiO x and SiO x -Si were examined. The methods SIMS, and partially XPS, AES and RBS were used

  19. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  20. The effect of rare earth dopants on the structure, surface texture and photocatalytic properties of TiO2-SiO2 prepared by sol-gel method

    International Nuclear Information System (INIS)

    Mohamed, R.M.; Mkhalid, I.A.

    2010-01-01

    The sol-gel method was successfully used to prepare a series of TiO 2 -SiO 2 and rare earth (RE) (La 3+ , Nd 3+ , Sm 3+ , Gd 3+ )-doped TiO 2 -SiO 2 nanoparticles at a doping level of 3 atomic percent. The structural features of parent TiO 2 -SiO 2 and RE-TiO 2 -SiO 2 fired at 550 o C have been investigated by XRD, UV-diffuse reflection, SEM and nitrogen adsorption measurements at -196 o C. XRD data verified the formation of typical characteristic anatase form in all the prepared RE-doped TiO 2 -SiO 2 samples. In comparison with the pure TiO 2 -SiO 2 samples (ca. 35 nm in diameter), the RE-TiO 2 -SiO 2 samples have relatively small particle size indicating that the doping with RE metal ions can improve the particle morphology, and retard the grain growth of TiO 2 -SiO 2 during heat treatment. The results indicated that Gd 3+ doped TiO 2 -SiO 2 has the lowest bandgap and particle size compared with pure TiO 2 -SiO 2 and other nanoparticles of RE-doped TiO 2 -SiO 2 . The highest surface area (S BET ) and pore volume (V p ) values were recorded for Gd-TiO 2 -SiO 2 as well. The effect of doping on the photoactivity was evaluated by the photocatalytic degradation of EDTA as a probe reaction. Among all the pure and RE-doped TiO 2 -SiO 2 , Gd 3+ -TiO 2 -SiO 2 performed the highest catalytic activity towards the tested reaction. That might be due to its special characteristics of particle size, surface texture and bandgap properties. Details of the synthesis procedure and results of the characterization studies of the produced RE-TiO 2 -SiO 2 are presented in this paper.

  1. Efficient VEGF targeting delivery of DOX using Bevacizumab conjugated SiO2@LDH for anti-neuroblastoma therapy.

    Science.gov (United States)

    Zhu, Rongrong; Wang, Zhaoqi; Liang, Peng; He, Xiaolie; Zhuang, Xizhen; Huang, Ruiqi; Wang, Mei; Wang, Qigang; Qian, Yechang; Wang, Shilong

    2017-11-01

    Vascular endothelial growth factor (VEGF) plays an important role in angiogenesis and is highly expressed in carcinoma, which make it an important target for tumor targeting therapy. Neuroblastoma is the main cause for cancer-related death in children. Like most solid tumors, it is also accompanied with the overexpression of VEGF. Doxorubicin Hydrochloride (DOX), a typical chemotherapeutic agent, exhibits efficient anticancer activities for various cancers. However, DOX, without targeting ability, usually causes severe damage to normal tissues. To overcome the shortages, we designed a novel nano-composite, which is Bevacizumab (Bev) modified SiO 2 @LDH nanoparticles (SiO 2 @LDH-Bev), loading with DOX to achieve targeting ability and curative efficiency. SiO 2 @LDH-DOX and SiO 2 @LDH-Bev-DOX nanoparticles were synthesized and the physicochemical properties were characterized by TEM detection, Zeta potential analysis, FTIR, Raman and XPS analysis. Then in vitro and in vivo anti-neuroblastoma efficiency, targeting ability and mechanisms of anti-carcinoma and anti-angiogenesis of SiO 2 @LDH-Bev-DOX were explored. Our results indicated that we obtained the core-shell structure SiO 2 @LDH-Bev with an average diameter of 253±10nm and the amount of conjugated Bev was 4.59±0.38μg/mg SiO 2 @LDH-Bev. SiO 2 @LDH-Bev-DOX could improve the cellular uptake and the targeting effect of DOX to brain and tumor, enhance the anti-neuroblastoma and anti-angiogenesis efficiency both in vitro and in vivo, and alleviate side effects of DOX sharply, especially hepatic injury. In addition, we also demonstrated that angiogenesis inhibitory effect was mediated by DOX and VEGF triggered signal pathways, including PI3K/Akt, Raf/MEK/ERK, and adhesion related pathways. In summary, SiO 2 @LDH-Bev could be a potential VEGF targeting nanocarrier applied in VEGF positive cancer therapy. This paper explored that a novel core-shell structure nanomaterial SiO 2 @LDH and modified SiO 2 @LDH with

  2. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  3. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  4. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  5. Silicon/HfO2 interface: Effects of proton irradiation

    International Nuclear Information System (INIS)

    Maurya, Savita; Radhakrishna, M.

    2015-01-01

    Substrate oxide interfaces are of paramount importance in deciding the quality of the semiconductor devices. In this work we have studied how 200 keV proton irradiation affects the interface of a 13 nm thick, atomic layer deposited hafnium dioxide on silicon substrate. Pre- and post-irradiation electrical measurements are used to quantify the effect of proton irradiation for varying electrode geometries. Proton irradiation introduces positive charge in the oxide and at the interface of Si/HfO 2 interface. The gate current is not very much affected under positive injection since the induced positive charge is compensated by the injected electrons. Current voltage characteristics under negative bias get affected by the proton irradiation

  6. Improvement of cesium retention in uranium dioxide by additional phases

    International Nuclear Information System (INIS)

    Gamaury Dubois, S.

    1995-01-01

    The objective of this study is to improve the cesium retention in nuclear fuel. A bibliographic survey indicates that cesium is rapidly released from uranium dioxide in an accident condition. At temperatures higher than 1500 deg C or in oxidising conditions, our experiments show the difficulty of maintaining cesium inside simulated fuel. Two ternary systems are potentially interesting for the retention of cesium and to reduce the kinetics of release from the fuel: Cs 2 O-Al 2 O 3 -SiO 2 et Cs 2 O-ZrO 2 -SO 2 . The compounds CsAISi 2 O 6 and Cs 2 ZrSi 6 O 15 were studied from 1200 deg C to 2000 deg C by thermogravimetric analysis. The volumetric diffusion coefficients of cesium in these structures, in solid state as well as in liquid one, were measured. A fuel was sintered with (Al 2 O 3 + SiO 2 ) or (ZrO 2 + SiO 2 ) and the intergranular phase was characterized. In the presence of (Al 2 O 3 + SiO 2 ), the sintering is realized at 1610 deg C in H 2 . It is a liquid phase sintering. On the other end, with (ZrO 2 + SiO 2 ), the sintering is a low temperature one in oxidising atmosphere. Finally, cesium containing simulated fuels were produced with these additives. According to the effective diffusion coefficients that were measured, the additives improved the retention of cesium. We have predicted the improvement that could be hoped for in a nuclear reactor, depending on the dispersion of the intergranular additives, the temperature and the degree of oxidation of the UO 2+x . We wait for a factor of 2 for x=0 and more than 8 for x=0.05, up to 2000 deg C. (author). 148 refs., 122 figs., 34 tabs

  7. The improvement of SiO2 nanotubes electrochemical behavior by hydrogen atmosphere thermal treatment

    Science.gov (United States)

    Spataru, Nicolae; Anastasescu, Crina; Radu, Mihai Marian; Balint, Ioan; Negrila, Catalin; Spataru, Tanta; Fujishima, Akira

    2018-06-01

    Highly defected SiO2 nanotubes (SiO2-NT) were obtained by a simple sol-gel procedure followed by calcination. Boron-doped diamond (BDD) polycrystalline films coated with SiO2-NT were used as working electrodes and, unexpectedly, cyclic voltammetric experiments have shown that the concentration of both positive and negative defects at the surface is high enough to enable redox processes involving positively charged Ru(bpy)32+/3+ to occur. Conversely, no electrochemical activity was put into evidence for Fe(CN)63-/4- species, most likely as a result of the strong electrostatic repulsion exerted by the negatively charged SiO2 surface. The concentration of surface defects was further increased by a subsequent thermal treatment in a hydrogen atmosphere which, as EIS measurements have shown, significantly promotes Ru(bpy)32+ anodic oxidation. Digital simulation of the voltammetric responses demonstrated that this treatment does not lead to a similar increase of the number of electron-donor sites. It was also found that methanol anodic oxidation at hydrogenated SiO2-NT-supported platinum results in Tafel slopes of 116-220 mV decade-1, comparable to those reported for both conventional PtRu and Pt-oxide catalysts.

  8. Calcite Phase Conversion Prediction Model for CaO-Al2O3-SiO2 Slag: An Aqueous Carbonation Process at Ambient Pressure

    Science.gov (United States)

    Zhang, Huining; Dong, Jianhong; Li, Hui; Xiong, Huihui; Xu, Anjun

    2018-06-01

    To evaluate the effect of the mineralogical phase on carbonation efficiency for CaO-Al2O3-SiO2 slag, a calcite phase conversion prediction model is proposed. This model combines carbon dioxide solubility with carbonation reaction kinetic analysis to improve the prediction capability. The effect of temperature and carbonation time on the carbonation degree is studied in detail. Results show that the reaction rate constant ranges from 0.0135 h-1 to 0.0458 h-1 and that the mineralogical phase contribution sequence for the carbonation degree is C2S, CaO, C3A and CS. The model accurately predicts the effect of temperature and carbonation time on the simulated calcite conversion, and the results agree with the experimental data. The optimal carbonation temperature and reaction time are 333 K and 90 min, respectively. The maximum carbonation efficiency is about 184.3 g/kg slag, and the simulation result of the calcite phase content in carbonated slag is about 20%.

  9. End-functional silicone coupling agent modified PEO/P(VDF-HFP)/SiO2 nanocomposite polymer electrolyte DSSC

    International Nuclear Information System (INIS)

    Zhang Jing; Yang Ying; Wu Sujuan; Xu Sheng; Zhou Conghua; Hu Hao; Chen Bolei; Xiong Xiaodong; Sebo, Bobby; Han Hongwei; Zhao Xingzhong

    2008-01-01

    The end-functional silicone coupling agent (dodecyl-trimethoxysilane, DTMS for short) was used to modify the PEO/P(VDF-HFP)/SiO 2 nanocomposite polymer electrolyte (CPE) and the different amounts of DTMS modification effects were studied. The experiments showed the silicone coupling agent with hydrophobic alkyl chains (-C 12 H 25 ) chemically engineered on the SiO 2 nanoparticles, and formed a Si-O-Si cross-linked network in the new nanocomposite polymer electrolyte. Proper content of DTMS modified CPE exhibited improved ionic conductivity and the connection with the photoanode and counter electrode. However, much higher content of the DTMS modification changed the conformation of the polymer network and reduced the ionic movement. Compared with the performance (3.84%) of the original DSSC, the DSSC with functional silicone coupling agent modified CPE (DTMS:SiO 2 = 2:1, mol ratio) exhibited improved J sc (7.94 mA cm -2 ), V oc (0.624 V) and optimal efficiency (5.2%) (measured at AM1.5, light intensity of 58.4 mW cm -2 ). The V oc of the silicone coupling agent modified polymer electrolyte DSSC is obviously improved, which is mainly due to that the hydrophobic alkyl chain end groups formed an insulating layer that retarded the electron recombination at the TiO 2 nanoporous photoanode/polymer electrolyte interface. The DTMS:SiO 2 = 2:1 modified CPE type DSSC exhibited a performance of 6.42% at a light intensity of 32.1 mW cm -2 and 4.94% at 99.2 mW cm -2

  10. Anionic silicate organic frameworks constructed from hexacoordinate silicon centres

    Science.gov (United States)

    Roeser, Jérôme; Prill, Dragica; Bojdys, Michael J.; Fayon, Pierre; Trewin, Abbie; Fitch, Andrew N.; Schmidt, Martin U.; Thomas, Arne

    2017-10-01

    Crystalline frameworks composed of hexacoordinate silicon species have thus far only been observed in a few high pressure silicate phases. By implementing reversible Si-O chemistry for the crystallization of covalent organic frameworks, we demonstrate the simple one-pot synthesis of silicate organic frameworks based on octahedral dianionic SiO6 building units. Clear evidence of the hexacoordinate environment around the silicon atoms is given by 29Si nuclear magnetic resonance analysis. Characterization by high-resolution powder X-ray diffraction, density functional theory calculation and analysis of the pair-distribution function showed that those anionic frameworks—M2[Si(C16H10O4)1.5], where M = Li, Na, K and C16H10O4 is 9,10-dimethylanthracene-2,3,6,7-tetraolate—crystallize as two-dimensional hexagonal layers stabilized in a fully eclipsed stacking arrangement with pronounced disorder in the stacking direction. Permanent microporosity with high surface area (up to 1,276 m2 g-1) was evidenced by gas-sorption measurements. The negatively charged backbone balanced with extra-framework cations and the permanent microporosity are characteristics that are shared with zeolites.

  11. Extrinsic passivation of silicon surfaces for solar cells

    OpenAIRE

    Bonilla, R.S.; Reichel, C.; Hermle, M.; Martins, G.; Wilshaw, P.R.

    2015-01-01

    In the present work we study the extent to which extrinsic chemical and field effect passivation can improve the overall electrical passivation quality of silicon dioxide on silicon. Here we demonstrate that, when optimally applied, extrinsic passivation can produce surface recombination velocities below 1.2 cm/s in planar 1 Omega cm n-type Si. This is largely due to the additional field effect passivation component which reduces the recombination velocity below 2.13 cm/s. On textured surface...

  12. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Science.gov (United States)

    Urbanski, Wiktor; Marycz, Krzysztof; Krzak, Justyna; Pezowicz, Celina; Dragan, Szymon Feliks

    2017-01-01

    Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL)-1, IL-6, and tumor necrosis factor-alpha (TNF-α) are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS) and titanium alloy (Ti6Al4V) biomaterials coated with titanium dioxide (TiO2) and silica (SiO2) coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software) of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues, irrespective of the material used as a substrate, that is, either Ti6Al4V or SS. This suggests lower inflammatory response, which directly points out improvement of materials’ biocompatibility. PMID:28280331

  13. Solvent-free nanofluid with three structure models based on the composition of MWCNTs/SiO2 core and its adsorption capacity of CO2.

    Science.gov (United States)

    Yang, Ruilu; Zheng, Yaping; Wang, Tianyu; Li, Peipei; Wang, Yudeng; Yao, Dongdong; Chen, Lixin

    2017-11-26

    A series of core/shell nanoparticle organic/inorganic hybrid materials (NOHMs) with different weight ratios of two components, consisting of multi-walled carbon nanotubes (MWCNTs) and silicon dioxide (SiO2) as the core had been synthesized. The NOHMs displays a liquid-like state in the absence of solvent at room temperature. Five NOHMs were categorized into three kinds of structure states based on different weight ratio of two components in core, named power strip model, critical model and collapse model. The capture capacities of these NOHMs for CO2 were investigated at 298 K and CO2 pressures ranging from 0 to 5 MPa. Compared with NOHM having neat MWCNTs core, it had been revealed that NOHMs with power strip model show better adsorption capacity toward CO2, due to its lower viscosity and more reactive groups that can react with CO2. In addition, the capture capacities of NOHMs with critical model were relatively worse than neat MWCNTs-based NOHM. The result is attributed to the aggregation of SiO2 in these samples, which may cause the consumption and hindrance of reactive groups. However, the capture capacity of NOHM with collapse model was the worst in all NOHMs, owing to its lowest content of reactive groups and hollow structure in MWCNTs. Besides, it presented non-interference of MWCNTs and SiO2 without aggregation state. © 2017 IOP Publishing Ltd.

  14. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    International Nuclear Information System (INIS)

    Poudel, P.R.; Poudel, P.P.; Paramo, J.A.; Strzhemechny, Y.M.; Rout, B.; McDaniel, F.D.

    2015-01-01

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C - ) at a fluence of 3 x 10 17 atoms/cm 2 was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H 2 + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main contributors to the observed

  15. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Poudel, P.R. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); Intel Corporation, Rio Rancho, NM (United States); Poudel, P.P. [University of Kentucky, Department of Chemistry, Lexington, KY (United States); Paramo, J.A.; Strzhemechny, Y.M. [Texas Christian University, Department of Physics and Astronomy, Fort Worth, TX (United States); Rout, B. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); University of North Texas, Center for Advanced Research and Technology, Denton, TX (United States); McDaniel, F.D. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States)

    2014-09-18

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C{sup -}) at a fluence of 3 x 10{sup 17} atoms/cm{sup 2} was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H{sub 2} + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main

  16. Design and Synthesis of Hierarchical SiO2@C/TiO2 Hollow Spheres for High-Performance Supercapacitors.

    Science.gov (United States)

    Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin

    2017-09-06

    TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.

  17. Nanoscopic properties of silica filled polydimethylsiloxane by means of positron annihilation lifetime spectroscopy

    DEFF Research Database (Denmark)

    Wiinberg, P.; Eldrup, Morten Mostgaard; Maurer, F.H.J.

    2004-01-01

    and the positron annihilation characteristics. The glass transition behavior of the PDMS/SiO2 composites was determined with differential scanning calorimetry. A clear influence on the o-Ps lifetime (73) in the polymer upon addition of nano-sized fumed SiO2 was observed at all temperatures. The observed o......Positron annihilation lifetime spectroscopy (PALS) was performed on a series of polydimethylsiloxane (PDMS)/fumed silicon dioxide (SiO2) composites at temperatures between -185 and 100degreesC to study the effect of filler content and filler particle size on the free volume properties...... to the behavior of ordinary molecular liquids was observed in this temperature region. The o-Ps yield was strongly reduced in the crystallization region and by addition Of SiO2. The reduction due to filler addition did, however, in the case of nano-sized SiO2 not follow a linear relationship with filler weight...

  18. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  19. Defect layer in SiO2-Sic interface proved by a slow positron beam

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Miyashita, A.; Suzuki, R.; Ohdaira, T.

    2006-01-01

    The structure of the SiO 2 -4ph-SiC interface layer produced by dry oxidation has been studied by positron annihilation spectroscopy using slow positron beams. From Doppler broadening measurements, the interface layer was clearly distinguished from the SiO 2 and SiC layers and was observed to be defective. At the interface layer, a single long positron lifetime of 451 ps, which is close to the second lifetime in the SiO 2 layer, was obtained, thus suggesting that the structure of the interface layer resembles an amorphous SiO 2 network. A comparison was made between the obtained electron momentum distribution at the interface layer and the theoretical calculation. It was found that positrons annihilate with oxygen valence electrons. By annealing after the oxidation, the annihilation probability of the positrons with oxygen valence electrons and the number of interface traps decreased in the same temperature range, thus suggesting a correlation between interface traps and positron annihilation sites

  20. Synthesis of unidirectional structures of SiO2-Ag using Au nanoparticles as nucleation centers

    International Nuclear Information System (INIS)

    Villa S, G.; Mendoza A, D.; Gutierrez W, C.; Perez H, R.

    2008-01-01

    This paper reports a method to synthesize Ag unidirectional structures covered with SiO 2 by sol-gel technique using Au nanoparticles as nucleation centers of the unidirectional structures. In the first phase unidirectional structures of SiO 2 -Ag CI are obtained by sol-gel, using TEOS as a precursor of metallic structures (Ag) and the incorporation of Au nanoparticles as nucleation centers for growth of unidirectional structures. In the second stage, one-way systems are subjected to thermal treatment in H 2 atmosphere for obtain AG 0 particles through mechanisms that diffusion and coalescence of silver to form structures that have a thin cover of SiO 2 . Analysis by scanning electron microscopy, transmission and atomic force microscopy allowed to determine the chemical composition and microstructural properties of unidirectional systems SiO 2 -Ag. (Author)

  1. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  2. Characterization of magnetic biochar amended with silicon dioxide prepared at high temperature calcination

    Directory of Open Access Journals (Sweden)

    Baig Shams Ali

    2016-09-01

    Full Text Available Calcination is considered to increase the hardness of composite material and prevent its breakage for the effective applications in environmental remediation. In this study, magnetic biochar amended with silicon dioxide was calcined at high temperature under nitrogen environment and characterized using various techniques. X-ray diffraction (XRD analysis revealed elimination of Fe3O4 peaks under nitrogen calcination and formation of Fe3Si and iron as major constituents of magnetic biochar-SiO2 composite, which demonstrated its superparamagnetic behavior (>80 A2·kg−1 comparable to magnetic biochar. Thermogravimetric analysis (TGA revealed that both calcined samples generated higher residual mass (>96 % and demonstrated better thermal stability. The presence of various bands in Fourier transform infrared spectroscopy (FT-IR was more obvious and the elimination of H–O–H bonding was observed at high temperature calcination. In addition, scanning electron microscopy (SEM images revealed certain morphological variation among the samples and the presence of more prominent internal and external pores, which then judged the surface area and pore volume of samples. Findings from this study suggests that the selective calcination process could cause useful changes in the material composites and can be effectively employed in environmental remediation measures.

  3. Effect of SiO$_{2}$ passivating layer in segmented silicon planar detectors on the detector response

    CERN Document Server

    Verbitskaya, Elena; Eremin, Vladimir; Golubkov, S; Konkov, K; Roe, Shaun; Ruggiero, G; Sidorov, A; Weilhammer, Peter

    2004-01-01

    Silicon detectors with a fine segmentation (micropixel and microstrip) are the main type of detectors used in the inner trackers of LHC experiments. Due to the high luminosity of the LHC machines they are required to have a fast response to fit the short shaping time of 25 ns and to be radiation hard. Evaluation of silicon microstrip detectors developed for the ATLAS silicon tracker and carried out under collaboration of CERN and PTI has shown the reversal of the pulse polarity in the detector response to short- range radiation. Since the negative signal is of about 30% of the normal positive one, the effect strongly reduces the charge collection efficiency in irradiated detectors. The investigation presents the consideration on the origin of a negative response in Si microstrip detectors and the experimental proof of the model. The study of the effect has been carried out using "baby" strip detectors with a special design: each strip has a window in a metallization, which covers the p/sup +/ implant. The sca...

  4. Microstructural, thermal, physical and mechanical behavior of the self compacting concrete containing SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Nazari, Ali; Riahi, Shadi

    2010-01-01

    Research highlights: → TiO 2 nanoparticles effects on flexural strength of self compacting concrete. → Physical and microstructural consideration. → Mechanical tests. → Thermal analysis. → Porosimetry. - Abstract: In the present study, flexural strength, thermal properties and microstructure of self compacting concrete with different amount of SiO 2 nanoparticles has been investigated. SiO 2 nanoparticles with the average particle size of 15 nm were partially added to self compacting concrete and various behaviors of the specimens have been measured. The results indicate that SiO 2 nanoparticles are able to improve the flexural strength of self compacting concrete and recover the negative effects of superplasticizer on flexural strength of the specimens. SiO 2 nanoparticle as a partial replacement of cement up to 4 wt% could accelerate C-S-H gel formation as a result of the increased crystalline Ca(OH) 2 amount at the early ages of hydration. The increased the SiO 2 nanoparticles' content more than 4 wt%, causes the reduced the flexural strength because of unsuitable dispersion of nanoparticles in the concrete matrix. Accelerated peak appearance in conduction calorimetry tests, more weight loss in thermogravimetric analysis and more rapid appearance of peaks related to hydrated products in X-ray diffraction results, all also indicate that SiO 2 nanoparticles up to 4 wt% could improve the mechanical and physical properties of the specimens. Finally, SiO 2 nanoparticles could improve the pore structure of concrete and shift the distributed pores to harmless and few-harm pores.

  5. Solvent-free nanofluid with three structure models based on the composition of a MWCNT/SiO2 core and its adsorption capacity of CO2.

    Science.gov (United States)

    Yang, R L; Zheng, Y P; Wang, T Y; Li, P P; Wang, Y D; Yao, D D; Chen, L X

    2017-12-15

    A series of core/shell nanoparticle organic/inorganic hybrid materials (NOHMs) with different weight ratios of two components, consisting of multi-walled carbon nanotubes (MWCNTs) and silicon dioxide (SiO 2 ) as the core were synthesized. The NOHMs display a liquid-like state in the absence of solvent at room temperature. Five NOHMs were categorized into three kinds of structure states based on different weight ratio of two components in the core, named the power strip model, the critical model and the collapse model. The capture capacities of these NOHMs for CO 2 were investigated at 298 K and CO 2 pressures ranging from 0 to 5 MPa. Compared with NOHMs having a neat MWCNT core, it was revealed that NOHMs with the power strip model show better adsorption capacity toward CO 2 due to its lower viscosity and more reactive groups that can react with CO 2 . In addition, the capture capacities of NOHMs with the critical model were relatively worse than the neat MWCNT-based NOHM. The result is attributed to the aggregation of SiO 2 in these samples, which may cause the consumption and hindrance of reactive groups. However, the capture capacity of NOHMs with the collapse model was the worst of all the NOHMs, owing to its lowest content of reactive groups and hollow structure in MWCNTs. In addition, they presented non-interference of MWCNTs and SiO 2 without aggregation state.

  6. Synthesis, Characterization and Optical Constants of Silicon Oxycarbide

    Directory of Open Access Journals (Sweden)

    Memon Faisal Ahmed

    2017-01-01

    Full Text Available High refractive index glasses are preferred in integrated photonics applications to realize higher integration scale of passive devices. With a refractive index that can be tuned between SiO2 (1.45 and a-SiC (3.2, silicon oxycarbide SiOC offers this flexibility. In the present work, silicon oxycarbide thin films from 0.1 – 2.0 μm thickness are synthesized by reactive radio frequency magnetron sputtering a silicon carbide SiC target in a controlled argon and oxygen environment. The refractive index n and material extinction coefficient k of the silicon oxycarbide films are acquired with variable angle spectroscopic ellipsometry over the UV-Vis-NIR wavelength range. Keeping argon and oxygen gases in the constant ratio, the refractive index n is found in the range from 1.41 to 1.93 at 600 nm which is almost linearly dependent on RF power of sputtering. The material extinction coefficient k has been estimated to be less than 10-4 for the deposited silicon oxycarbide films in the visible and near-infrared wavelength regions. Morphological and structural characterizations with SEM and XRD confirms the amorphous phase of the SiOC films.

  7. Type of precursor and synthesis of silicon oxycarbide (SiOxCyH) thin films with a surfatron microwave oxygen/argon plasma

    International Nuclear Information System (INIS)

    Walkiewicz-Pietrzykowska, Agnieszka; Espinos, J. P.; Gonzalez-Elipe, Agustin R.

    2006-01-01

    Siliconelike thin films (i.e., SiO x C y H z ) were prepared in a microwave plasma enhanced chemical vapor deposition reactor from structurally different organosilicon precursors [i.e., hexamethyldisiloxane (HMDSO), dimethylsilane (DMS), and tetramethylsilane (TMS)]. The films were deposited at room temperature by using different oxygen/argon ratios in the plasma gas. By changing the type of precursor and the relative concentration of oxygen in the plasma, thin films with different compositions (i.e., O/C ratio) and properties are obtained. In general, raising the oxygen concentration in the plasma produces the progressive removal of the organic moieties from the films whose composition and structure then approach those of silicon dioxide. The deposition rate was highly dependent on the type of precursor, following the order HMDSO>>DMS>TMS. The polarizabilities, optical band gaps, and surface free energy of the films also depended on the thin film composition and structure. It is proposed that the Si-O bonds existing in HMDSO is the main factor controlling the distinct reactivity of this precursor and is also responsible for the different compositions and properties of the SiO x C y H z thin films prepared with very low or no oxygen in the plasma gas

  8. Value of optical coherence tomography in the detection of macular pathology before the removal of silicone oil

    Directory of Open Access Journals (Sweden)

    Rashad MA

    2016-01-01

    Full Text Available Mohammad Ahmad Rashad, Ahmed Abdel Aliem Mohamed, Asmaa Ismail Ahmed Department of Ophthalmology, Faculty of Medicine, Ain Shams University, Cairo, Egypt Purpose: To assess the pathological macular changes with optical coherence tomography (OCT before the removal of silicone oil (SiO in eyes that had undergone pars plana vitrectomy for complicated forms of retinal detachment (RD.Patients and methods: Subjects included 48 patients (51 eyes with complicated RD including proliferative vitreoretinopathy, proliferative diabetic retinopathy, recurrent RD, penetrating trauma, uveitis, giant retinal tears, and macular holes. All the eyes had undergone SiO injection. Furthermore, all eyes had been planned for the removal of SiO 6–12 months after the primary surgery. Finally, all eyes had a fundus examination and OCT examination before the silicone oil removal.Results: OCT findings indicated epiretinal membrane in 41% of the eyes, macular edema in 17%, macular detachment in 13.5%, macular thinning in 13.5%, macular holes in 10%, and subretinal membranes in 2%. Preoperative OCT was normal in only 12% of the eyes, while a clinical fundus examination was normal in 43% (P<0.001. Eyes with normal OCT had significantly better mean logMAR (0.35 than eyes with pathological changes detected through OCT (1.28; P<0.001. Surgical modifications were made during the removal of SiO in 74.5% of the eyes.Conclusion: OCT detected significantly more pathological changes than a clinical fundus examination. This had an impact on both surgical step modification during the removal of SiO and predictability of visual outcome after the removal of SiO. Keywords: optical coherence tomography, silicone oil, pars plana vitrectomy, proliferative vitreoretinopathy, proliferative diabetic retinopathy

  9. Nitrogen doped silicon-carbon multilayer protective coatings on carbon obtained by TVA method

    Science.gov (United States)

    Ciupina, Victor; Vasile, Eugeniu; Porosnicu, Corneliu; Lungu, Cristian P.; Vladoiu, Rodica; Jepu, Ionut; Mandes, Aurelia; Dinca, Virginia; Caraiane, Aureliana; Nicolescu, Virginia; Cupsa, Ovidiu; Dinca, Paul; Zaharia, Agripina

    2017-08-01

    Protective nitrogen doped Si-C multilayer coatings on carbon, used to improve the oxidation resistance of carbon, were obtained by Thermionic Vacuum Arc (TVA) method. The initial carbon layer having a thickness of 100nm has been deposed on a silicon substrate in the absence of nitrogen, and then a 3nm Si thin film to cover carbon layer was deposed. Further, seven Si and C layers were alternatively deposed in the presence of nitrogen ions, each having a thickness of 40nm. In order to form silicon carbide at the interface between silicon and carbon layers, all carbon, silicon and nitrogen ions energy has increased up to 150eV . The characterization of microstructure and electrical properties of as-prepared N-Si-C multilayer structures were done using Transmission Electron Microscopy (TEM, STEM) techniques, Thermal Desorption Spectroscopy (TDS) and electrical measurements. Oxidation protection of carbon is based on the reaction between oxygen and silicon carbide, resulting in SiO2, SiO and CO2, and also by reaction involving N, O and Si, resulting in silicon oxynitride (SiNxOy) with a continuously variable composition, and on the other hand, since nitrogen acts as a trapping barrier for oxygen. To perform electrical measurements, 80% silver filled two-component epoxy-based glue ohmic contacts were attached on the N-Si-C samples. Electrical conductivity was measured in constant current mode. The experimental data show the increase of conductivity with the increase of the nitrogen content. To explain the temperature behavior of electrical conductivity we assumed a thermally activated electric transport mechanism.

  10. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  11. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  12. Crystallization behavior of (1 - x)Li2O.xNa2O.Al2O3.4SiO2 glasses

    International Nuclear Information System (INIS)

    Wang, Moo-Chin; Cheng, Chih-Wei; Chang, Kuo-Ming; Hsi, Chi-Shiung

    2010-01-01

    The crystallization behavior of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has been investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), electron diffraction (ED) and energy dispersive spectroscopy (EDS). The crystalline phase was composed of β-spodumene. The isothermal crystallization kinetics of β-spodumene from the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has also been studied by a quantitative X-ray diffraction method. The activation energy of β-spodumene formation decreases from 359.2 to 317.8 kJ/mol when the Na 2 O content increases from 0 to 0.4 mol and it increases from 317.8 to 376.9 kJ/mol when the Na 2 O content increases from 0.4 to 0.6 mol. The surface nucleation and plate-like growth were dominant in the crystallization of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses.

  13. Corrosion behavior of plasma sprayed hydroxyapatite and hydroxyapatite-silicon oxide coatings on AISI 304 for biomedical application

    International Nuclear Information System (INIS)

    Singh, Gurpreet; Singh, Hazoor; Sidhu, Buta Singh

    2013-01-01

    The objective of this study is to evaluate corrosion resistance of plasma sprayed hydroxyapatite (HA) and HA-silicon oxide (SiO 2 ) coated AISI 304 substrates. In HA-SiO 2 coatings, 10 wt% SiO 2 and 20 wt% SiO 2 was mixed with HA. The feedstock and coatings were characterized by X-ray diffraction and scanning electron microscopy/energy dispersive X-ray spectroscopy. The corrosion resistance was determined for the uncoated and coated samples. The corrosion resistance of the AISI 304 was found more after the deposition of the HA-SiO 2 coatings rather than HA coating and uncoated. All the coatings were crack free after 24 h dipping in Ringer's solution for electrochemical corrosion testing.

  14. Sol–gel hybrid membranes loaded with meso/macroporous SiO2, TiO2–P2O5 and SiO2–TiO2–P2O5 materials with high proton conductivity

    International Nuclear Information System (INIS)

    Castro, Yolanda; Mosa, Jadra; Aparicio, Mario; Pérez-Carrillo, Lourdes A.; Vílchez, Susana; Esquena, Jordi; Durán, Alicia

    2015-01-01

    In this work, highly conductive hybrid organic–inorganic membranes loaded with SiO 2 , TiO 2 –P 2 O 5 and SiO 2 –TiO 2 –P 2 O 5 meso/macroporous particles were prepared via a sol–gel process. Meso/macroporous particles were incorporated to hybrid membranes, for improving water retention and enhancing electrochemical performance. These particles with a polymodal pore size distribution were prepared by templating in highly concentrated emulsions, the particles showed a specific surface area between 50 m 2 /g (TiO 2 –P 2 O 5 ) and 300 m 2 /g (SiO 2 –TiO 2 –P 2 O 5 ). The particles were dispersed in a hybrid silica sol and further sprayed onto glass paper. The films were polymerized and sintered; those loaded with meso/macroporous particles had a homogenous distribution. High temperature proton conductivity measurements confirmed a high water retention. Conductivity of these materials is higher than that of Nafion ® at higher temperatures (120 °C) (2·10 −2  S/cm). This study provides processing guideline to achieve hybrid electrolytes for efficient conduction of protons due to their high surface area and porous structure. - Highlights: • Hybrid electrolyte with meso/macroporous particles were synthesized by sol–gel. • Depositions of hybrid solutions by spraying onto glass substrates were performed. • Proton conductivity was evaluated as a function of composition and porous structure

  15. Synthesis of Li2SiO3 at low temperature

    International Nuclear Information System (INIS)

    Mondragon G, G.

    2007-01-01

    The main objective of this work is to develop a new synthesis method to obtain one of the more studied ceramics in this field Li 2 SiO 3 ) in a simple and economic way using different solutions (urea and ammonium hydroxide). The particular objectives are first to prepare the Li 2 SiO 3 ceramic, by means of the use of the reaction conventional technique in solid state at temperatures between 800 and 900 C to compare it with the one proposed in this work and this way to observe the advantages that it would gives us the new method. Later on, the same one was synthesized lithium ceramic (Li 2 SiO 3 ) by means of the new method at low temperature (between 80 and 90 C), using silicic acid and lithium hydroxide like precursory reagents and different solutions (urea and ammonium hydroxide) for the optimization in their synthesis. Finally, it was carried out the characterization of these materials by means of X-ray diffraction (XRD), electronic microscopes (SEM and TEM), nitrogen physisorption (method BET) and thermal gravimetric analysis (TGA) to observe the differences that exist among the conventional method and the proposed method and by this way to determine the advantages of the last method. (Author)

  16. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    Science.gov (United States)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe3O4@SiO2-graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe3O4@SiO2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe3O4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe3O4@SiO2 NPs was 24 ± 0.3 nm, similar to that of Fe3O4@SiO2-NH2. Fe3O4@SiO2-GO composites were synthesized by linking of Fe3O4@SiO2-NH2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe3O4@SiO2-GO composite has potential applications in the production of bioethanol.

  17. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  18. Investigation of TL, OSL and PTTL properties of Mg2SiO4:Tb dosimeters

    International Nuclear Information System (INIS)

    Oguz, K. F.; Goekce, M.; Karali, T.; Harmansah, C.

    2010-01-01

    In this study thermoluminescence (TL) and optically stimulated luminescence (OSL) properties of Mg 2 SiO 4 :Tb in the form of sintered pellets were investigated. Mg 2 SiO 4 :Tb is a recently developed dosimetric material which offers high sensitivity for TL and OSL in dosimetric applications. Thermoluminescence glow curve of Tb doped Mg 2 SiO 4 samples show a glow peak at about 200 degree Celsius with two small peaks at about 275 and 330 degree Celsius, respectively. OSL experiments showed that blue light (470 nm) is six times more efficient than green light (532 nm) to stimulate the OSL emission. The aim of this study was to determine the TL and OSL fading properties of Mg 2 SiO 4 : Tb using OSL and TL methods. In addition, PTTL properties of the Mg 2 SiO 4 : Tb was investigated by using blue LEDs, UV lamp and blue laser. Investigations on the fading properties also showed that the TL signal fades % 10 in a period of 1 month and OSL signal fades % 10 in a period of 3 month, which then the signal remains relatively stable for longer periods.

  19. Matrix solid-phase dispersion extraction of organophosphorus pesticide using SiO2-poly(N-vinylimidazole)

    International Nuclear Information System (INIS)

    Gutiérrez-Solís, M C; Muñoz-Rodríguez, D; Carrera-Figueiras, C; Ávila-Ortega, A; Medina-Peralta, S

    2013-01-01

    A sorbent material based on silica particles modified with poly(N-vinylimidazole) (SiO 2 -PVI) has been evaluated for the treatment of samples by matrix solid-phase dispersion (MSPD). The extraction of four organophosphorus pesticides was done from a spiked tomato and the extracts were analyzed by gas chromatography coupled to mass spectrometry. Six elution solvents were evaluated and acetone was selected due to better recovery of the four pesticides and low background signal in the chromatograms. A factorial design 2 4 was used for selection of extraction conditions. The factors were contact time, acetone volume, treatment (with or without freeze-drying) and adsorbent (SiO 2 or SiO 2 -PVI). The best recoveries were obtained using 15 minutes of contact, 2 mL of solvent and sorbent without freeze-drying. The recoveries were between 60 and 83% for SiO 2 -PVI in spiked tomato with 0.2 and 0.8μg/g.

  20. Preparation and characterization of silane-modified SiO2 particles reinforced resin composites with fluorinated acrylate polymer.

    Science.gov (United States)

    Liu, Xue; Wang, Zengyao; Zhao, Chengji; Bu, Wenhuan; Na, Hui

    2018-04-01

    A series of fluorinated dental resin composites were prepared with two kinds of SiO 2 particles. Bis-GMA (bisphenol A-glycerolate dimethacrylate)/4-TF-PQEA (fluorinated acrylate monomer)/TEGDMA (triethylene glycol dimethacrylate) (40/30/30, wt/wt/wt) was introduced as resin matrix. SiO 2 nanopartices (30nm) and SiO 2 microparticles (0.3µm) were silanized with 3-methacryloxypropyl trimethoxysilane (γ-MPS) and used as fillers. After mixing the resin matrix with 0%, 10%, 20%, 30% SiO 2 nanopartices and 0%, 10%, 20%, 30%, 40%, 50% SiO 2 microparticles, respectively, the fluorinated resin composites were obtained. Properties including double bond conversion (DC), polymerization shrinkage (PS), water sorption (W p ), water solubility (W y ), mechanical properties and cytotoxicity were investigated in comparison with those of neat resin system. The results showed that, filler particles could improve the overall performance of resin composites, particularly in improving mechanical properties and reducing PS of composites along with the addition of filler loading. Compared to resin composites containing SiO 2 microparticles, SiO 2 nanoparticles resin composites had higher DC, higher mechanical properties, lower PS and lower W p under the same filler content. Especially, 50% SiO 2 microparticles reinforced resins exhibited the best flexural strength (104.04 ± 7.40MPa), flexural modulus (5.62 ± 0.16GPa), vickers microhardness (37.34 ± 1.13 HV), compressive strength (301.54 ± 5.66MPa) and the lowest polymerization (3.42 ± 0.22%). Copyright © 2018 Elsevier Ltd. All rights reserved.

  1. Acid-alkaline state of the blood in chronic combined effect of silicon dioxide, radon and tobacco smoke

    International Nuclear Information System (INIS)

    Ivanov, Z.; Charykchiev, D.

    1987-01-01

    Experimental observations were carried out on rats, subjected to inhalaion of radon-222 and combination of radon-222 with intratracheally introduced free silicon dioxide and tobacco smoke. A special method was used for anaerobic and narcosis-free taking of arterial blood from the left cardiac ventricle, the animals being investigated on the 2nd and the 6th month from the start of the experiment. In all tested animals a tendency to respiratory hypercapneic acidosis was established, particularly to the end of the experiment and in the group treated only with radon-222. In these animals a marked non-respiratory alkalosis with deviation of pH to alkaline direction was added. It is assumed that above-mentioned acid-alkaline disturbances could be due to the found morphological changes in the respiratory system, the liver and kidneys

  2. Structural characterization and optical properties of Eu"2"+ and Dy"2"+ doped Sr_2SiO_4 phosphor by solid state reaction method

    International Nuclear Information System (INIS)

    Verma, Durga; Verma, Mohan L.; Upma; Patel, R.P.

    2016-01-01

    Thermoluminescence, SEM, FTIR Divalent dysprosium and europium doped strontium silicate (Sr_2SiO_4) phosphors were synthesized with the high-temperature solid-state reaction technique. The obtained phosphor was well characterized by powder X-ray diffraction, scanning electron microscopy, FTIR, UV-visible spectroscopy and thermoluminescence. The crystal structure of the prepared phosphor has an orthorhombic structure with space group Pnma. From scanning electron microscopy (SEM), agglomerations of particles were observed due to the high temperature synthesis process. The chemical composition of the sintered Sr_2SiO_4:Dy"2"+ and Sr_2SiO_4: Eu"2"+ phosphor was confirmed by energy dispersive X-ray spectroscopy (EDX). The UV-VIS analysis can be thought as a good quality check for the optical behavior of materials. The Fourier transmission infrared spectroscopy (FTIR) confirms the present elements in phosphor. Thermoluminescence study was carried out for the phosphor with UV irradiation show one glow peak. The trapping parameters associated with the prominent glow peak of Sr_2SiO_4:Dy"2"+ and Sr_2SiO_4:Eu"2"+ are calculated using Chen's glow curve method. The release of holes/electrons from defect centers at the characteristic trap site initiates the luminescence process in this material. (author)

  3. Dielectrophoretic trapping of multilayer DNA origami nanostructures and DNA origami-induced local destruction of silicon dioxide.

    Science.gov (United States)

    Shen, Boxuan; Linko, Veikko; Dietz, Hendrik; Toppari, J Jussi

    2015-01-01

    DNA origami is a widely used method for fabrication of custom-shaped nanostructures. However, to utilize such structures, one needs to controllably position them on nanoscale. Here we demonstrate how different types of 3D scaffolded multilayer origamis can be accurately anchored to lithographically fabricated nanoelectrodes on a silicon dioxide substrate by DEP. Straight brick-like origami structures, constructed both in square (SQL) and honeycomb lattices, as well as curved "C"-shaped and angular "L"-shaped origamis were trapped with nanoscale precision and single-structure accuracy. We show that the positioning and immobilization of all these structures can be realized with or without thiol-linkers. In general, structural deformations of the origami during the DEP trapping are highly dependent on the shape and the construction of the structure. The SQL brick turned out to be the most robust structure under the high DEP forces, and accordingly, its single-structure trapping yield was also highest. In addition, the electrical conductivity of single immobilized plain brick-like structures was characterized. The electrical measurements revealed that the conductivity is negligible (insulating behavior). However, we observed that the trapping process of the SQL brick equipped with thiol-linkers tended to induce an etched "nanocanyon" in the silicon dioxide substrate. The nanocanyon was formed exactly between the electrodes, that is, at the location of the DEP-trapped origami. The results show that the demonstrated DEP-trapping technique can be readily exploited in assembling and arranging complex multilayered origami geometries. In addition, DNA origamis could be utilized in DEP-assisted deformation of the substrates onto which they are attached. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Investigation of luminescence properties in SiO2: Tb, Yb upconversion inverse opal

    International Nuclear Information System (INIS)

    Yang Zhengwen; Yan Dong; Song Zhiguo; Zhou Dacheng; Yu Xue; Yang Yong; Yin Zhaoyi; Yan Lei; Wang Rongfei; Wu Hangjun; Qiu Jianbei

    2012-01-01

    The SiO 2 : Tb, Yb inverse opals with photonic band gap at 465 or 543 nm were prepared, and an effect of photonic band gap on upconversion spontaneous emission from Tb 3+ was investigated. The results show that the photonic band gap has a significant influence on the upconversion emission of the SiO 2 : Tb, Yb inverse opals. The upconversion luminescence of the Tb 3+ ions is suppressed in the inverse opal compared with the luminescence of that of the reference sample. - Highlights: ► Upconversion emission from Tb 3+ was observed in the SiO 2 : Tb, Yb inverse opal. ► UC emission of Tb 3+ was modulated by controlling the structure of inverse opal. ► UC emission of Tb 3+ was depressed in the inverse opal.

  5. A microbiological evaluation of SiO2-coated textiles in hospital interiors

    DEFF Research Database (Denmark)

    Mogensen, Jeppe; Jørgensen, Poul-Erik; Thomsen, Trine Rolighed

    2016-01-01

    . From this perspective, the purpose of this paper is therefore to address focus on alternative passive coatings that without actively killing the bacteria provide a hydrophobic and easy-to-clean textile surface. The paper relates to an in-situ study evaluating the effect and cleaning potential of SiO2...... contact plates through a three-week period. By determining the level of contamination on these surfaces, the study illustrates that the SiO2-coated textile is possible to clean to an acceptable level below the critical limit value of 2,5 Colony Forming Units (CFU) per cm2. In comparison, the traditional...

  6. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    Science.gov (United States)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  7. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  8. First-principles study of the effects of halogen dopants on the properties of intergranular films in silicon nitride ceramics

    International Nuclear Information System (INIS)

    Painter, Gayle S.; Becher, Paul F.; Kleebe, H.-J.; Pezzotti, G.

    2002-01-01

    The nanoscale intergranular films that form in the sintering of ceramics often occur as adherent glassy phases separating the crystalline grains in the ceramic. Consequently, the properties of these films are often equal in importance to those of the constituent grains in determining the ceramic's properties. The measured characteristics of the silica-rich phase separating the crystalline grains in Si 3 N 4 and many other ceramics are so reproducible that SiO 2 has become a model system for studies of intergranular films (IGF's). Recently, the influence of fluorine and chlorine dopants in SiO 2 -rich IGF's in silicon nitride was precisely documented by experiment. Along with the expected similarities between the halogens, some dramatically contrasting effects were found. But the atomic-scale mechanisms distinguishing the effects F and Cl on IGF behavior have not been well understood. First-principles density functional calculations reported here provide a quantum-level description of how these dopant-host interactions affect the properties of IGF's, with specific modeling of F and Cl in the silica-rich IGF in silicon nitride. Calculations were carried out for the energetics, structural changes, and forces on the atoms making up a model cluster fragment of an SiO 2 intergranular film segment in silicon nitride with and without dopants. Results show that both anions participate in the breaking of bonds within the IGF, directly reducing the viscosity of the SiO 2 -rich film and promoting decohesion. Observed differences in the way fluorine and chlorine affect IGF behavior become understandable in terms of the relative stabilities of the halogens as they interact with Si atoms that have lost one if their oxygen bridges

  9. A new cataluminescence gas sensor based on SiO2 nanotubes fabricated using carbon nanotube templates.

    Science.gov (United States)

    Wang, Yali; Cao, Xiaoan; Li, Jinwen; Chen, Nan

    2011-05-15

    In the present work, two morphologies of SiO(2) nanomaterials (SiO(2) nanotubes and nanoparticles) have been successfully synthesized in supercritical fluids (SCFs). The cataluminescence (CTL) features of the two SiO(2) nanomaterials to some common harmful gases were compared, and the results showed that SiO(2) nanotubes had better CTL sensing characteristic to some common harmful gases. The SiO(2) nanotubes not only had uniform size and shape with a high specific surface area, but also exhibited superior sensitivity and selectivity to ethyl acetate vapor. Using the SiO(2) nanotubes as sensing material, a CTL sensor for ethyl acetate vapor was developed. The proposed sensor showed high sensitivity and specificity to ethyl acetate at optimal temperature of 293°C, a wavelength of 425 nm and a flow rate of 345 mL/min. With a detection limit of 0.85 ppm, the linear range of CTL intensity versus concentrations of ethyl acetate vapor was 2.0-2000 ppm. None or only very low levels of interference were observed while the foreign substances such as acetone, acetaldehyde, acetic acid, formaldehyde, ammonia, ethanol, benzene and methanol were passing through the sensor. This method allows rapid determination of gaseous ethyl acetate at workshop. Copyright © 2011 Elsevier B.V. All rights reserved.

  10. Design Of A Bi-Functional α-Fe2O3/Zn2SiO4:Mn2+ By Layer-By-Layer Assembly Method

    Directory of Open Access Journals (Sweden)

    Yu Ri

    2015-06-01

    Full Text Available This work describes the design of bi-functional α-Fe2O3/Zn2SiO4:Mn2+ using a two-step coating process. We propose a combination of pigments (α-Fe2O3 and phosphor (Zn2SiO4:Mn2+ glaze which is assembled using a layer-by-layer method. A silica-coated α-Fe2O3 pigment was obtained by a sol-gel method and a Zn2+ precursor was then added to the silica-coated α-Fe2O3 to create a ZnO layer. Finally, the Zn2SiO4:Mn2+ layer was prepared with the addition of Mn2+ ions to serve as a phosphor precursor in the multi-coated α-Fe2O3, followed by annealing at a temperature above 1000°C. Details of the phase structure, color and optical properties of the multi-functional α-Fe2O3/Zn2SiO4:Mn2+ were characterized by transmission electron microscopy and X-ray diffraction analyses.

  11. Risk assessment of amorphous silicon dioxide nanoparticles in a glass cleaner formulation

    Science.gov (United States)

    Scheel, Julia; Karsten, Stefan; Stelter, Norbert; Wind, Thorsten

    2013-01-01

    Since nanomaterials are a heterogeneous group of substances used in various applications, risk assessment needs to be done on a case-by-case basis. Here the authors assess the risk (hazard and exposure) of a glass cleaner with synthetic amorphous silicon dioxide (SAS) nanoparticles during production and consumer use (spray application). As the colloidal material used is similar to previously investigated SAS, the hazard profile was considered to be comparable. Overall, SAS has a low toxicity. Worker exposure was analysed to be well controlled. The particle size distribution indicated that the aerosol droplets were in a size range not expected to reach the alveoli. Predictive modelling was used to approximate external exposure concentrations. Consumer and environmental exposure were estimated conservatively and were not of concern. It was concluded based on the available weight-of-evidence that the production and application of the glass cleaner is safe for humans and the environment under intended use conditions. PMID:22548260

  12. a Positron 2D-ACAR Study of the Silicon-Dioxide Interface and the Point Defects in the Semi-Insulating Gallium Arsenide

    Science.gov (United States)

    Peng, Jianping

    The SiO_2-Si system has been the subject of extensive study for several decades. Particular interest has been paid to the interface between Si single crystal and the amorphous SiO_2 which determines the properties and performances of devices. This is significant because of the importance of Si technology in the semiconductor industry. The development of the high-intensity slow positron beam at Brookhaven National Laboratory make it possible to study this system for the first time using the positron two-dimensional angular correlation of annihilation radiation (2D-ACAR) technique. 2D-ACAR is a well established and is a non-destructive microscopic probe for studying the electronic structure of materials, and for doing the depth-resolved measurements. Some unique information was obtained from the measurements performed on the SiO_2-Si system: Positronium (Ps) atoms formation and trapping in microvoids in both oxide and interface regions; and positron annihilation at vacancy-like defects in the interface region which can be attributed to the famous Pb centers. The discovery of the microvoids in the interface region may have some impact on the fabrication of the next generation electronic devices. Using the conventional 2D-ACAR setup with a ^{22}Na as positron source, we also studied the native arsenic (As) vacancy in the semi -insulating gallium-arsenide (SI-GaAs), coupled with in situ infrared light illumination. The defect spectrum was obtained by comparing the spectrum taken without photo -illumination to the spectrum taken with photo-illumination. The photo-illumination excited electrons from valence band to the defect level so that positrons can become localized in the defects. The two experiments may represent a new direction of the application of positron 2D-ACAR technique on the solid state physics and materials sciences.

  13. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    International Nuclear Information System (INIS)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe 3 O 4 @SiO 2 –graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe 3 O 4 @SiO 2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe 3 O 4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO 2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe 3 O 4 @SiO 2 NPs was 24 ± 0.3 nm, similar to that of Fe 3 O 4 @SiO 2 –NH 2 . Fe 3 O 4 @SiO 2 –GO composites were synthesized by linking of Fe 3 O 4 @SiO 2 –NH 2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe 3 O 4 @SiO 2 –GO composite has potential applications in the production of bioethanol

  14. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  15. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  16. The effect of active forms of silicon on the biomass of agricultural crops during their growth period on technogenically altered soils of the Nikopol Manganese Ore Basin

    Directory of Open Access Journals (Sweden)

    І. V. Wagner

    2017-05-01

    Full Text Available The problem of recovery of technogenically affected soils remains unsolved. Silicon which moves in the “soil – plant” system has been insufficiently studied, though this element takes part in many processes. For assessing the role of silicon compounds, we selected samples of technogenically affected soils of different types in the territory of the research station for land reclamation of Dnіpro State Agrarian-Economic University in the Nikopol Manganese Ore Basin. We conducted a vegetative experiment and a series of laboratory tests with adding SiO2. We chose the following crops: buckwheat (Fagopyrum esculentum Moench, 1794, vetch (Vicia sativa Linnaeus, 1753 and sunflower (Helianthus annuus Linnaeus, 1753. The рН of the studied soils fluctuated between 7.1 to 7.8. The content of available nitrogen and phosphorus in the soils was low, except the content of phosphorus in pedozems. We analyzed 240 samples of plants and measured their biomass. Vetch grew best on sod-lithogenous soils on forest-like lomy soils, buckwheat and sunflower – on sod-lithogenous soils on grey-green clays. The variant of experiment with 0.2% solution of SiO2 was most the efficient during growing all chosen crops on all types of studied soils. After adding amphoteric silicon, the best biomass indicators of vetch and buckwheat were observed on sod-lithogenous soils on forest-like loam, and indicators for sunflower – on sod-lithogenous soils on grey-green clays. After using 0.3% and 0.4% solution of SiO2, a gel film forms, which the seeds have no energy to penetrate and run out. Thus it slows the plant’s growth. An exception was an experiment with pedozems with adding 0.4% solution of SiO2, when the biomass of vetch was 1.5 times greater than in the experiment with 0.2% solution. We observed no similar positive effect in other variants of the experiment. All chosen crops on technogenically affected soils reacted to addition of silicon compounds by increasing

  17. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  18. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  19. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  20. The Rechargeability of Silicon-Air Batteries

    Science.gov (United States)

    2012-06-01

    an Si-air electrochemical cell a source of water for other applications. Metal-air batteries, silicon-air, electrochemistry , rechargeable batteries UU...be based on constant amount of water in the IL.  The electrochemistry has to be based on more robust reference electrode. Some use of ferrocence...MgO  -569.4  -601.7  3942  6859  Zn  Zn + 1/2O2 ZnO   -320.8  -350.7  1363  9677  Si  Si + O2SiO2  -856.5  -910.9  8470  21090  7 electrode. RTIL