WorldWideScience

Sample records for si-o-si bond angle

  1. Si-O-Si bond-angle distribution in vitreous silica from first-principles 29Si NMR analysis

    International Nuclear Information System (INIS)

    Mauri, Francesco; Pasquarello, Alfredo; Pfrommer, Bernd G.; Yoon, Young-Gui; Louie, Steven G.

    2000-01-01

    The correlation between 29 Si chemical shifts and Si-O-Si bond angles in SiO 2 is determined within density-functional theory for the full range of angles present in vitreous silica. This relation closely reproduces measured shifts of crystalline polymorphs. The knowledge of the correlation allows us to reliably extract from the experimental NMR spectrum the mean (151 degree sign ) and the standard deviation (11 degree sign ) of the Si-O-Si angular distribution of vitreous silica. In particular, we show that the Mozzi-Warren Si-O-Si angular distribution is not consistent with the NMR data. This analysis illustrates the potential of our approach for structural determinations of silicate glasses. (c) 2000 The American Physical Society

  2. Low-temperature Au/a-Si wafer bonding

    International Nuclear Information System (INIS)

    Jing, Errong; Xiong, Bin; Wang, Yuelin

    2011-01-01

    The Si/SiO 2 /Ti/Au–Au/Ti/a-Si/SiO 2 /Si bonding structure, which can also be used for the bonding of non-silicon material, was investigated for the first time in this paper. The bond quality test showed that the bond yield, bond repeatability and average shear strength are higher for this bonding structure. The interfacial microstructure analysis indicated that the Au-induced crystallization of the amorphous silicon process leads to big Si grains extending across the bond interface and Au filling the other regions of the bond interface, which result into a strong and void-free bond interface. In addition, the Au-induced crystallization reaction leads to a change in the IR images of the bond interface. Therefore, the IR microscope can be used to evaluate and compare the different bond strengths qualitatively. Furthermore, in order to verify the superiority of the bonding structure, the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si (i.e. no Ti/Au layer on the a-Si surface) and Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structures (i.e. Au thermocompression bonding) were also investigated. For the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si bonding structure, the poor bond quality is due to the native oxide layer on the a-Si surface, and for the Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structure, the poor bond quality is caused by the wafer surface roughness which prevents intimate contact and limits the interdiffusion at the bond interface.

  3. Geometric structure of thin SiO xN y films on Si(100)

    Science.gov (United States)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  4. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  5. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  6. Reaction mechanisms at 4H-SiC/SiO2 interface during wet SiC oxidation

    Science.gov (United States)

    Akiyama, Toru; Hori, Shinsuke; Nakamura, Kohji; Ito, Tomonori; Kageshima, Hiroyuki; Uematsu, Masashi; Shiraishi, Kenji

    2018-04-01

    The reaction processes at the interface between SiC with 4H structure (4H-SiC) and SiO2 during wet oxidation are investigated by electronic structure calculations within the density functional theory. Our calculations for 4H-SiC/SiO2 interfaces with various orientations demonstrate characteristic features of the reaction depending on the crystal orientation of SiC: On the Si-face, the H2O molecule is stable in SiO2 and hardly reacts with the SiC substrate, while the O atom of H2O can form Si-O bonds at the C-face interface. Two OH groups are found to be at least necessary for forming new Si-O bonds at the Si-face interface, indicating that the oxidation rate on the Si-face is very low compared with that on the C-face. On the other hand, both the H2O molecule and the OH group are incorporated into the C-face interface, and the energy barrier for OH is similar to that for H2O. By comparing the calculated energy barriers for these reactants with the activation energies of oxide growth rate, we suggest the orientation-dependent rate-limiting processes during wet SiC oxidation.

  7. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  8. UV-VUV laser induced phenomena in SiO2 glass

    International Nuclear Information System (INIS)

    Kajihara, Koichi; Ikuta, Yoshiaki; Oto, Masanori; Hirano, Masahiro; Skuja, Linards; Hosono, Hideo

    2004-01-01

    Creation and annihilation of point defects were studied for SiO 2 glass exposed to ultraviolet (UV) and vacuum UV (VUV) lights to improve transparency and radiation toughness of SiO 2 glass to UV-VUV laser light. Topologically disordered structure of SiO 2 glass featured by the distribution of Si-O-Si angle is a critical factor degrading transmittance near the fundamental absorption edge. Doping with terminal functional groups enhances the structural relaxation and reduces the number of strained Si-O-Si bonds by breaking up the glass network without creating the color centers. Transmittance and laser toughness of SiO 2 glass for F 2 laser is greatly improved in fluorine-doped SiO 2 glass, often referred as 'modified silica glass'. Interstitial hydrogenous species are mobile and reactive at ambient temperature, and play an important role in photochemical reactions induced by exposure to UV-VUV laser light. They terminate the dangling-bond type color centers, while enhancing the formation of the oxygen vacancies. These findings are utilized to develop a deep-UV optical fiber transmitting ArF laser photons with low radiation damage

  9. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  10. Influence of silicon dangling bonds on germanium thermal diffusion within SiO{sub 2} glass

    Energy Technology Data Exchange (ETDEWEB)

    Barba, D.; Martin, F.; Ross, G. G. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Cai, R. S.; Wang, Y. Q. [The Cultivation Base for State Key Laboratory, Qingdao University, Qingdao 266071 (China); Demarche, J.; Terwagne, G. [LARN, Centre de Recherche en Physique de la Matière et du Rayonnement (PMR), University of Namur (FUNDP), B-5000 Namur (Belgium); Rosei, F. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Center for Self-Assembled Chemical Structures, McGill University, Montreal, Quebec H3A 2K6 (Canada)

    2014-03-17

    We study the influence of silicon dangling bonds on germanium thermal diffusion within silicon oxide and fused silica substrates heated to high temperatures. By using scanning electron microscopy and Rutherford backscattering spectroscopy, we determine that the lower mobility of Ge found within SiO{sub 2}/Si films can be associated with the presence of unsaturated SiO{sub x} chemical bonds. Comparative measurements obtained by x-ray photoelectron spectroscopy show that 10% of silicon dangling bonds can reduce Ge desorption by 80%. Thus, the decrease of the silicon oxidation state yields a greater thermal stability of Ge inside SiO{sub 2} glass, which could enable to considerably extend the performance of Ge-based devices above 1300 K.

  11. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  12. Redetermination of clinobaryl-ite, BaBe(2)Si(2)O(7).

    Science.gov (United States)

    Domizio, Adrien J Di; Downs, Robert T; Yang, Hexiong

    2012-10-01

    Clinobaryl-ite, ideally BaBe(2)Si(2)O(7) (chemical name barium diberyllium disilicate), is a sorosilicate mineral and dimorphic with baryl-ite. It belongs to a group of compounds characterized by the general formula BaM(2+) (2)Si(2)O(7), with M(2+) = Be, Mg, Fe, Mn, Zn, Co, or Cu, among which the Be-, Fe-, and Cu-members have been found in nature. The crystal structure of clinobaryl-ite has been re-examined in this study based on single-crystal X-ray diffraction data collected from a natural sample from the type locality (Khibiny Massif, Kola Peninsula, Russia). The structure of clinobaryl-ite can be considered as a framework of BeO(4) and SiO(4) tetra-hedra, with one of the O atoms coordinated to two Be and one Si, one coordinated to two Si, and two O atoms coordinated to one Si and one Be atom. The BeO(4) tetra-hedra share corners, forming chains parallel to the c axis, which are inter-linked by the Si(2)O(7) units oriented parallel to the a axis. The Ba(2+) cations (site symmetry m..) are in the framework channels and are coordinated by eleven O atoms in form of an irregular polyhedron. The Si-O(br) (bridging O atom, at site symmetry m..) bond length, the Si-O(nbr) (non-bridging O atoms) bond lengths, and the Si-O-Si angle within the Si(2)O(7) unit are in marked contrast to the corresponding values determined in the previous study [Krivovichev et al. (2004 ▶). N. Jb. Miner. Mh. pp. 373-384].

  13. Density functional theory study of the structural and bonding mechanism of molecular oxygen (O2) with C3Si

    Science.gov (United States)

    Parida, Saroj K.; Behera, C.; Sahu, Sridhar

    2018-07-01

    The investigations of pure and heteroatom doped carbon clusters have created great interest because of their enormous prospective applications in various research zones, for example, optoelectronics, semiconductors, material science, energy storage devices, astro-science and so on. In this article, the interaction of molecular oxygen (O2) with C3Si has explored within a density functional theory (DFT). Different possible types of structure for C3SiO2 have collected. Among five different kinds of structure, the structure-1a, 1A1 is more energetically stable. The nature of the bonding of O2 and C3Si, in C3SiO2 has been studied by using Bader's topological analysis of the electron charge density distribution ρ(r) , Laplacian ∇2 ρ(r) and total energy density H(r) at the bond critical points (BCPs) of the structures within the framework of the atoms in molecules theory (AIM). The bonding mechanism of O2 and C3Si in C3SiO2 prompts to the fundamental understanding of the interaction of C3Si with oxygen molecule. It is interesting to note that, two types of bonding mechanism are established in same C3SiO2 system such as (i) shared-kind interactions (ii) closed-shell interactions. From various kinds of structure, Csbnd C bonds in all structures are shown as shared-kind interactions whereas Csbnd Si, Osbnd O bonds are classified as closed-shell type interactions with a certain degree of covalent character.

  14. [Effect of TiO2-SiO2-SnOx film with different firing temperatures on bond strength of low-fusing dental porcelain to pure titanium].

    Science.gov (United States)

    Zhang, Zichuan; Zhang, Pei

    2015-07-01

    To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with different firing temperatures on the bond strength of low-fusing dental porcelain to pure titanium. The surface of pure titanium was coated uniformly with TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and then fired at 300 °C (group A) or 750 °C (group B) for 1 h. The specimens without any coatings were the control group (group C). There were 10 specimens in each group. Dental porcelain was sintered on the surface of titanium specimens. Surface roughness and contact angle of the coatings were also detected. The titanium-porcelain bond strength was investigated according to YY 0621-2008 standards using three-point flexure bond test. The phase composition of the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD). The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were observed using scanning electron microscope (SEM). No rutile phase was found in these specimens of group A and group B. The surface roughness of group A, B, C was (0.97 ± 0.06), (0.99 ± 0.03), (0.96 ± 0.07) µm, respectively. No significant difference was found among the three groups. Compared with that of group C (64.37° ± 3.01°), contact angles detected in group A (52.04° ± 3.15°) and group B (85.27° ± 4.17°) were significantly different (P porcelain in group A [(35.66 ± 2.65) MPa] was significantly increased compared with those in group B [(26.18 ± 2.22) MPa] and group C [(31.66 ± 3.52) MPa]. SEM photomicrographs of titanium-porcelain interface morphology of the specimens before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group A were compact and homogeneous with petty cracks and those in group B was loose and arranged disorderly. TiO(2)-SiO(2)-SnOx nano-coating fired at 300 °C is significantly effective in improving the titanium-porcelain bond strength.

  15. Electrically detected magnetic resonance of carbon dangling bonds at the Si-face 4H-SiC/SiO2 interface

    Science.gov (United States)

    Gruber, G.; Cottom, J.; Meszaros, R.; Koch, M.; Pobegen, G.; Aichinger, T.; Peters, D.; Hadley, P.

    2018-04-01

    SiC based metal-oxide-semiconductor field-effect transistors (MOSFETs) have gained a significant importance in power electronics applications. However, electrically active defects at the SiC/SiO2 interface degrade the ideal behavior of the devices. The relevant microscopic defects can be identified by electron paramagnetic resonance (EPR) or electrically detected magnetic resonance (EDMR). This helps to decide which changes to the fabrication process will likely lead to further increases of device performance and reliability. EDMR measurements have shown very similar dominant hyperfine (HF) spectra in differently processed MOSFETs although some discrepancies were observed in the measured g-factors. Here, the HF spectra measured of different SiC MOSFETs are compared, and it is argued that the same dominant defect is present in all devices. A comparison of the data with simulated spectra of the C dangling bond (PbC) center and the silicon vacancy (VSi) demonstrates that the PbC center is a more suitable candidate to explain the observed HF spectra.

  16. Redetermination of clinobarylite, BaBe2Si2O7

    Directory of Open Access Journals (Sweden)

    Adrien J. Di Domizio

    2012-10-01

    Full Text Available Clinobarylite, ideally BaBe2Si2O7 (chemical name barium diberyllium disilicate, is a sorosilicate mineral and dimorphic with barylite. It belongs to a group of compounds characterized by the general formula BaM2+2Si2O7, with M2+ = Be, Mg, Fe, Mn, Zn, Co, or Cu, among which the Be-, Fe-, and Cu-members have been found in nature. The crystal structure of clinobarylite has been re-examined in this study based on single-crystal X-ray diffraction data collected from a natural sample from the type locality (Khibiny Massif, Kola Peninsula, Russia. The structure of clinobarylite can be considered as a framework of BeO4 and SiO4 tetrahedra, with one of the O atoms coordinated to two Be and one Si, one coordinated to two Si, and two O atoms coordinated to one Si and one Be atom. The BeO4 tetrahedra share corners, forming chains parallel to the c axis, which are interlinked by the Si2O7 units oriented parallel to the a axis. The Ba2+ cations (site symmetry m.. are in the framework channels and are coordinated by eleven O atoms in form of an irregular polyhedron. The Si—Obr (bridging O atom, at site symmetry m.. bond length, the Si—Onbr (non-bridging O atoms bond lengths, and the Si—O—Si angle within the Si2O7 unit are in marked contrast to the corresponding values determined in the previous study [Krivovichev et al. (2004. N. Jb. Miner. Mh. pp. 373–384].

  17. Passivation of defect states in Si and Si/SiO2 interface states by cyanide treatment: improvement of characteristics of pin-junction amorphous Si and crystalline Si-based metal-oxide-semiconductor junction solar cells

    International Nuclear Information System (INIS)

    Fujiwara, N.; Fujinaga, T.; Niinobe, D.; Maida, O.; Takahashi, M.; Kobayashi, H.

    2003-01-01

    Defect states in Si can be passivated by cyanide treatment which simply involves immersion of Si materials in KCN solutions, followed by rinse. When the cyanide treatment is applied to pin-junction amorphous Si [a-Si] solar cells, the initial conversion efficiency increases. When the crown-ether cyanide treatment using a KCN solution of xylene containing 18-crown-6 is performed on i-a-Si films, decreases in the photo- and dark current densities with the irradiation time are prevented. The cyanide treatment can also passivate interface states present at Si/SiO 2 interfaces, leading to an increase in the conversion efficiency of 2 / Si (100)> solar cells.. Si-CN bonds formed by the reaction of defect states with cyanide ions have a high bond energy of about 4.5 eV and hence heat treatment at 800 0 C does not rupture the bonds, making thermal stability of the cyanide treatment.. When the cyanide treatment is applied to ultrathin SiO 2 /Si structure, the leakage current density is markedly decreased (Authors)

  18. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  19. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  20. Structure and chemistry of passivated SiC/SiO{sub 2} interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Houston Dycus, J.; Xu, Weizong; LeBeau, James M. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695-7907 (United States); Lichtenwalner, Daniel J.; Hull, Brett; Palmour, John W. [Power Devices R& D, Wolfspeed, A Cree Company, Research Triangle Park, North Carolina 27709 (United States)

    2016-05-16

    Here, we report on the chemistry and structure of 4H-SiC/SiO{sub 2} interfaces passivated either by nitric oxide annealing or Ba deposition. Using aberration corrected scanning transmission electron microscopy and spectroscopy, we find that Ba and N remain localized at SiC/SiO{sub 2} interface after processing. Further, we find that the passivating species can introduce significant changes to the near-interface atomic structure of SiC. Specifically, we quantify significant strain for nitric oxide annealed sample where Si dangling bonds are capped by N. In contrast, strain is not observed at the interface of the Ba treated samples. Finally, we place these results in the context of field effect mobility.

  1. Sulfur passivation for the formation of Si-terminated Al{sub 2}O{sub 3/}SiGe(0 0 1) interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, CA (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, CA (United States); Tang, Kechao [Department of Materials Science and Engineering, Stanford University, CA (United States); Park, Sangwook; Kim, Hyonwoong [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, CA (United States); Madisetti, Shailesh [Department of Nanoscale Science and Engineering, University at Albany—State University of New York, Albany, NY (United States); McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, CA (United States); Oktyabrsky, Serge [Department of Nanoscale Science and Engineering, University at Albany—State University of New York, Albany, NY (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES USA, Inc., Albany, NY (United States); Yoshida, Noami; Kachian, Jessica [Applied Materials, Inc., Santa Clara, CA (United States); Kummel, Andrew, E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States)

    2016-03-15

    Graphical abstract: - Highlights: • Effect of wet sulfur passivation on the electrical properties of Al{sub 2}O{sub 3}/SiGe(0 0 1) interfaces has been determined. • EOT of 2.1 nm has been achieved for ALD Al{sub 2}O{sub 3} deposited directly on SiGe(0 0 1) surfaces. • Sulfur passivation has been found to passivate the Al{sub 2}O{sub 3} interface with Si−O−Al bonds. • Sulfur passivation is found to significantly reduce the GeO{sub x} or Ge−O−Al content at the Al{sub 2}O{sub 3}/SiGe interface therefore improving the reliability. • Sulfur passivation extends the surface stability prior to oxide ALD to up to an hour with no dramatic change in D{sub it}, C{sub ox} or V{sub FB} of the resulting devices. - Abstract: Sulfur passivation is used to electrically and chemically passivate the silicon–germanium (SiGe) surfaces before and during the atomic layer deposition (ALD) of aluminum oxide (Al{sub 2}O{sub 3}). The electrical properties of the interfaces were examined by variable frequency capacitance–voltage (C–V) spectroscopy. Interface compositions were determined by angle-resolved X-ray photoelectron spectroscopy (AR-XPS). The sulfur adsorbs to a large fraction of surface sites on the SiGe(0 0 1) surface, protecting the surface from deleterious surface reactions during processing. Sulfur passivation (a) improved the air stability of the cleaned surfaces prior to ALD, (b) increased the stability of the surface during high-temperature deposition, and (c) increased the Al{sub 2}O{sub 3} ALD nucleation density on SiGe, thereby lowering the leakage current. S passivation suppressed formation of Ge−O bonds at the interface, leaving the majority of the Al{sub 2}O{sub 3}–SiGe interface terminated with direct Si−O−Al bonding.

  2. Ultrathin SiO{sub 2} layer formed by the nitric acid oxidation of Si (NAOS) method to improve the thermal-SiO{sub 2}/Si interface for crystalline Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Taketoshi; Nakajima, Hiroki; Irishika, Daichi; Nonaka, Takaaki; Imamura, Kentaro; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • The density of interface states at the SiO{sub 2}/Si interface is decreased by NAOS. • The minority carrier lifetime is increased by the NAOS treatment. • Great interfacial properties of the NAOS layer are kept after thermal oxidation. - Abstract: A combination of the nitric acid oxidation of Si (NAOS) method and post-thermal oxidation is found to efficiently passivate the SiO{sub 2}/n-Si(100) interface. Thermal oxidation at 925 °C and annealing at 450 °C in pure hydrogen atmosphere increases the minority carrier lifetime by three orders of magnitude, and it is attributed to elimination of Si dangling bond interface states. Fabrication of an ultrathin, i.e., 1.1 nm, NAOS SiO{sub 2} layer before thermal oxidation and H{sub 2} annealing further increases the minority carrier lifetime by 30% from 8.6 to 11.1 ms, and decreased the interface state density by 10% from 6.9 × 10{sup 9} to 6.3 × 10{sup 9}eV{sup −1} cm{sup −2}. After thermal oxidation at 800 °C, the SiO{sub 2} layer on the NAOS-SiO{sub 2}/Si(100) structure is 2.26 nm thick, i.e., 0.24 nm thicker than that on the Si(100) surface, while after thermal oxidation at 925 °C, it is 4.2 nm thick, i.e., 0.4 nm thinner than that on Si(100). The chemical stability results from the higher atomic density of a NAOS SiO{sub 2} layer than that of a thermal oxide layer as reported in Ref. [28] (Asuha et al., 2002). Higher minority carrier lifetime in the presence of the NAOS layer indicates that the NAOS-SiO{sub 2}/Si interface with a low interface state density is preserved after thermal oxidation, which supports out-diffusion oxidation mechanism, by which a thermal oxide layer is formed on the NAOS SiO{sub 2} layer.

  3. C-H and C-C activation of n -butane with zirconium hydrides supported on SBA15 containing N-donor ligands: [(≡SiNH-)(≡SiX-)ZrH2], [(≡SiNH-)(≡SiX-)2ZrH], and[(≡SiN=)(≡SiX-)ZrH] (X = -NH-, -O-). A DFT study

    KAUST Repository

    Pasha, Farhan Ahmad; Bendjeriou-Sedjerari, Anissa; Huang, Kuo-Wei; Basset, Jean-Marie

    2014-01-01

    : [(≡SiNH-)(≡SiO-)ZrH2] (A), [(≡SiNH-)2ZrH2] (B), [(≡SiNH-)(≡SiO-) 2ZrH] (C), [(≡SiNH-)2(≡SiO-)ZrH] (D), [(≡SiN=)(≡Si-O-)ZrH] (E), and [(≡SiN=)(≡SiNH-)ZrH] (F). The roles of these hydrides have been investigated in C-H/C-C bond activation and cleavage

  4. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  5. Redetermination of clinobaryl­ite, BaBe2Si2O7

    Science.gov (United States)

    Domizio, Adrien J. Di; Downs, Robert T.; Yang, Hexiong

    2012-01-01

    Clinobaryl­ite, ideally BaBe2Si2O7 (chemical name barium diberyllium disilicate), is a sorosilicate mineral and dimorphic with baryl­ite. It belongs to a group of compounds characterized by the general formula BaM 2+ 2Si2O7, with M 2+ = Be, Mg, Fe, Mn, Zn, Co, or Cu, among which the Be-, Fe-, and Cu-members have been found in nature. The crystal structure of clinobaryl­ite has been re-examined in this study based on single-crystal X-ray diffraction data collected from a natural sample from the type locality (Khibiny Massif, Kola Peninsula, Russia). The structure of clinobaryl­ite can be considered as a framework of BeO4 and SiO4 tetra­hedra, with one of the O atoms coordinated to two Be and one Si, one coordinated to two Si, and two O atoms coordinated to one Si and one Be atom. The BeO4 tetra­hedra share corners, forming chains parallel to the c axis, which are inter­linked by the Si2O7 units oriented parallel to the a axis. The Ba2+ cations (site symmetry m..) are in the framework channels and are coordinated by eleven O atoms in form of an irregular polyhedron. The Si—Obr (bridging O atom, at site symmetry m..) bond length, the Si—Onbr (non-bridging O atoms) bond lengths, and the Si—O—Si angle within the Si2O7 unit are in marked contrast to the corresponding values determined in the previous study [Krivovichev et al. (2004 ▶). N. Jb. Miner. Mh. pp. 373–384]. PMID:23125568

  6. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  7. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  8. Fabrication of Carbon Nanotube/SiO2and Carbon Nanotube/SiO2/Ag Nanoparticles Hybrids by Using Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Li Haiqing

    2009-01-01

    Full Text Available Abstract Based on plasma-treated single wall carbon nanotubes (SWCNTs, SWCNT/SiO2and thiol groups-functionalized SWCNT/SiO2hybrids have been fabricated through a sol–gel process. By means of thiol groups, Ag nanoparticles have been in situ synthesized and bonded onto the SiO2shell of SWCNT/SiO2in the absence of external reducing agent, resulting in the stable carbon nanotube/SiO2/Ag nanoparticles hybrids. This strategy provides a facile, low–cost, and green methodology for the creation of carbon nanotube/inorganic oxides-metal nanoparticles hybrids.

  9. Bond length contraction in Au nanocrystals formed by ion implantation into thin SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Johannessen, B.; Giraud, V.; Cheung, A.; Glover, C.J.; Azevedo, G. de M; Foran, G.J.; Ridgway, M.C.

    2004-01-01

    Au nanocrystals (NCs) fabricated by ion implantation into thin SiO 2 and annealing were investigated by means of extended x-ray absorption fine structure (EXAFS) spectroscopy and transmission electron microscopy. A bond length contraction was observed and can be explained by surface tension effects in a simple liquid-drop model. Such results are consistent with previous reports on nonembedded NCs implying a negligible influence of the SiO 2 matrix. Cumulant analysis of the EXAFS data suggests surface reconstruction or relaxation involving a further shortened bond length. A deviation from the octahedral closed shell structure is apparent for NCs of size 25 A

  10. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  11. Extremely improved InP template and GaInAsP system growth on directly-bonded InP/SiO2-Si and InP/glass substrate

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko

    2013-01-01

    We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Beyond sixfold coordinated Si in SiO2 glass at ultrahigh pressures.

    Science.gov (United States)

    Prescher, Clemens; Prakapenka, Vitali B; Stefanski, Johannes; Jahn, Sandro; Skinner, Lawrie B; Wang, Yanbin

    2017-09-19

    We investigated the structure of SiO 2 glass up to 172 GPa using high-energy X-ray diffraction. The combination of a multichannel collimator with diamond anvil cells enabled the measurement of structural changes in silica glass with total X-ray diffraction to previously unachievable pressures. We show that SiO 2 first undergoes a change in Si-O coordination number from fourfold to sixfold between 15 and 50 GPa, in agreement with previous investigations. Above 50 GPa, the estimated coordination number continuously increases from 6 to 6.8 at 172 GPa. Si-O bond length shows first an increase due to the fourfold to sixfold coordination change and then a smaller linear decrease up to 172 GPa. We reconcile the changes in relation to the oxygen-packing fraction, showing that oxygen packing decreases at ultrahigh pressures to accommodate the higher than sixfold Si-O coordination. These results give experimental insight into the structural changes of silicate glasses as analogue materials for silicate melts at ultrahigh pressures.

  13. Crystallization behavior of Li2O-SiO2, Na2O-SiO2 and Na2O-CaO-SiO2 glasses; Li2O-SiO2, Na2O-SiO2, Na2O-CaO-SiO2 kei glass no kessho sekishutsu kyodo

    Energy Technology Data Exchange (ETDEWEB)

    Tsutsumi, K.; Otake, J.; Nagasaka, T.; Hino, M. [Tohoku University, Sendai (Japan)

    1998-06-01

    It has been known that crystallization of mold powder is effective on the disturbance of heat transfer between mold and solidified shell in production of middle carbon steel slabs in continuous casting process. But it has not yet been made clear which composition of mold powder is the most suitable for crystallization. The crystallization behavior of Li2O-SiO2, Na2O-SiO2 and Na2O-CaO-SiO2 glasses was observed by differential thermal analysis (DTA) and hot-thermocouple methods with DTA in the present work. As a result, addition of alkaline metal and alkaline earth metal oxides to SiO2 increased the critical cooling rate for glass formation in binary system of Li2O-SiO2 and Na2O-SiO2 and Li2O-SiO2 system crystallized easier than Na2O-SiO2 system. In ternary system of Na2O-CaO-SiO2, addition of Na2O hurried the critical cooling rate at CaO/SiO2=0.93 mass ratio, but the rate was almost constant in the composition range of more than 15 mass% Na2O. The slag of CaO/SiO2=0.93 made the rate faster than the slag of CaO/SiO2=0.47 at constant content of 10mass% Na2O. 17 refs., 10 figs., 3 tabs.

  14. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  15. A thermochemical approach to enhance hydrophobicity of SiC/SiO{sub 2} powder using γ-methacryloxypropyl trimethoxy silane and octylphenol polyoxyethylene ether (7)

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chunxue; Feng, Dandan; Wang, Xiangke; Li, Zhihong; Zhu, Yumei, E-mail: zhuyumei@tju.edu.cn

    2016-01-01

    Graphical abstract: Through the exploration of modification mechanism, the hydrophilic properties of SiC/SiO{sub 2}-KH570-OP-7 were far superior to SiC/SiO{sub 2}-KH570. - Highlights: • A novel universal method is performed to enhance hydrophobicity of SiC/SiO{sub 2} powder. • Through pyrolysis of KH570 and OP-7, hydrophilic groups is grafted. • The hydrophobicity of SiC/SiO{sub 2}-KH570-OP-7 was far superior to SiC/SiO{sub 2}-KH570. • A possible formation mechanism of hydrophilic surface was proposed. • Surface changes on SiC/SiO{sub 2}-KH570-OP-7 powder were analyzed via SEM, FTIR, XPS. - Abstract: A thermochemical synthetic methodology for silicon carbide/silica (SiC/SiO{sub 2}) powder modified by integrating γ-methacryloxypropyl trimethoxy silane (KH570) and octylphenol polyoxyethylene ether (7) (OP-7) with hydrophilic SiC/SiO{sub 2} particles is described. On account of weak hydrophobicity of SiC/SiO{sub 2} powder modified by KH570 (SiC/SiO{sub 2}-KH570), the study focuses on the improvement of hydrophobicity utilizing alkylation reaction between OP-7 and KH570 at high temperature. Compared with using KH570 alone, SiC/SiO{sub 2} powder modified by KH570 and OP-7 (SiC/SiO{sub 2}-KH570-OP-7) shows better water resistance, and also an increased contact angle from 73.8° to 136.4°, resulting thus an improved hydrophobicity. Fourier transform infrared spectroscopy (FTIR), as well as X-ray photoelectron spectroscopy (XPS), was utilized to characterize these surfaces, and the results indicated that KH570 and OP-7 can be covalently bonded on the surface of SiC/SiO{sub 2} powder. Furthermore, it has been deeply investigated in the paper not only the possible modes of non-oxidative thermal degradation of OP-7 and KH570, but also the formation mechanism of more hydrophobic SiC/SiO{sub 2}-KH570-OP-7 powder, which probably will have a potential utility for other inorganic materials.

  16. Synthesis of hybrid cellulose nanocomposite bonded with dopamine SiO2/TiO2 and its antimicrobial activity

    Science.gov (United States)

    Ramesh, Sivalingam; Kim, Gwang-Hoon; Kim, Jaehwan; Kim, Joo-Hyung

    2015-04-01

    Organic-inorganic hybrid material based cellulose was synthesized by the sol-gel approach. The explosion of activity in this area in the past decade has made tremendous progress in industry or academic both fundamental understanding of sol-gel process and applications of new functionalized hybrid materials. In this present research work, we focused on cellulose-dopamine functionalized SiO2/TiO2 hybrid nanocomposite by sol-gel process. The cellulose-dopamine hybrid nanocomposite was synthesized via γ-aminopropyltriethoxysilane (γ-APTES) coupling agent by in-situ sol-gel process. The chemical structure of cellulose-amine functionalized dopamine bonding to cellulose structure with covalent cross linking hybrids was confirmed by FTIR spectral analysis. The morphological analysis of cellulose-dopamine nanoSiO2/TiO2 hybrid nanocomposite materials was characterized by XRD, SEM and TEM. From this different analysis results indicate that the optical transparency, thermal stability, control morphology of cellulose-dopamine-SiO2/TiO2 hybrid nanocomposite. Furthermore cellulose-dopamine-SiO2/TiO2 hybrid nanocomposite was tested against pathogenic bacteria for antimicrobial activity.

  17. Determination of wafer bonding mechanisms for plasma activated SiN films with x-ray reflectivity

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Sun, Y [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Hicks, R [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Specular and diffuse x-ray reflectivity measurements were employed for wafer bonding studies of surface and interfacial reactions in {approx}800 A thick SiN films deposited on III-V substrates. CuK{sub {alpha}}{sub 1} radiation was employed for these measurements. The as-deposited films show very low surface roughness and uniform, high density SiN. Reflectivity measurements show that an oxygen plasma treatment converts the nitride surface to a somewhat porous SiO{sub x} layer (67 A thick, at 80% of SiO{sub 2} density), with confirmation of the oxide formation from x-ray photoelectron spectroscopy. Reactions at the bonded interface of two oxygen plasma treated SiN layers were examined using a bonded structure from which one of the III-V wafers is removed. Reflectivity measurements of bonded structures annealed at 150 deg. C and 300 deg. C show an increase in the SiO{sub x} layer density and thickness and even a density gradient across this interface. The increase in density is correlated with an increase in bond strength, where after the 300 deg. C anneal, a high interfacial bond strength, exceeding the bulk strength, was achieved.

  18. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  19. Ordering at Si(111)/o-Si and Si(111)/SiO2 Interfaces

    DEFF Research Database (Denmark)

    Robinson, I. K.; Waskiewicz, W. K.; Tung, R. T.

    1986-01-01

    X-ray diffraction has been used to measure the intensity profile of the two-dimensional rods of scattering from a single interface buried inside a bulk material. In both Si(111)/a-Si and Si(111)/SiO2 examples there are features in the perpendicular-momentum-transfer dependence which are not expec...... are not expected from an ideal sharp interface. The diffraction profiles are explained by models with partially ordered layers extending into the amorphous region. In the Si(111)/a-Si case there is clear evidence of stacking faults which are attributed to residual 7×7 reconstruction....

  20. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  1. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  2. Formation of III–V-on-insulator structures on Si by direct wafer bonding

    International Nuclear Information System (INIS)

    Yokoyama, Masafumi; Iida, Ryo; Ikku, Yuki; Kim, Sanghyeon; Takenaka, Mitsuru; Takagi, Shinichi; Takagi, Hideki; Yasuda, Tetsuji; Yamada, Hisashi; Ichikawa, Osamu; Fukuhara, Noboru; Hata, Masahiko

    2013-01-01

    We have studied the formation of III–V-compound-semiconductors-on-insulator (III–V-OI) structures with thin buried oxide (BOX) layers on Si wafers by using developed direct wafer bonding (DWB). In order to realize III–V-OI MOSFETs with ultrathin body and extremely thin body (ETB) InGaAs-OI channel layers and ultrathin BOX layers, we have developed an electron-cyclotron resonance (ECR) O 2 plasma-assisted DWB process with ECR sputtered SiO 2 BOX layers and a DWB process based on atomic-layer-deposition Al 2 O 3 (ALD-Al 2 O 3 ) BOX layers. It is essential to suppress micro-void generation during wafer bonding process to achieve excellent wafer bonding. We have found that major causes of micro-void generation in DWB processes with ECR-SiO 2 and ALD-Al 2 O 3 BOX layers are desorption of Ar and H 2 O gas, respectively. In order to suppress micro-void generation in the ECR-SiO 2 BOX layers, it is effective to introduce the outgas process before bonding wafers. On the other hand, it is a possible solution for suppressing micro-void generation in the ALD-Al 2 O 3 BOX layers to increase the deposition temperature of the ALD-Al 2 O 3 BOX layers. It is also another possible solution to deposit ALD-Al 2 O 3 BOX layers on thermally oxidized SiO 2 layers, which can absorb the desorption gas from ALD-Al 2 O 3 BOX layers. (invited paper)

  3. Electronic structure and bonding in the ternary silicide YNiSi3

    International Nuclear Information System (INIS)

    Sung, Gi Hong; Kang, Dae Bok

    2003-01-01

    An analysis of the electronic structure and bonding in the ternary silicide YNiSi 3 is made, using extended Hueckel tight-binding calculations. The YNiSi 3 structure consists of Ni-capped Si 2 dimer layers and Si zigzag chains. Significant bonding interactions are present between the silicon atoms in the structure. The oxidation state formalism of (Y 3+ )(Ni 0 )(Si 3 ) 3- for YNiSi 3 constitutes a good starting point to describe its electronic structure. Si atoms receive electrons form the most electropositive Y in YNiSi 3 , and Ni 3d and Si 3p states dominate below the Fermi level. There is an interesting electron balance between the two Si and Ni sublattices. Since the π orbitals in the Si chain and the Ni d and s block levels are almost completely occupied, the charge balance for YNiSi 3 can be rewritten as (Y 3+ )(Ni 2- )(Si 2- )(Si-Si) + , making the Si 2 layers oxidized. These results suggest that the Si zigzag chain contains single bonds and the Si 2 double layer possesses single bonds within a dimer with a partial double bond character. Stronger Si-Si and Ni-Si bonding interactions are important for giving stability to the structure, while essentially no metal-metal bonding exists at all. The 2D metallic behavior of this compound is due to the Si-Si interaction leading to dispersion of the several Si 2 π bands crossing the Fermi level in the plane perpendicular to the crystallographic b axis

  4. Analyses of the As doping of SiO{sub 2}/Si/SiO{sub 2} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, Francesco; Miritello, Maria [CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Tomasello, Mario Vincenzo [Scuola Superiore di Catania, via San Nullo 5/i, 95123 Catania (Italy); De Bastiani, Riccardo; Grimaldi, Maria Grazia [Dipartimento di Fisica ed Astronomia, Universita di Catania, via S. Sofia 64, 95123 Catania (Italy); CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Nicotra, Giuseppe; Spinella, Corrado [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), VIII Strada 5, 95121 Catania (Italy)

    2011-03-15

    We illustrate the behaviour of As when it is confined, by the implantation technique, in a SiO{sub 2}(70nm)/Si(30nm)/SiO{sub 2}(70nm) multilayer and its spatial redistribution when annealing processes are performed. By Rutherford backscattering spectrometry and Z-contrast transmission electron microscopy we found an As accumulation at the Si/SiO{sub 2} interfaces and at the Si grain boundaries with no segregation of the As in the Si layer. Such an effect is in agreement with a model that assumes a traps distribution in the Si in the first 2-3 nm above the SiO{sub 2}/Si interfaces and along the Si grain boundaries. The traps concentration at the Si/SiO{sub 2} interfaces was estimated in 10{sup 14} traps/cm{sup 2}. The outlined results can open perspectives on the doping properties of As in Si nanocrystals, whose applications in nanoelectronics and optoelectronics are widely investigated (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  6. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  7. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  8. BiOBr@SiO2 flower-like nanospheres chemically-bonded on cement-based materials for photocatalysis

    Science.gov (United States)

    Wang, Dan; Hou, Pengkun; Yang, Ping; Cheng, Xin

    2018-02-01

    Endowment of photocatalytic property on the surface of concrete structure can contribute to the self-cleaning of the structure and purification of the polluted environment. We developed a nano-structured BiOBr@SiO2 photocatalyst and innovatively used for surface-treatment of cement-based materials with the hope of attaining the photocatalytic property in visible-light region and surface modification/densification performances. The SiO2 layer on the flower-like BiOBr@SiO2 helps to maintain a stable distribution of the photocatalyst, as well as achieving a chemical bonding between the coating and the cement matrix. Results showed that the color fading rate of during the degradation of Rhodamine B dye of the BiOBr-cem sample is 2 times higher compared with the commonly studied C, N-TiO2-cem sample. The photo-degradation rates of samples BiOBr-cem and BiOBr@SiO2-cem are 93 and 81% within 150 min, respectively, while sample BiOBr@SiO2-cem reveals a denser and smoother surface after curing for 28 days and pore-filling effect at size within 0.01-0.2 μm when compared with untreated samples. Moreover, additional C-S-H gel can be formed due to the pozzolanic reaction between BiOBr@SiO2 and the hardened cement matrix. Both advantages of the BiOBr@SiO2 favor its application for surface-treatment of hardened cement-based material to acquire an improved surface quality, as well as durable photocatalytic functionality.

  9. Fusion bonding of Si wafers investigated by x ray diffraction

    DEFF Research Database (Denmark)

    Weichel, Steen; Grey, Francois; Rasmussen, Kurt

    2000-01-01

    The interface structure of bonded Si(001) wafers with twist angle 6.5 degrees is studied as a function of annealing temperature. An ordered structure is observed in x-ray diffraction by monitoring a satellite reflection due to the periodic modulation near the interface, which results from...

  10. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  11. B2O3/SiO2 substitution effect on structure and properties of Na2O-CaO-SrO-P2O5-SiO2 bioactive glasses from molecular dynamics simulations.

    Science.gov (United States)

    Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng

    2018-05-23

    The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.

  12. Synthesis of SiO(x) powder using DC arc plasma.

    Science.gov (United States)

    Jung, Chan-Ok; Park, Dong-Wha

    2013-02-01

    SiO(x) was prepared by DC arc plasma and applied to the anode material of lithium ion batteries. A pellet of a mixture of Si and SiO2 was used as the raw material. The ratios of the silicon and silicon dioxide (SiO2) mixtures were varied by controlling the Si-SiO2 molar ratio (Si-SiO2 = 1-4). Hydrogen gas was used as the reduction atmosphere in the chamber. The prepared SiO(x) was collected on the chamber wall. The obtained SiO(x) was characterized by X-ray diffraction (XRD), field emission-scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). XRD and TEM showed that the phase composition of the prepared particles was composed of amorphous SiO(x) and crystalline Si. The prepared SiO(x) showed wire and spherical morphology. XPS indicated the bonding state and 'x' value of the prepared SiO(x), which was close to one. The result of prepared SiO(x) is discussed from thermodynamic equilibrium calculations. The electrochemical behavior of the silicon monoxide anode was investigated.

  13. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  14. Nonvolatile field effect transistors based on protons and Si/SiO2Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Vanheusden, K.; Fleetwood, D.M.; Schwank, J.R.; Winokur, P.S.; Knoll, M.G.; Devine, R.A.B.

    1997-01-01

    Recently, the authors have demonstrated that annealing Si/SiO 2 /Si structures in a hydrogen containing ambient introduces mobile H + ions into the buried SiO 2 layer. Changes in the H + spatial distribution within the SiO 2 layer were electrically monitored by current-voltage (I-V) measurements. The ability to directly probe reversible protonic motion in Si/SiO 2 /Si structures makes this an exemplar system to explore the physics and chemistry of hydrogen in the technologically relevant Si/SiO 2 structure. In this work, they illustrate that this effect can be used as the basis for a programmable nonvolatile field effect transistor (NVFET) memory that may compete with other Si-based memory devices. The power of this novel device is its simplicity; it is based upon standard Si/SiO 2 /Si technology and forming gas annealing, a common treatment used in integrated circuit processing. They also briefly discuss the effects of radiation on its retention properties

  15. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  16. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  17. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  18. Development and Property Evaluation of Selected HfO2-Silicon and Rare Earth-Silicon Based Bond Coats and Environmental Barrier Coating Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2016-01-01

    Ceramic environmental barrier coatings (EBC) and SiC/SiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiC/SiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si and rare earth Si based EBC bond coat EBC systems for SiC/SiC CMC combustor and turbine airfoil applications are investigated. High temperature properties of the advanced EBC systems, including the strength, fracture toughness, creep and oxidation resistance have been studied and summarized. The advanced NASA EBC systems showed some promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  19. Enstatite, Mg2Si2O6: A neutron diffraction refinement of the crystal structure and a rigid-body analysis of the thermal vibration

    International Nuclear Information System (INIS)

    Ghose, S.; Schomaker, V.; McMullan, R.K.

    1986-01-01

    Synthetic enstatite, Mg 2 Si 2 O 6 , is orthorhombic, space group Pbca, with eight formula units per cell and lattice parameters a = 18.235(3), b = 8.818(1), c = 5.179(1) A at 23 0 C. A least-squares structure refinement based on 1790 neutron intensity data converged with an agreement factor R(F 2 ) = 0.032, yielding Mg-O and Si-O bond lengths with standard deviations of 0.0007 and 0.0008 A, respectively. The variations observed in the Si-O bond lengths within the silicate tetrahedra A and B are caused by the differences in primary coordination of the oxygen atoms and the proximity of the magnesium ions to the silicon atoms. The latter effect is most pronounced for the bridging bonds of tetrahedron. A. The smallest O-Si-O angle is the result of edge-sharing by the Mg(2) octahedron and the A tetrahedron. An analysis of rigid-body thermal vibrations of the two crystallographically independent [SiO 4 ] tetrahedra indicates considerable librational motion, leading to a thermal correction of apparent Si-O bond lengths as large as +0.002 A at room temperature. (orig.)

  20. Raman and optical absorption spectroscopic investigation of Yb-Er codoped phosphate glasses containing SiO2

    Institute of Scientific and Technical Information of China (English)

    Youkuo Chen; Lei Wen; Lili Hu; Wei Chen; Y. Guyot; G. Boulon

    2009-01-01

    Yb-Er codoped Na2O-Al2O3-P2Os-xSiO2 glasses containing 0 鈥? 20 mol% SiO2 were prepared successfully. The addition of SiO2 to the phosphate glass not only lengthens the bond between P5+ and non-bridging oxygen but also reduces the number of P=O bond. In contrast with silicate glass in which there is only four-fold coordinated Si4+, most probably there coexist [SiO4] tetrahedron and [SiO6] octahedron in our glasses. Within the range of 0 鈥? 20 mol% SiO2 addition, the stimulated emission cross-section of Er3+ ion only decreases no more than 10%. The Judd-Ofelt intensity parameters of Er3+, 惟.2 does not change greatly, but 惟74 and 惟6 decrease obviously with increasing SiO2 addition, because the bond between Er + and O2- is more strongly covalently bonded.

  1. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  2. Hydrogen interaction kinetics of Ge dangling bonds at the Si0.25Ge0.75/SiO2 interface

    International Nuclear Information System (INIS)

    Stesmans, A.; Nguyen Hoang, T.; Afanas'ev, V. V.

    2014-01-01

    The hydrogen interaction kinetics of the GeP b1 defect, previously identified by electron spin resonance (ESR) as an interfacial Ge dangling bond (DB) defect occurring in densities ∼7 × 10 12  cm −2 at the SiGe/SiO 2 interfaces of condensation grown (100)Si/a-SiO 2 /Ge 0.75 Si 0.25 /a-SiO 2 structures, has been studied as function of temperature. This has been carried out, both in the isothermal and isochronal mode, through defect monitoring by capacitance-voltage measurements in conjunction with ESR probing, where it has previously been demonstrated the defects to operate as negative charge traps. The work entails a full interaction cycle study, comprised of analysis of both defect passivation (pictured as GeP b1 -H formation) in molecular hydrogen (∼1 atm) and reactivation (GeP b1 -H dissociation) in vacuum. It is found that both processes can be suitably described separately by the generalized simple thermal (GST) model, embodying a first order interaction kinetics description based on the basic chemical reactions GeP b1  + H 2  → GeP b1 H + H and GeP b1 H → GeP b1  + H, which are found to be characterized by the average activation energies E f  = 1.44 ± 0.04 eV and E d  = 2.23 ± 0.04 eV, and attendant, assumedly Gaussian, spreads σE f  = 0.20 ± 0.02 eV and σE d  = 0.15 ± 0.02 eV, respectively. The substantial spreads refer to enhanced interfacial disorder. Combination of the separately inferred kinetic parameters for passivation and dissociation results in the unified realistic GST description that incorporates the simultaneous competing action of passivation and dissociation, and which is found to excellently account for the full cycle data. For process times t a  ∼ 35 min, it is found that even for the optimum treatment temperature ∼380 °C, only ∼60% of the GeP b1 system can be electrically silenced, still far remote from device grade level. This

  3. H2O incorporation in the phosphorene/a-SiO2 interface: a first-principles study.

    Science.gov (United States)

    Scopel, Wanderlã L; Souza, Everson S; Miwa, R H

    2017-02-22

    Based on first-principles calculations, we investigate (i) the energetic stability and electronic properties of single-layer phosphorene (SLP) adsorbed on an amorphous SiO 2 surface (SLP/a-SiO 2 ), and (ii) the further incorporation of water molecules at the phosphorene/a-SiO 2 interface. In (i), we find that the phosphorene sheet binds to a-SiO 2 through van der Waals interactions, even in the presence of oxygen vacancies on the surface. The SLP/a-SiO 2 system presents a type-I band alignment, with the valence (conduction) band maximum (minimum) of the phosphorene lying within the energy gap of the a-SiO 2 substrate. The structure and the surface-potential corrugations promote the formation of electron-rich and electron-poor regions on the phosphorene sheet and at the SLP/a-SiO 2 interface. Such charge density puddles are strengthened by the presence of oxygen vacancies in a-SiO 2 . In (ii), because of the amorphous structure of the surface, we consider a number of plausible geometries for H 2 O embedded in the SLP/a-SiO 2 interface. There is an energetic preference for the formation of hydroxyl (OH) groups on the a-SiO 2 surface. Meanwhile, in the presence of oxygenated water or interstitial oxygen in the phosphorene sheet, we observe the formation of metastable OH bonded to the phosphorene, and the formation of energetically stable P-O-Si chemical bonds at the SLP/a-SiO 2 interface. Further x-ray absorption spectra simulations are performed, which aim to provide additional structural/electronic information on the oxygen atoms forming hydroxyl groups or P-O-Si chemical bonds at the interface region.

  4. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  5. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  6. Study of Si/Si, Si/SiO2, and metal-oxide-semiconductor (MOS) using positrons

    International Nuclear Information System (INIS)

    Leung, To Chi.

    1991-01-01

    A variable-energy positron beam is used to study Si/Si, Si/SiO 2 , and metal-oxide-semiconductor (MOS) structures. The capability of depth resolution and the remarkable sensitivity to defects have made the positron annihilation technique a unique tool in detecting open-volume defects in the newly innovated low temperature (300C) molecular-beam-epitaxy (MBE) Si/Si. These two features of the positron beam have further shown its potential role in the study of the Si/SiO 2 . Distinct annihilation characteristics has been observed at the interface and has been studied as a function of the sample growth conditions, annealing (in vacuum), and hydrogen exposure. The MOS structure provides an effective way to study the electrical properties of the Si/SiO 2 interface as a function of applied bias voltage. The annihilation characteristics show a large change as the device condition is changed from accumulation to inversion. The effect of forming gas (FG) anneal is studied using positron annihilation and the result is compared with capacitance-voltage (C-V) measurements. The reduction in the number of interface states is found correlated with the changes in the positron spectra. The present study shows the importance of the positron annihilation technique as a non-contact, non-destructive, and depth-sensitive characterization tool to study the Si-related systems, in particular, the Si/SiO 2 interface which is of crucial importance in semiconductor technology, and fundamental understanding of the defects responsible for degradation of the electrical properties

  7. Preparation of Ag@mSiO{sub 2} and Pt@mSiO{sub 2}nano composites using trioctylmethyl ammonium hydrogen phthalate (TOMAHP) ionic liquid as reaction medium

    Energy Technology Data Exchange (ETDEWEB)

    Biswas, Sujoy, E-mail: sujoyb@barc.gov.in [Chemical Engineering Group, Bhabha Atomic Research Centre, Mumbai 400085 (India); Dasgupta, Kinshuk [Materials Group, Bhabha Atomic Research Centre, Mumbai 400085 (India); Bahadur, Jitendra [Solid State Physics Division, Bhabha Atomic Research Centre, Mumbai 400085 (India); Tewari, Raghavendra [Materials Group, Bhabha Atomic Research Centre, Mumbai 400085 (India); Mazumder, Subhasish [Solid State Physics Division, Bhabha Atomic Research Centre, Mumbai 400085 (India)

    2016-09-15

    A novel one step green chemistry approach utilizing trioctylmethyl ammonium hydrogen phthalate (TOMAHP), task specific ionic liquid has been attempted for synthesis of Ag and Pt nanoparticles supported on silica (Ag@mSiO{sub 2} and Pt@mSiO{sub 2}). Structure, size distribution and morphology of these nano-composite particles were evaluated using X-ray diffraction (XRD), transmission electron microscopy (TEM), small angle neutron scattering (SANS) as well as small angle X-ray scattering (SAXS) techniques. The XRD results show that Ag/Pt metal nanoparticles deposited on to SiO{sub 2} surface are face center cubic (fcc) in nature. The TEM and SAXS/SANS results show the morphology and size distributions of Ag and Pt nanoparticles loaded on to the surface of SiO{sub 2}. It has been found that Ag nanoparticles are well dispersed on to the SiO{sub 2} surface and are quite monodisperse in size, whereas Pt nanoparticles are quite polydisperse in size and forms aggregate or chain like structure on SiO{sub 2} surface containing primary nanoparticles of typical size range 3–7 nm. The stability of nanoparticles, which controls its dispersion on SiO{sub 2} substrate, has been discussed. - Graphical abstract: Mechanism for Ag@mSiO{sub 2} and Pt@mSiO{sub 2} nano composites in TOMAHP ionic liquid medium. - Highlights: • Novel methods for preparation of Pt@SiO{sub 2} and Ag@SiO{sub 2} nano composite in functionalized ionic liquid. • Pt@SiO{sub 2} and Ag@SiO{sub 2} nano composite are characterized using XRD, TEM as well as small angle x-ray scattering techniques. • The sizes of nano composite is <10 nm in size. • The method is simple one step, green chemical reduction method to prepare SiO{sub 2} support nano catalyst.

  8. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  9. Effect of nano-SiO2 particles and curing time on development of fiber-matrix bond properties and microstructure of ultra-high strength concrete

    International Nuclear Information System (INIS)

    Wu, Zemei; Khayat, Kamal Henri; Shi, Caijun

    2017-01-01

    Bond properties between fibers and cementitious matrix have significant effect on the mechanical behavior of composite materials. In this study, the development of steel fiber-matrix interfacial bond properties in ultra-high strength concrete (UHSC) proportioned with nano-SiO 2 varying between 0 and 2%, by mass of cementitious materials, was investigated. A statistical model relating either bond strength or pullout energy to curing time and nano-SiO 2 content was proposed by using the response surface methodology. Mercury intrusion porosimetry (MIP) and backscatter scanning electron microscopy (BSEM) were used to characterize the microstructure of the matrix and the fiber-matrix interface, respectively. Micro-hardness around the embedded fiber and hydration products of the matrix were evaluated as well. Test results indicated that the optimal nano-SiO 2 dosage was 1% in terms of the bond properties and the microstructure. The proposed quadratic model efficiently predicted the bond strength and pullout energy with consideration of curing time and nano-SiO 2 content. The improvement in bond properties associated with nano-silica was correlated with denser matrix and/or interface and stronger bond and greater strength of hydration products based on microstructural analysis.

  10. Q(n) species distribution in K2O.2SiO2 glass by 29Si magic angle flipping NMR.

    Science.gov (United States)

    Davis, Michael C; Kaseman, Derrick C; Parvani, Sahar M; Sanders, Kevin J; Grandinetti, Philip J; Massiot, Dominique; Florian, Pierre

    2010-05-06

    Two-dimensional magic angle flipping (MAF) was employed to measure the Q((n)) distribution in a (29)Si-enriched potassium disilicate glass (K(2)O.2SiO(2)). Relative concentrations of [Q((4))] = 7.2 +/- 0.3%, [Q((3))] = 82.9 +/- 0.1%, and [Q((2))] = 9.8 +/- 0.6% were obtained. Using the thermodynamic model for Q((n)) species disproportionation, these relative concentrations yield an equilibrium constant k(3) = 0.0103 +/- 0.0008, indicating, as expected, that the Q((n)) species distribution is close to binary in the potassium disilicate glass. A Gaussian distribution of isotropic chemical shifts was observed for each Q((n)) species with mean values of -82.74 +/- 0.03, -91.32 +/- 0.01, and -101.67 +/- 0.02 ppm and standard deviations of 3.27 +/- 0.03, 4.19 +/- 0.01, and 5.09 +/- 0.03 ppm for Q((2)), Q((3)), and Q((4)), respectively. Additionally, nuclear shielding anisotropy values of zeta =-85.0 +/- 1.3 ppm, eta = 0.48 +/- 0.02 for Q((2)) and zeta = -74.9 +/- 0.2 ppm, eta = 0.03 +/- 0.01 for Q((3)) were observed in the potassium disilicate glass.

  11. Experimental observations of the chemistry of the SiO2/Si interface

    Science.gov (United States)

    Grunthaner, F. J.; Maserjian, J.

    1977-01-01

    Changes in silicon surface preparation prior to thermal oxidation are shown to leave a signature by altering the final SiO2/Si interface structure. Surface analytical techniques, including XPS, static SIMS, ion milling, and newly developed wet-chemical profiling procedures are used to obtain detailed information on the chemical structure of the interface. The oxides are shown to be essentially SiO2 down to a narrow transitional interface layer (3-7 A). A number of discrete chemical species are observed in this interface layer, including different silicon bonds (e.g., C-, OH-, H-) and a range of oxidation states of silicon (0 to +4). The effect of surface preparation and the observed chemical species are correlated with oxide growth rate, surface-state density, and flatband shifts after irradiation.

  12. Slow positron studies of hydrogen activation/passivation on SiO2/Si(100) interfaces

    International Nuclear Information System (INIS)

    Lynn, K.G.; Asoka-Kumar, P.

    1991-01-01

    The hydrogen atoms are one of the most common impurity species found in semiconductor systems owing to its large diffusivity, and are easily incorporated either in a controlled process like in ion implantation or in an uncontrolled process like the one at the fabrication stage. Hydrogen can passivate dangling bonds and dislocations in these systems and hence can be used to enhance the electrical properties. In a SiO 2 /Si system, hydrogen can passivate electronic states at the interface and can alter the fixed or mobile charges in the oxide layer. Since hydrogen is present in almost all of the environments of SiO 2 /Si wafer fabrication, the activation energy of hydrogen atoms is of paramount importance to a proper understanding of SiO 2 /Si based devices and has not been measured on the technologically most important Si(100) face. There are no direct, nondestructive methods available to observe hydrogen injection into the oxide layer and subsequent diffusion. This study uses the positrons as a ''sensitive'', nondestructive probe to observe hydrogen interaction in the oxide layer and the interface region. We also describe a new way of characterizing the changes in the density of the interface states under a low-temperature annealing using positrons. 9 refs., 6 figs

  13. Slow positron studies of hydrogen activation/passivation on SiO2/Si(100) interfaces

    Science.gov (United States)

    Lynn, K. G.; Asoka-Kumar, P.

    The hydrogen atoms are one of the most common impurity species found in semiconductor systems owing to its large diffusivity, and are easily incorporated either in a controlled process like in ion implantation or in an uncontrolled process like the one at the fabrication stage. Hydrogen can passivate dangling bonds and dislocations in these systems and hence can be used to enhance the electrical properties. In a SiO2/Si system, hydrogen can passivate electronic states at the interface and can alter the fixed or mobile charges in the oxide layer. Since hydrogen is present in almost all of the environments of SiO2/Si wafer fabrication, the activation energy of hydrogen atoms is of paramount importance to a proper understanding of SiO2/Si based devices and has not been measured on the technologically most important Si(100) face. There are no direct, nondestructive methods available to observe hydrogen injection into the oxide layer and subsequent diffusion. The positrons are used as a 'sensitive', nondestructive probe to observe hydrogen interaction in the oxide layer and the interface region. A new way is described of characterizing the changes in the density of the interface states under a low temperature annealing using positrons.

  14. Observation of microstructure of hydrated Ca3SiO5

    International Nuclear Information System (INIS)

    Mori, Kazuhiro; Sato, Takashi; Fukunaga, Toshiharu; Oishi, Koji; Kimura, Katsuhiko; Iwase, Kenji; Sugiyama, Masaaki; Itoh, Keiji; Shikanai, Fumihito; Wuernisha, Tuerxun; Yonemura, Masao; Sulistyanintyas, Dyah; Tsukushi, Itaru; Takata, Shinich; Otomo, Toshiya; Kamiyma, Takashi; Kawai, Masayoshi

    2006-01-01

    Quasi-elastic neutron scattering experiments were carried out to evaluate the hydration rate of tricalcium silicate (Ca 3 SiO 5 ). Furthermore, in the early hydration period, a variation in surface roughness of Ca 3 SiO 5 was observed in nano-scale by the small-angle neutron scattering. From these results, it was found that the hydration rate of Ca 3 SiO 5 is suppressed when the surface of Ca 3 SiO 5 becomes rough through the creation of hydration products C-S-H gel and Ca(OH) 2 , and this roughness is associated with changes in the Ca 3 SiO 5 hydration rate

  15. Fabrication of a Large-Area Superhydrophobic SiO2 Nanorod Structured Surface Using Glancing Angle Deposition

    Directory of Open Access Journals (Sweden)

    Xun Lu

    2017-01-01

    Full Text Available A glancing angle deposition (GLAD technique was used to generate SiO2 nanorods on a glass substrate to fabricate a low-cost superhydrophobic functional nanostructured surface. GLAD-deposited SiO2 nanorod structures were fabricated using various deposition rates, substrate rotating speeds, oblique angles, and deposition times to analyze the effects of processing conditions on the characteristics of the fabricated functional nanostructures. The wettability of the surface was measured after surface modification with a self-assembled monolayer (SAM. The measured water contact angles were primarily affected by substrate rotation speed and oblique angle because the surface fraction of the GLAD nanostructure was mainly affected by these parameters. A maximum contact angle of 157° was obtained from the GLAD sample fabricated at a rotation speed of 5 rpm and an oblique angle of 87°. Although the deposition thickness (height of the nanorods was not a dominant factor for determining the wettability, we selected a deposition thickness of 260 nm as the optimum processing condition based on the measured optical transmittance of the samples because optically transparent films can serve as superhydrophobic functional nanostructures for optical applications.

  16. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  17. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  18. An intense ultraviolet photoluminescence in sol-gel ZnO-SiO sub 2 nanocomposites

    CERN Document Server

    Fu Zheng Ping; Li Li; Dong Wei Wei; Jia Chong; Wu Wan

    2003-01-01

    We report the phenomenon that the intensity of the ultraviolet (UV) photoluminescence (PL) from ZnO was greatly enhanced by incorporating ZnO into the SiO sub 2 matrix. PL excitation results show that both the ZnO nanoparticles and the SiO sub 2 matrix in the nanocomposites contribute to the luminescence process for the UV band. On the basis of the x-ray photoelectron spectra, we suggest that interface energy states are formed due to the presence of Zn-O-Si bonds between ZnO nanoparticles and the SiO sub 2 matrix. A tentative model concerning the contribution of the ZnO nanoparticles, SiO sub 2 matrix, and ZnO-SiO sub 2 interface is suggested to explain the PL enhancement effect.

  19. Bonding Characteristics and Chemical Inertness of Zr–Si–N Coatings with a High Si Content in Glass Molding

    Directory of Open Access Journals (Sweden)

    Li-Chun Chang

    2018-05-01

    Full Text Available High-Si-content transition metal nitride coatings, which exhibited an X-ray amorphous phase, were proposed as protective coatings on glass molding dies. In a previous study, the Zr–Si–N coatings with Si contents of 24–30 at.% exhibited the hardness of Si3N4, which was higher than those of the middle-Si-content (19 at.% coatings. In this study, the bonding characteristics of the constituent elements of Zr–Si–N coatings were evaluated through X-ray photoelectron spectroscopy. Results indicated that the Zr 3d5/2 levels were 179.14–180.22 and 180.75–181.61 eV for the Zr–N bonds in ZrN and Zr3N4 compounds, respectively. Moreover, the percentage of Zr–N bond in the Zr3N4 compound increased with increasing Si content in the Zr–Si–N coatings. The Zr–N bond of Zr3N4 dominated when the Si content was >24 at.%. Therefore, high Si content can stabilize the Zr–N compound in the M3N4 bonding structure. Furthermore, the thermal stability and chemical inertness of Zr–Si–N coatings were evaluated by conducting thermal cycle annealing at 270 °C and 600 °C in a 15-ppm O2–N2 atmosphere. The results indicated that a Zr22Si29N49/Ti/WC assembly was suitable as a protective coating against SiO2–B2O3–BaO-based glass for 450 thermal cycles.

  20. Estimation of the depth resolution of secondary ion mass spectrometry at the interface SiO2/Si

    Science.gov (United States)

    Kocanda, J.; Fesič, V.; Veselý, M.; Breza, J.; Kadlečíková, M.

    1995-08-01

    Similarities between the processes that occur during sputtering of monocrystalline Si by reactive O2+ primary ions and the interface SiO2/monocrystalline Si by noble gas ions (e.g., by Ar+) have motivated us to utilize the semiempirical model of P. C. Zalm and C. J. Vriezema [Nucl. Instrum. Methods B 67, 495 (1992)], modified later by M. Petravić, B. G. Svensson, and J. S. Williams [Appl. Phys. Lett. 62, 278 (1993)] to calculate the decay length λb, as defined by J. B. Clegg [Surf. Interface Anal. 10, 322 (1987)], at the SiO2/Si interface. The measured and calculated results agree remarkably well. Inconsistency observed to be larger than 100% for glancing incidence angles confirms limitations of this model that were admitted already by its authors.

  1. Atomic insight into tribochemical wear mechanism of silicon at the Si/SiO{sub 2} interface in aqueous environment: Molecular dynamics simulations using ReaxFF reactive force field

    Energy Technology Data Exchange (ETDEWEB)

    Wen, Jialin; Ma, Tianbao [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Zhang, Weiwei; Psofogiannakis, George; Duin, Adri C.T. van [Department of Mechanical and Nuclear Engineering, Pennsylvania State University, University Park, PA 16802 (United States); Chen, Lei; Qian, Linmao [Tribology Research Institute, Key Laboratory of Advanced Technologies of Materials (Ministry of Education), Southwest Jiaotong University, Chengdu 610031 (China); Hu, Yuanzhong [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Lu, Xinchun, E-mail: xclu@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2016-12-30

    Highlights: • New ReaxFF reactive force field was applied to simulate the tribochemical wear process at Si/SiO{sub 2} interface. • Wear of silicon atoms is due to the breaking of Si–O–Si bonds and Si–Si–O–Si bond chains on the Si substrate. • Interfacial bridge bonds play an important role during the tribochemical wear process. • Higher pressures applied to the silica phase can cause more Si atoms to be removed by forming more interfacial bridge bonds. • Water plays an opposing role in the wear process because of its both chemical and mechanical effects. - Abstract: In this work, the atomic mechanism of tribochemical wear of silicon at the Si/SiO{sub 2} interface in aqueous environment was investigated using ReaxFF molecular dynamics (MD) simulations. Two types of Si atom removal pathways were detected in the wear process. The first is caused by the destruction of stretched Si–O–Si bonds on the Si substrate surface and is assisted by the attachment of H atoms on the bridging oxygen atoms of the bonds. The other is caused by the rupture of Si–Si bonds in the stretched Si–Si–O–Si bond chains at the interface. Both pathways effectively remove Si atoms from the silicon surface via interfacial Si–O–Si bridge bonds. Our simulations also demonstrate that higher pressures applied to the silica phase can cause more Si atoms to be removed due to the formation of increased numbers of interfacial Si–O–Si bridge bonds. Besides, water plays a dual role in the wear mechanism, by oxidizing the Si substrate surface as well as by preventing the close contact of the surfaces. This work shows that the removal of Si atoms from the substrate is a result of both chemical reaction and mechanical effects and contributes to the understanding of tribochemical wear behavior in the microelectromechanical systems (MEMS) and Si chemical mechanical polishing (CMP) process.

  2. Thermal stability and chemical bonding states of AlOxNy/Si gate stacks revealed by synchrotron radiation photoemission spectroscopy

    International Nuclear Information System (INIS)

    He, G.; Toyoda, S.; Shimogaki, Y.; Oshima, M.

    2010-01-01

    Annealing-temperature dependence of the thermal stability and chemical bonding states of AlO x N y /SiO 2 /Si gate stacks grown by metalorganic chemical vapor deposition (MOCVD) using new chemistry was investigated by synchrotron radiation photoemission spectroscopy (SRPES). Results have confirmed the formation of the AlN and AlNO compounds in the as-deposited samples. Annealing the AlO x N y samples in N 2 ambient in 600-800 deg. C promotes the formation of SiO 2 component. Meanwhile, there is no formation of Al-O-Si and Al-Si binding states, suggesting no interdiffusion of Al with the Si substrate. A thermally induced reaction between Si and AlO x N y to form volatile SiO and Al 2 O is suggested to be responsible for the full disappearance of the Al component that accompanies annealing at annealing temperature of 1000 deg. C. The released N due to the breakage of the Al-N bonding will react with the SiO 2 interfacial layer and lead to the formation of the Si 3 -N-O/Si 2 -N-O components at the top of Si substrate. These results indicate high temperature processing induced evolution of the interfacial chemistry and application range of AlO x N y /Si gate stacks in future CMOS devices.

  3. Enstatite, Mg/sub 2/Si/sub 2/O/sub 6/: A neutron diffraction refinement of the crystal structure and a rigid-body analysis of the thermal vibration

    Energy Technology Data Exchange (ETDEWEB)

    Ghose, S.; Schomaker, V.; McMullan, R.K.

    1986-01-01

    Synthetic enstatite, Mg/sub 2/Si/sub 2/O/sub 6/, is orthorhombic, space group Pbca, with eight formula units per cell and lattice parameters a = 18.235(3), b = 8.818(1), c = 5.179(1) A at 23/sup 0/C. A least-squares structure refinement based on 1790 neutron intensity data converged with an agreement factor R(F/sup 2/) = 0.032, yielding Mg-O and Si-O bond lengths with standard deviations of 0.0007 and 0.0008 A, respectively. The variations observed in the Si-O bond lengths within the silicate tetrahedra A and B are caused by the differences in primary coordination of the oxygen atoms and the proximity of the magnesium ions to the silicon atoms. The latter effect is most pronounced for the bridging bonds of tetrahedron. A. The smallest O-Si-O angle is the result of edge-sharing by the Mg(2) octahedron and the A tetrahedron. An analysis of rigid-body thermal vibrations of the two crystallographically independent (SiO/sub 4/) tetrahedra indicates considerable librational motion, leading to a thermal correction of apparent Si-O bond lengths as large as +0.002 A at room temperature.

  4. Interfacial push-out measurements of fully-bonded SiC/SiC composites

    International Nuclear Information System (INIS)

    Snead, L.L.; Steiner, D.; Zinkle, S.J.

    1990-01-01

    The direct measurement of interfacial bond strength and frictional resistance to sliding in a fully-bonded SiC/SiC composite is measured. It is shown that a fiber push-out technique can be utilized for small diameter fibers and very thin composite sections. Results are presented for a 22 micron thick section for which 37 out of 44 Nicalon fibers tested were pushed-out within the maximum nanoindentor load of 120 mN. Fiber interfacial yielding, push-out and sliding resistance were measured for each fiber. The distribution of interfacial strengths is treated as being Weibull in form. 14 refs., 5 figs

  5. Modifier cation effects on 29Si nuclear shielding anisotropies in silicate glasses

    Science.gov (United States)

    Baltisberger, Jay H.; Florian, Pierre; Keeler, Eric G.; Phyo, Pyae A.; Sanders, Kevin J.; Grandinetti, Philip J.

    2016-07-01

    We have examined variations in the 29Si nuclear shielding tensor parameters of SiO4 tetrahedra in a series of seven alkali and alkaline earth silicate glass compositions, Cs2O · 4.81 SiO2, Rb2O · 3.96 SiO2, Rb2O · 2.25 SiO2, K2O · 4.48 SiO2, Na2O · 4.74 SiO2, BaO · 2.64 SiO2, and SrO · 2.36 SiO2, using natural abundance 29Si two-dimensional magic-angle flipping (MAF) experiments. Our analyses of these 2D spectra reveal a linear dependence of the 29Si nuclear shielding anisotropy of Q(3) sites on the Si-non-bridging oxygen bond length, which in turn depends on the cation potential and coordination of modifier cations to the non-bridging oxygen. We also demonstrate how a combination of Cu2+ as a paramagnetic dopant combined with echo train acquisition can reduce the total experiment time of 29Si 2D NMR measurements by two orders of magnitude, enabling higher throughput 2D NMR studies of glass structure.

  6. Bioactivity of gel-glass powders in the CaO-SiO2 system: a comparison with ternary (CaO-P2O5-SiO2) and quaternary glasses (SiO2-CaO-P2O5-Na2O).

    Science.gov (United States)

    Saravanapavan, Priya; Jones, Julian R; Pryce, Russell S; Hench, Larry L

    2003-07-01

    Bioactive glasses react chemically with body fluids in a manner that is compatible with the repair processes of the tissues. This results in the formation of an interfacial bond between the glasses and living tissue. Bioactive glasses also stimulate bone-cell proliferation. This behavior is dependent on the chemical composition as well as the surface texture of the glasses. It has been recently reported that gel-derived monolith specimens in the binary SiO2 - CaO are bioactive over a similar molar range of SiO2 content as the previously studied ternary CaO-P2O5-SiO2 system. In this report, the preparation and bioactivity of the binary gel-glass powder with 70 mol % SiO2 is discussed and its bioactivity is compared with the melt-derived 45S5 (quaternary) Bioglass and sol-gel-derived 58S (ternary) bioactive gel-glass compositions. Dissolution kinetic parameters K(1) and K(2) were also computed based on the silicon release for all glass powders. It was shown that the simple two-component SiO2-CaO gel-glass powder is bioactive with comparable dissolution rates as the clinically used melt-derived 45S5 Bioglass powder and extensively studied sol-gel-derived 58S gel-glass powder. Copyright 2003 Wiley Periodicals, Inc.

  7. C-H and C-C activation of n -butane with zirconium hydrides supported on SBA15 containing N-donor ligands: [(≡SiNH-)(≡SiX-)ZrH2], [(≡SiNH-)(≡SiX-)2ZrH], and[(≡SiN=)(≡SiX-)ZrH] (X = -NH-, -O-). A DFT study

    KAUST Repository

    Pasha, Farhan Ahmad

    2014-07-01

    Density functional theory (DFT) was used to elucidate the mechanism of n-butane hydrogenolysis (into propane, ethane, and methane) on well-defined zirconium hydrides supported on SBA15 coordinated to the surface via N-donor surface pincer ligands: [(≡SiNH-)(≡SiO-)ZrH2] (A), [(≡SiNH-)2ZrH2] (B), [(≡SiNH-)(≡SiO-) 2ZrH] (C), [(≡SiNH-)2(≡SiO-)ZrH] (D), [(≡SiN=)(≡Si-O-)ZrH] (E), and [(≡SiN=)(≡SiNH-)ZrH] (F). The roles of these hydrides have been investigated in C-H/C-C bond activation and cleavage. The dihydride A linked via a chelating [N,O] surface ligand was found to be more active than B, linked to the chelating [N,N] surface ligand. Moreover, the dihydride zirconium complexes are also more active than their corresponding monohydrides C-F. The C-C cleavage step occurs preferentially via β-alkyl transfer, which is the rate-limiting step in the alkane hydrogenolysis. The energetics of the comparative pathways over the potential energy surface diagram (PES) reveals the hydrogenolysis of n-butane into propane and ethane. © 2014 American Chemical Society.

  8. Heat stability evaluations of Co/SiO2 multilayers

    International Nuclear Information System (INIS)

    Ishino, Masahiko; Koike, Masato; Kanehira, Mika; Satou, Futami; Terauchi, Masami; Sano, Kazuo

    2008-01-01

    The heat stability of Co/SiO 2 multilayers was evaluated. Co/SiO 2 multilayer samples were deposited on Si substrate by means of an ion beam sputtering method, and annealed at temperatures from 100degC to 600degC in a vacuum furnace. For the structural and optical evaluations, small angle x-ray diffraction (XRD) measurements, soft x-ray reflectivity measurements, and transmission electron microscopy (TEM) observations were carried out. As the results, the Co/SiO 2 multilayer samples annealed up to 400degC maintained the initial multilayer structures, and kept almost the same soft x-ray reflectivities as that of the as-deposited Co/SiO 2 multilayer sample. A deterioration of the multilayer structure caused by the growth of Co grains was found on the Co/SiO 2 multilayer samples annealed over 500degC, and the soft x-ray reflectivity dropped in accordance with the deterioration of the multilayer structure. (author)

  9. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  10. Hydrogen release at metal-oxide interfaces: A first principle study of hydrogenated Al/SiO{sub 2} interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Jianqiu, E-mail: jianqiu@vt.edu [Department of Mechanical Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road - MC 0238, Blacksburg, VA 24061 (United States); Tea, Eric; Li, Guanchen [Department of Mechanical Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road - MC 0238, Blacksburg, VA 24061 (United States); Hin, Celine [Department of Mechanical Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road - MC 0238, Blacksburg, VA 24061 (United States); Department of Material Science and Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road-MC 0238, Blacksburg, VA 24061 (United States)

    2017-06-01

    Highlights: • Hydrogen release process at the Al/SiO{sub 2} metal-oxide interface has been investigated. • A mathematical model that estimates the hydrogen release potential has been proposed. • Al atoms, Al−O bonds, and Si−Al bonds are the major hydrogen traps at the Al/SiO{sub 2} interface. • Hydrogen atoms are primarily release from Al−H and O−H bonds at the Al/SiO{sub 2} metal-oxide interface. - Abstract: The Anode Hydrogen Release (AHR) mechanism at interfaces is responsible for the generation of defects, that traps charge carriers and can induce dielectric breakdown in Metal-Oxide-Semiconductor Field Effect Transistors. The AHR has been extensively studied at Si/SiO{sub 2} interfaces but its characteristics at metal-silica interfaces remain unclear. In this study, we performed Density Functional Theory (DFT) calculations to study the hydrogen release mechanism at the typical Al/SiO{sub 2} metal-oxide interface. We found that interstitial hydrogen atoms can break interfacial Al−Si bonds, passivating a Si sp{sup 3} orbital. Interstitial hydrogen atoms can also break interfacial Al−O bonds, or be adsorbed at the interface on aluminum, forming stable Al−H−Al bridges. We showed that hydrogenated O−H, Si−H and Al−H bonds at the Al/SiO{sub 2} interfaces are polarized. The resulting bond dipole weakens the O−H and Si−H bonds, but strengthens the Al−H bond under the application of a positive bias at the metal gate. Our calculations indicate that Al−H bonds and O−H bonds are more important than Si−H bonds for the hydrogen release process.

  11. Effects of Surface Treatment Processes of SiC Ceramic on Interfacial Bonding Property of SiC-AFRP

    Directory of Open Access Journals (Sweden)

    WEI Ru-bin

    2016-12-01

    Full Text Available To improve the interfacial bonding properties of SiC-aramid fiber reinforced polymer matrix composites (SiC-AFRP, the influences of etching process of SiC ceramic, coupling treatment process, and the adhesives types on the interfacial peel strength of SiC-AFRP were studied. The results show that the surface etching process and coupling treatment process of silicon carbide ceramic can effectively enhance interfacial bonding property of the SiC-AFRP. After soaked the ceramic in K3Fe(CN6 and KOH mixed etching solution for 2 hours, and coupled with vinyl triethoxy silane coupling agent, the interfacial peel strength of the SiC-AFRP significantly increases from 0.45kN/m to 2.20kN/m. EVA hot melt film with mass fraction of 15%VA is ideal for interface adhesive.

  12. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  13. The Au/Si eutectic bonding compatibility with KOH etching for 3D devices fabrication

    Science.gov (United States)

    Liang, Hengmao; Liu, Mifeng; Liu, Song; Xu, Dehui; Xiong, Bin

    2018-01-01

    KOH etching and Au/Si eutectic bonding are cost-efficient technologies for 3D device fabrication. Aimed at investigating the process compatibility of KOH etching and Au/Si bonding, KOH etching tests have been carried out for Au/bulk Si and Au/amorphous Si (a-Si) bonding wafers in this paper. For the Au/bulk Si bonding wafer, a serious underetch phenomenon occurring on the damage layer in KOH etching definitely results in packaging failure. In the microstructure analysis, it is found that the formation of the damage layer between the bonded layer and bulk Si is attributed to the destruction of crystal Si lattices in Au/bulk Si eutectic reaction. Considering the occurrence of underetch for Au/Si bonding must meet two requirements: the superfluous Si and the defective layer near the bonded layer, the Au/a-Si bonding by regulating the a-Si/Au thickness ratio is presented in this study. Only when the a-Si/Au thickness ratio is relatively low are there not underetch phenomena, of which the reason is the full reaction of the a-Si layer avoiding the formation of the damage layer for easy underetch. Obviously, the Au/a-Si bonding via choosing a moderate a-Si/Au thickness ratio (⩽1.5:1 is suggested) could be reliably compatible with KOH etching, which provides an available and low-cost approach for 3D device fabrication. More importantly, the theory of the damage layer proposed in this study can be naturally applied to relevant analyses on the eutectic reaction of other metals and single crystal materials.

  14. Modifier cation effects on (29)Si nuclear shielding anisotropies in silicate glasses.

    Science.gov (United States)

    Baltisberger, Jay H; Florian, Pierre; Keeler, Eric G; Phyo, Pyae A; Sanders, Kevin J; Grandinetti, Philip J

    2016-07-01

    We have examined variations in the (29)Si nuclear shielding tensor parameters of SiO4 tetrahedra in a series of seven alkali and alkaline earth silicate glass compositions, Cs2O·4.81 SiO2, Rb2O·3.96 SiO2, Rb2O·2.25 SiO2, K2O·4.48 SiO2, Na2O·4.74 SiO2, BaO·2.64 SiO2, and SrO·2.36 SiO2, using natural abundance (29)Si two-dimensional magic-angle flipping (MAF) experiments. Our analyses of these 2D spectra reveal a linear dependence of the (29)Si nuclear shielding anisotropy of Q((3)) sites on the Si-non-bridging oxygen bond length, which in turn depends on the cation potential and coordination of modifier cations to the non-bridging oxygen. We also demonstrate how a combination of Cu(2+) as a paramagnetic dopant combined with echo train acquisition can reduce the total experiment time of (29)Si 2D NMR measurements by two orders of magnitude, enabling higher throughput 2D NMR studies of glass structure. Copyright © 2016 Elsevier Inc. All rights reserved.

  15. Tunable Synthesis of SiC/SiO2 Heterojunctions via Temperature Modulation

    Directory of Open Access Journals (Sweden)

    Wei Li

    2018-05-01

    Full Text Available A large-scale production of necklace-like SiC/SiO2 heterojunctions was obtained by a molten salt-mediated chemical vapor reaction technique without a metallic catalyst or flowing gas. The effect of the firing temperature on the evolution of the phase composition, microstructure, and morphology of the SiC/SiO2 heterojunctions was studied. The necklace-like SiC/SiO2 nanochains, several centimeters in length, were composed of SiC/SiO2 core-shell chains and amorphous SiO2 beans. The morphologies of the as-prepared products could be tuned by adjusting the firing temperature. In fact, the diameter of the SiO2 beans decreased, whereas the diameter of the SiC fibers and the thickness of the SiO2 shell increased as the temperature increased. The growth mechanism of the necklace-like structure was controlled by the vapor-solid growth procedure and the modulation procedure via a molten salt-mediated chemical vapor reaction process.

  16. Research progress of III-V laser bonding to Si

    Science.gov (United States)

    Bo, Ren; Yan, Hou; Yanan, Liang

    2016-12-01

    The vigorous development of silicon photonics makes a silicon-based light source essential for optoelectronics' integration. Bonding of III-V/Si hybrid laser has developed rapidly in the last ten years. In the tireless efforts of researchers, we are privileged to see these bonding methods, such as direct bonding, medium adhesive bonding and low temperature eutectic bonding. They have been developed and applied to the research and fabrication of III-V/Si hybrid lasers. Some research groups have made remarkable progress. Tanabe Katsuaki of Tokyo University successfully implemented a silicon-based InAs/GaAs quantum dot laser with direct bonding method in 2012. They have bonded the InAs/GaAs quantum dot laser to the silicon substrate and the silicon ridge waveguide, respectively. The threshold current of the device is as low as 200 A/cm2. Stevan Stanković and Sui Shaoshuai successfully produced a variety of hybrid III-V/Si laser with the method of BCB bonding, respectively. BCB has high light transmittance and it can provide high bonding strength. Researchers of Tokyo University and Peking University have realized III-V/Si hybrid lasers with metal bonding method. We describe the progress in the fabrication of III-V/Si hybrid lasers with bonding methods by various research groups in recent years. The advantages and disadvantages of these methods are presented. We also introduce the progress of the growth of III-V epitaxial layer on silicon substrate, which is also a promising method to realize silicon-based light source. I hope that readers can have a general understanding of this field from this article and we can attract more researchers to focus on the study in this field.

  17. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  18. Comparative study of the characteristics of Ni films deposited on SiO2/Si(100) by oblique-angle sputtering and conventional sputtering

    International Nuclear Information System (INIS)

    Yu Mingpeng; Qiu Hong; Chen Xiaobai; Wu Ping; Tian Yue

    2008-01-01

    Ni films were deposited on SiO 2 /Si(100) substrates at 300 K and 573 K by oblique-angle sputtering and conventional sputtering. The films deposited at 300 K mainly have a [110] crystalline orientation in the growing direction whereas those deposited at 573 K grow with a [111] crystalline orientation in the growing direction. The film prepared only at 300 K by oblique-angle sputtering grows with a weakly preferential orientation along the incidence direction of the sputtered Ni atoms. All the films grow with thin columnar grains perpendicular to the substrate surface. The grain size of the films sputter-deposited obliquely is larger than that of the films sputter-deposited conventionally. The grain size of the Ni film does not change markedly with the deposition temperature. The film deposited at 573 K by oblique-angle sputtering has the highest saturation magnetization. For the conventional sputtering, the coercivity of the Ni film deposited at 573 K is larger than that of the film deposited at 300 K. However, for the oblique-angle sputtering, the coercivity of the Ni film is independent of the deposition temperature. All the Ni films exhibit an isotropic magnetization characteristic in the film plane

  19. Interactions of atomic hydrogen with amorphous SiO2

    Science.gov (United States)

    Yue, Yunliang; Wang, Jianwei; Zhang, Yuqi; Song, Yu; Zuo, Xu

    2018-03-01

    Dozens of models are investigated by the first-principles calculations to simulate the interactions of an atomic hydrogen with a defect-free random network of amorphous SiO2 (a-SiO2) and oxygen vacancies. A wide variety of stable configurations are discovered due to the disorder of a-SiO2, and their structures, charges, magnetic moments, spin densities, and density of states are calculated. The atomic hydrogen interacts with the defect-free a-SiO2 in positively or negatively charged state, and produces the structures absent in crystalline SiO2. It passivates the neutral oxygen vacancies and generates two neutral hydrogenated E‧ centers with different Si dangling bond projections. Electron spin resonance parameters, including Fermi contacts, and g-tensors, are calculated for these centers. The atomic hydrogen interacts with the positive oxygen vacancies in dimer configuration, and generate four different positive hydrogenated defects, two of which are puckered like the Eγ‧ centers. This research helps to understand the interactions between an atomic hydrogen, and defect-free a-SiO2 and oxygen vacancies, which may generate the hydrogen-complexed defects that play a key role in the degeneration of silicon/silica-based microelectronic devices.

  20. Effects of the c-Si/a-SiO2 interfacial atomic structure on its band alignment: an ab initio study.

    Science.gov (United States)

    Zheng, Fan; Pham, Hieu H; Wang, Lin-Wang

    2017-12-13

    The crystalline-Si/amorphous-SiO 2 (c-Si/a-SiO 2 ) interface is an important system used in many applications, ranging from transistors to solar cells. The transition region of the c-Si/a-SiO 2 interface plays a critical role in determining the band alignment between the two regions. However, the question of how this interface band offset is affected by the transition region thickness and its local atomic arrangement is yet to be fully investigated. Here, by controlling the parameters of the classical Monte Carlo bond switching algorithm, we have generated the atomic structures of the interfaces with various thicknesses, as well as containing Si at different oxidation states. A hybrid functional method, as shown by our calculations to reproduce the GW and experimental results for bulk Si and SiO 2 , was used to calculate the electronic structure of the heterojunction. This allowed us to study the correlation between the interface band characterization and its atomic structures. We found that although the systems with different thicknesses showed quite different atomic structures near the transition region, the calculated band offset tended to be the same, unaffected by the details of the interfacial structure. Our band offset calculation agrees well with the experimental measurements. This robustness of the interfacial electronic structure to its interfacial atomic details could be another reason for the success of the c-Si/a-SiO 2 interface in Si-based electronic applications. Nevertheless, when a reactive force field is used to generate the a-SiO 2 and c-Si/a-SiO 2 interfaces, the band offset significantly deviates from the experimental values by about 1 eV.

  1. Irradiation-induced hardening/softening in SiO2 studied with instrumented indentation

    International Nuclear Information System (INIS)

    Nakano, Shinsuke; Muto, Shunsuke; Tanabe, Tetsuo

    2005-01-01

    To understand the plastic deformation mechanism of SiO 2 polytypes, we measured the mechanical parameters of He + -irradiated crystalline SiO 2 (α-quartz, c-SiO 2 ) and vitreous SiO 2 (silica glass, v-SiO 2 ) as functions of the irradiation dose, by using the instrumented indentation method combined with a finite-element analysis. We extracted the effects of local rotation and bending of the SiO 4 framework (the degree of local structural freedom), which play key roles in the plastic deformation, and expressed the hardness change with a simple formula. For v-SiO 2 , the changes in the density and the number of broken bonds correlated well with the change in the degree of freedom. In contrast, for c-SiO 2 the present formulation was insufficient to fully express the hardness change in the structural disordering regime. The structure change by irradiation peculiar to this material is discussed, based on the theoretical formulation

  2. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  3. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  4. Structural and electrical properties of the GexSi1-x/Si heterojunctions obtained by the method of direct bonding

    International Nuclear Information System (INIS)

    Argunova, T. S.; Belyakova, E. I.; Grekhov, I. V.; Zabrodskii, A. G.; Kostina, L. S.; Sorokin, L. M.; Shmidt, N. M.; Yi, J. M.; Jung, J. W.; Je, J. H.; Abrosimov, N. V.

    2007-01-01

    The results of studying the structural and electrical properties of structures produced by the method of direct bonding of Ge x Si 1-x and Si wafers are reported. The wafers were cut from the crystals grown by the Czochralski method. Continuity of the interface and the crystal-lattice defects were studied by X-ray methods using synchrotron radiation and by scanning electron microscopy. Measurements of the forward and reverse current-voltage characteristics of the p-Ge x Si 1-x /n-Si diodes made it possible to assess the effect of the crystallattice defects on the electrical properties of heterojunctions. Satisfactory electrical parameters suggest that the technology of direct bonding is promising for the fabrication of large-area Ge x Si 1-x /Si heterojunctions

  5. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    Science.gov (United States)

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. Direct evidence of chemically inhomogeneous, nanostructured, Si-O buried interfaces and their effect on the efficiency of carbon nanotube/Si photovoltaic heterojunctions

    KAUST Repository

    Pintossi, Chiara; Salvinelli, Gabriele; Drera, Giovanni; Pagliara, Stefania; Sangaletti, L.; Del Gobbo, Silvano; Morbidoni, Maurizio; Scarselli, Manuela A.; De Crescenzi, Maurizio; Castrucci, Paola

    2013-01-01

    An angle resolved X-ray photoemission study of carbon nanotube/silicon hybrid photovoltaic (PV) cells is reported, providing a direct probe of a chemically inhomogeneous, Si-O buried interface between the carbon nanotube (CNT) networked layer and the n-type Si substrate. By changing the photoelectron takeoff angle of the analyzer, a nondestructive in-depth profiling of a CNT/SiOx/SiO2/Si complex interface is achieved. Data are interpreted on the basis of an extensive modeling of the photoemission process from layered structures, which fully accounts for the depth distribution function of the photoemitted electrons. As X-ray photoemission spectroscopy provides direct access to the buried interface, the aging and the effects of chemical etching on the buried interface have been highlighted. This allowed us to show how the thickness and the composition of the buried interface can be related to the efficiency of the PV cell. The results clearly indicate that while SiO2 is related to an increase of the efficiency, acting as a buffer layer, SiOx is detrimental to cell performances, though it can be selectively removed by etching in HF vapors. © 2013 American Chemical Society.

  7. Direct evidence of chemically inhomogeneous, nanostructured, Si-O buried interfaces and their effect on the efficiency of carbon nanotube/Si photovoltaic heterojunctions

    KAUST Repository

    Pintossi, Chiara

    2013-09-12

    An angle resolved X-ray photoemission study of carbon nanotube/silicon hybrid photovoltaic (PV) cells is reported, providing a direct probe of a chemically inhomogeneous, Si-O buried interface between the carbon nanotube (CNT) networked layer and the n-type Si substrate. By changing the photoelectron takeoff angle of the analyzer, a nondestructive in-depth profiling of a CNT/SiOx/SiO2/Si complex interface is achieved. Data are interpreted on the basis of an extensive modeling of the photoemission process from layered structures, which fully accounts for the depth distribution function of the photoemitted electrons. As X-ray photoemission spectroscopy provides direct access to the buried interface, the aging and the effects of chemical etching on the buried interface have been highlighted. This allowed us to show how the thickness and the composition of the buried interface can be related to the efficiency of the PV cell. The results clearly indicate that while SiO2 is related to an increase of the efficiency, acting as a buffer layer, SiOx is detrimental to cell performances, though it can be selectively removed by etching in HF vapors. © 2013 American Chemical Society.

  8. Alpha-particle irradiation induced defects in SiO2 films of Si-SiO2 structures

    International Nuclear Information System (INIS)

    Koman, B.P.; Gal'chynskyy, O.V.; Kovalyuk, R.O.; Shkol'nyy, A.K.

    1996-01-01

    The aim of the work was to investigate alpha-particle irradiation induced defects in Si-SiO 2 structures by means of the thermostimulated discharge currents (TSDC) analysis. The object of investigation were (p-Si)-SiO 2 structures formed by a combined oxidation of the industrial p-Si wafers in dry and wet oxygen at temperature of 1150 C. The TSD currents were investigated in the temperature range between 90 and 500 K under linear heating rate. Pu 238 isotopes were the source of alpha-particles with an energy of 4-5 MeV and a density of 5.10 7 s -1 cm -2 . The TSD current curves show two peculiar maxima at about 370 and 480 K. Alpha-particle irradiation doesn't affect the general shape of the TSDC curves but leads to a shift of the maximum at 370 K and reduces the total electret charge which is accumulated in the Si-SiO 2 structures during polarization. The energy distribution function of the defects which are involved in SiO 2 polarization has been calculated. It showes that defects with activation energies of about 0.8 and 1.0 eV take part in forming the electret state, and these activation energies have certain energy distributions. It has been found that the TSDC maximum at 370 K has space charge nature and is caused by migration of hydrogen ions. In irradiated samples hydrogen and natrium ions localize on deeper trapping centres induced by alpha-particle irradiation. (orig.)

  9. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    Science.gov (United States)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  10. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  11. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  12. Improvement in performance of Si-based thin film solar cells with a nanocrystalline SiO{sub 2}–TiO{sub 2} layer

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yang-Shih [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 40227, Taiwan, ROC (China); Lien, Shui-Yang [Department of Materials Science and Engineering, Da-Yeh University, Changhua 51591, Taiwan, ROC (China); Wuu, Dong-Sing, E-mail: dsw@dragon.nchu.edu.tw [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 40227, Taiwan, ROC (China); Department of Materials Science and Engineering, Da-Yeh University, Changhua 51591, Taiwan, ROC (China); Huang, Yu-Xuan; Kung, Chung-Yuan [Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung 40227, Taiwan, ROC (China)

    2014-11-03

    In this paper, titanium dioxide (TiO{sub 2}) solution with grain sizes of 1–5 nm is prepared by microwave hydrothermal synthesis, and then mixed with silicon dioxide (SiO{sub 2}) solution to yield different SiO{sub 2}/TiO{sub 2} ratios. The mixed solution is then sol–gel spin-coated on glass as an anti-reflecting and self-cleaning bi-functional layer. The experimental results show that the transmittance is optimized not only by minimizing the reflectance by reflective index matching at the glass/air interface, but also by improving the film/glass interface adhesion. Adding SiO{sub 2} into TiO{sub 2} in a weight ratio of 5 leads to the highest average transmittance of 93.6% which is 3% higher than that of glass. All of the SiO{sub 2}–TiO{sub 2} films exhibit a remarkable inherent hydrophilicity even when not illuminated by ultra-violet light. Using the optimized SiO{sub 2}–TiO{sub 2} film in a hydrogenated amorphous silicon/microcrystalline silicon tandem, solar cell increases its conversion efficiency by 5.2%. Two months of outdoor testing revealed that cells with the SiO{sub 2}–TiO{sub 2} film avoid 1.7% of the degradation loss that is caused by dust and dirt in the environment. - Highlights: • High-transmittance and self-cleaning nano-sized SiO{sub 2}–TiO{sub 2} films are prepared. • Using SiO{sub 2}–TiO{sub 2} film can increase average transmittance from 90.5% (glass) to 93.6%. • The SiO{sub 2}–TiO{sub 2} films have naturally hydrophilicity with water contact angles < 13°. • Cells with the film have a 4.9% higher photocurrent than cells without the film.

  13. Mechanochemically conjugated PMHS/nano-SiO 2 hybrid and subsequent optimum grafting density study

    Science.gov (United States)

    Lin, Jinbin; Chen, Hongling; Yuan, Yongbing; Ji, Yan

    2011-08-01

    In this paper, we reported the preparation of poly(methylhydrosiloxane) (PMHS)/SiO 2 hybrid particles by mechanochemical method based on high energy ball milling (HEBM). The obtained hybrid particles were characterized by Fourier transform infrared (FT-IR) spectroscopy, 29Si CP (cross-polarization) MAS NMR, viscosity measurement, particle size distribution, thermal analysis (TGA, DSC and DTG), static contact angle (CA), field emission scanning electron microscopy (FE-SEM) and transmission electron microscopy (TEM). FT-IR and 29Si CP MAS NMR spectra indicate that PMHS is chemically anchored onto the surface of nano-SiO 2. Viscosity measurement, particle size distribution, FE-SEM and TEM demonstrate that an appropriate grafting density optimizes the dispersion of nanoparticles in poly(dimethylsiloxane) (PDMS) matrix, so lower viscosity can be achieved. Too high or too low grafting density may only achieve suboptimal and poor dispersions. The optimum grafting density of PMHS on nano-SiO 2 was determined by thermal analysis, with approximately 0.0531 PMHS/nm 2. Static contact angle measurement indicates that the water contact angle of hybrid particles is modulated by changing the grafting density of PMHS on nano-SiO 2. The CA value of PMHS/SiO 2 hybrid with optimum grafting density is 139.4°, and the highest CA value of PMHS/SiO 2 hybrid is approximately 158.2°.

  14. Fabrication of free standing LiNbO3 single crystal micro-platelets and their integration to Si-on-insulator platforms

    International Nuclear Information System (INIS)

    Lee, Yoo Seung; Lee, Sang-Shin; Lee, Wan-Gyu; Steier, William H.

    2011-01-01

    Free standing single crystal LiNbO 3 micro-platelets (mm long and 1 μm thick) have been obtained from a z-cut LiNbO 3 wafer by ion implantation and thermal treatment. X-ray diffraction measurement has proved that they have a single crystal structure. Their surface roughness and film quality have been investigated by atomic force microscope and field emission scanning electron microscope. These micro-platelets are transferable and bondable to other materials which require material properties of LiNbO 3 . They have been transferred, positioned and bonded to SiO 2 /LiNbO 3 , SiO 2 /Si, and Si-on-insulator (SOI: Si/SiO 2 /Si) by direct bonding method with optimum annealing conditions. For SiO 2 /Si and SOI substrates, there were large thermal mismatch between LiNbO 3 and Si. They were, however, bonded at high temperature since these ultra thin micro-platelets were flexible and stretchable. Finally, to realize multifunctional SOI applications, a hybrid structure of LiNbO 3 film and Si waveguide has been demonstrated.

  15. Effects of MeV Si ions bombardment on the thermoelectric generator from SiO{sub 2}/SiO{sub 2} + Cu and SiO{sub 2}/SiO{sub 2} + Au nanolayered multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Chacha, J., E-mail: chacha_john79@hotmail.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Smith, C., E-mail: cydale@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States); Pugh, M., E-mail: marcuspughp@yahoo.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Colon, T. [Department of Mechanical Engineering, Alabama A and M University, Normal, AL (United States); Heidary, K., E-mail: kaveh.heidary@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Johnson, R.B., E-mail: barry@w4wb.com [Department of Physics, Alabama A and M University, Normal, AL (United States); Ila, D., E-mail: ila@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States)

    2011-12-15

    The defects and disorder in the thin films caused by MeV ions bombardment and the grain boundaries of these nanoscale clusters increase phonon scattering and increase the chance of an inelastic interaction and phonon annihilation. We prepared the thermoelectric generator devices from 100 alternating layers of SiO{sub 2}/SiO{sub 2} + Cu multi-nano layered superlattice films at the total thickness of 382 nm and 50 alternating layers of SiO{sub 2}/SiO{sub 2} + Au multi-nano layered superlattice films at the total thickness of 147 nm using the physical vapor deposition (PVD). Rutherford Backscattering Spectrometry (RBS) and RUMP simulation have been used to determine the stoichiometry of the elements of SiO{sub 2}, Cu and Au in the multilayer films and the thickness of the grown multi-layer films. The 5 MeV Si ions bombardments have been performed using the AAMU-Center for Irradiation of Materials (CIM) Pelletron ion beam accelerator to make quantum (nano) dots and/or quantum (quantum) clusters in the multilayered superlattice thin films to decrease the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and cross plane electrical conductivity. To characterize the thermoelectric generator devices before and after Si ion bombardments we have measured Seebeck coefficient, cross-plane electrical conductivity, and thermal conductivity in the cross-plane geometry for different fluences.

  16. Model for efficient visible emission from Si nanocrystals ion beam synthesized in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Lopez, M. E-mail: mlopez@el.ub.es; Garrido, B.; Bonafos, C.; Perez-Rodriguez, A.; Morante, J.R.; Claverie, A

    2001-05-01

    The photoluminescence (PL) emission of Si nanocrystals ion beam synthesized in SiO{sub 2} is studied in this work as a function of annealing time and initial Si atomic excess (super-saturation). The optical properties of this system have been correlated with the characteristics of the nanocrystal population. The Si nanocrystals show a wide and very intense PL red/infrared emission. This emission peaks at about 1.7 eV for the low super-saturation range between 1% and 10% and shifts to the infrared for higher super-saturation (20% and 30%). Remarkably, there is a linear increase of PL intensity versus super-saturation in the low range. Moreover, the annealing kinetic studies show a typical behavior of PL intensity with annealing time, with a fast transitory increase that bends over to reach asymptotic saturation. The PL intensity saturation is satisfactorily explained by the Ostwald ripening stage of the nanocrystal population while the transient stage is a consequence of both nanocrystal growth and nanocrystal surface passivation mechanisms acting together. Indeed, electron spin resonance measurements demonstrate that the concentration of P{sub b} centers (Si dangling bonds) at the Si-SiO{sub 2} interface correlates inversely with PL intensity during most of the transient stage.

  17. Microstructure and Properties of Porous Si3N4/Dense Si3N4 Joints Bonded Using RE–Si–Al–O–N (RE = Y or Yb Glasses

    Directory of Open Access Journals (Sweden)

    Ling Li

    2017-11-01

    Full Text Available The joining of porous Si3N4 to dense Si3N4 ceramics has been successfully performed using mixed RE2O3 (RE = Y or Yb, Al2O3, SiO2, and α-Si3N4 powders. The results suggested that the α-Si3N4 powders partly transformed into β-SiAlON and partly dissolved into oxide glass to form oxynitride glass. Thus, composites of glass/β-SiAlON-ceramic formed in the seam of joints. Due to the capillary action of the porous Si3N4 ceramic, the molten glass solder infiltrated into the porous Si3N4 ceramic side during the joining process and formed the “infiltration zone” with a thickness of about 400 μm, which contributed to the heterogeneous distribution of the RE–Si–Al–O–N glasses in the porous Si3N4 substrate. In-situ formation of β-SiAlON in the seam resulted in a high bonding strength. The maximum bending strength of 103 MPa and 88 MPa was reached for the porous Si3N4/dense Si3N4 joints using Y–Si–Al–O–N and Yb–Si–Al–O–N glass solders, respectively.

  18. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    Science.gov (United States)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  19. Non-switching to switching transferring mechanism investigation for Ag/SiO x /p-Si structure with SiO x deposited by HWCVD

    Science.gov (United States)

    Liu, Yanhong; Wang, Ruoying; Li, Zhongyue; Wang, Song; Huang, Yang; Peng, Wei

    2018-04-01

    We proposed and fabricated an Ag/SiO x /p-Si sandwich structure, in which amorphous SiO x films were deposited through hot wire chemical vapor deposition (HWCVD) using tetraethylorthosilicate (TEOS) as Si and O precursor. Experimental results indicate that the I–V properties of this structure transfer from non-switching to switching operation as the SiO x deposition temperature increased. The device with SiO x deposited at high deposition temperature exhibits typical bipolar switching properties, which can be potentially used in resistive switching random accessible memory (RRAM). The transferring mechanism from non-switching to switching can be ascribed to the change of structural and electronic properties of SiO x active layer deposited at different temperatures, as evidenced by analyzing FTIR spectrum and fitting its I–V characteristics curves. This work demonstrates a safe and practicable low-temperature device-grade SiO x film deposition technology by conducting HWCVD from TEOS.

  20. Fabrication of durable fluorine-free superhydrophobic polyethersulfone (PES) composite coating enhanced by assembled MMT-SiO2 nanoparticles

    Science.gov (United States)

    Zhang, Xiguang; Wang, Huaiyuan; Liu, Zhanjian; Zhu, Yixing; Wu, Shiqi; Wang, Chijia; Zhu, Yanji

    2017-02-01

    A durable fluorine-free polyethersulfone (PES) superhydrophobic composite coating with excellent wear-resistant and anti-corrosion properties has been successfully fabricated by combining sol-gel and spray technology. The robust micro/nano-structures of the prepared surface were established by introducing binary montmorillonite-silica (MMT-SiO2) assembled composite particles, which were formed by in-situ growth of SiO2 on MMT surfaces via sol-gel. Combined with the low surface energy of amino silicon oil (APDMS), the fluorine-free superhydrophoic PES coating was obtained with high water contact angle 156.1 ± 1.1° and low sliding angle 4.8 ± 0.7°. The anti-wear of the final PES/APDMS/MMT-SiO2 superhydrophobic coating can reach up to 60,100 cycles, which is outdistancing the pure PES coating (6800 cycles) and the PES/MMT/SiO2 coating prepared by simple physical mixture (18,200 cycles). The enhanced wear resistance property can be mainly attributed to the lubrication performance of APDMS and stable interface bonding force between the MMT surface and SiO2. Simultaneously, potentiodynamic polarization curves and electrochemical impedance spectroscopy exhibited the outstanding anti-corrosion property of PES/APDMS/MMT-SiO2 composite coating, with low corrosion current (1.6 × 10-10 A/cm2) and high protection efficiency (99.999%) even after 30 d immersion process. These test results show that this durable superhydrophobic PES composite coating can be hopefully to provide the possibility of industrial application.

  1. Phase Stability and Thermal Conductivity of Composite Environmental Barrier Coatings on SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Benkel, Samantha; Zhu, Dongming

    2011-01-01

    Advanced environmental barrier coatings are being developed to protect SiC/SiC ceramic matrix composites in harsh combustion environments. The current coating development emphasis has been placed on the significantly improved cyclic durability and combustion environment stability in high-heat-flux and high velocity gas turbine engine environments. Environmental barrier coating systems based on hafnia (HfO2) and ytterbium silicate, HfO2-Si nano-composite bond coat systems have been processed and their stability and thermal conductivity behavior have been evaluated in simulated turbine environments. The incorporation of Silicon Carbide Nanotubes (SiCNT) into high stability (HfO2) and/or HfO2-silicon composite bond coats, along with ZrO2, HfO2 and rare earth silicate composite top coat systems, showed promise as excellent environmental barriers to protect the SiC/SiC ceramic matrix composites.

  2. Electro-physical properties of a Si-based MIS structure with a low-k SiOC(-H) film

    Energy Technology Data Exchange (ETDEWEB)

    Zakirov, Anvar Sagatovich; Navamathavan, Rangaswamy; Kim, Seung Hyun; Jang, Yong Jun; Jung, An Soo; Choi, Chi Kyu [Cheju National University, Jeju (Korea, Republic of)

    2006-09-15

    SiOC(-H) films with low dielectric constants have been prepared by using plasma enhanced chemical vapor deposition with a mixture of methyltriethoxysilane and oxygen precursors. The C-V characteristics of the structures, Al/SiOC(-H)/p-Si(100), were studied in the forward and the reverse directions by applying a polarizing potential. We found that the ratio of the maximum to the minimum capacitance (C{sub ma}x{sub /}C{sub min}) depended on the [MTES/(MTES+O{sub 2})] flow rate ratio. Annealed samples exhibited even greater reductions of the maximum capacitance and the dielectric constant of the SiOC(-H) samples. After annealing at 400 .deg. C, the measurement in the reverse direction revealed an interesting behavior in the form of strongly pronounced 'steps'. The bonds between Si-O and the -CH{sub 3} group reduced the surface charge density, and the distribution of the surface charge density depended on [MTES/(MTES+O{sub 2})] flow rate ratio and the annealing temperature because the fixed positive (Si-CH{sub 3}){sup +} and negative (Si-O){sup -} changed the configuration at the SiOC(-H)/p-Si(100) interface. The SiOC(-H) film had donor (O{sub 2}) and acceptor (Si-CH{sub 3} -groups) levels, and the electronic process at the SiOC(-H)/p-Si(100) interface was defined by the (Si-CH{sub 3}){sup +} and the (Si-O){sup -} bonds.

  3. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  4. Simulation of electron transmittance and tunnel current in n{sup +} Poly-Si/HfSiO{sub x}N/Trap/SiO{sub 2}/Si(100) capacitors using analytical and numerical approaches

    Energy Technology Data Exchange (ETDEWEB)

    Noor, Fatimah A., E-mail: fatimah@fi.itb.ac.id; Iskandar, Ferry; Abdullah, Mikrajuddin; Khairurrijal [Physics of Electronic Materials Research Division Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung Jalan Ganesa 10, Bandung 40132 (Indonesia)

    2015-04-16

    In this paper, we discuss the electron transmittance and tunneling current in high-k-based-MOS capacitors with trapping charge by including the off-diagonal effective-mass tensor elements and the effect of coupling between transverse and longitudinal energies represented by an electron velocity in the gate. The HfSiO{sub x}N/SiO{sub 2} dual ultrathin layer is used as the gate oxide in an n{sup +} poly- Si/oxide/Si capacitor to replace SiO{sub 2}. The main problem of using HfSiO{sub x}N is the charge trapping formed at the HfSiO{sub x}N/SiO{sub 2} interface that can influence the performance of the device. Therefore, it is important to develop a model taking into account the presence of electron traps at the HfSiO{sub x}N/SiO{sub 2} interface in the electron transmittance and tunneling current. The transmittance and tunneling current in n{sup +} poly- Si/HfSiO{sub x}N/trap/SiO2/Si(100) capacitors are calculated by using Airy wavefunctions and a transfer matrix method (TMM) as analytical and numerical approaches, respectively. The transmittance and tunneling current obtained from the Airy wavefunction are compared to those computed by the TMM. The effects of the electron velocity on the transmittance and tunneling current are also discussed.

  5. Precise control of Si(001) initial oxidation by translational kinetic energy of O2 molecules

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2002-01-01

    The influence of translation kinetic energy of incident O 2 molecules on the passive oxidation of the clean Si(001) surface and the partially oxidized-Si(001) surface has been studied by high-resolution photoemission spectroscopy using synchrotron radiation. The incident energy of O 2 molecules was controlled up to 3 eV by a supersonic seeded molecular beam technique. Although two incident energy thresholds (1.0 eV and 2.6 eV) have been determined for the partially oxidized-surface oxidation in accordance with the first-principle calculation, the monotonic increase of oxygen saturation coverage was observed for the clean surface oxidation. The difference is caused by the initial dangling bond termination (Si-H and Si-OH) on the partially oxidized surface. Si-2p and O-1s photoemission spectra measured at representative incident energies showed the incident-energy-induced oxidation at the back bonds of Si dimers and the second-layer (subsurface) Si atoms. Moreover, the low-and high-binding-energy components in the O-1s photoemission spectra were assigned to bridge site oxygen and dangling bond site oxygen for the partially oxidized-surface oxidation. (author)

  6. Positron annihilation at the Si/SiO2 interface

    International Nuclear Information System (INIS)

    Leung, T.C.; Weinberg, Z.A.; Asoka-Kumar, P.; Nielsen, B.; Rubloff, G.W.; Lynn, K.G.

    1992-01-01

    Variable-energy positron annihilation depth-profiling has been applied to the study of the Si/SiO 2 interface in Al-gate metal-oxide-semiconductor (MOS) structures. For both n- and p-type silicon under conditions of negative gate bias, the positron annihilation S-factor characteristic of the interface (S int ) is substantially modified. Temperature and annealing behavior, combined with known MOS physics, suggest strongly that S int depends directly on holes at interface states or traps at the Si/SiO 2 interface

  7. Inter-tetrahedra bond angle of permanently densified silicas extracted from their Raman spectra

    International Nuclear Information System (INIS)

    Hehlen, B

    2010-01-01

    Relative Raman scattering intensities are obtained in three samples of vitreous silica of increasing density. The variation of the intensity upon densification is very different for bending and stretching modes. For the former we find a Raman coupling-to-light coefficient C B ∝ω 2 . A comparative intensity and frequency dependence of the Raman spectral lines in the three glasses is performed. Provided the Raman spectra are normalized by C B , there exists a simple relation between the Si-O-Si bond angle and the frequency of all O-bending motions, including those of fourfold (n = 4) and threefold (n = 3) rings. For 20% densification we find a reduction of ∼5.7 deg. of the maximum of the network angle distribution, a value in very close agreement with previous NMR experiments. The threefold and fourfold rings are weakly perturbed by the densification, with a bond angle reduction of ∼0.5 deg. for the former.

  8. Mechanism of bonding and debonding using surface activated bonding method with Si intermediate layer

    Science.gov (United States)

    Takeuchi, Kai; Fujino, Masahisa; Matsumoto, Yoshiie; Suga, Tadatomo

    2018-04-01

    Techniques of handling thin and fragile substrates in a high-temperature process are highly required for the fabrication of semiconductor devices including thin film transistors (TFTs). In our previous study, we proposed applying the surface activated bonding (SAB) method using Si intermediate layers to the bonding and debonding of glass substrates. The SAB method has successfully bonded glass substrates at room temperature, and the substrates have been debonded after heating at 450 °C, in which TFTs are fabricated on thin glass substrates for LC display devices. In this study, we conducted the bonding and debonding of Si and glass in order to understand the mechanism in the proposed process. Si substrates are also successfully bonded to glass substrates at room temperature and debonded after heating at 450 °C using the proposed bonding process. By the composition analysis of bonding interfaces, it is clarified that the absorbed water on the glass forms interfacial voids and cause the decrease in bond strength.

  9. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  10. Electronic structure and chemical bonding in LaIrSi-type intermetallics

    Energy Technology Data Exchange (ETDEWEB)

    Matar, Samir F. [Bordeaux Univ., Pessac (France). CNRS; Poettgen, Rainer [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie; Nakhl, Michel [Univ. Libanaise, Fanar (Lebanon). Ecole Doctorale Sciences et Technologies

    2017-05-01

    The cubic LaIrSi type has 23 representatives in aluminides, gallides, silicides, germanides, phosphides, and arsenides, all with a valence electron count of 16 or 17. The striking structural motif is a three-dimensional network of the transition metal (T) and p element (X) atoms with TX{sub 3/3} respectively XT{sub 3/3} coordination. Alkaline earth or rare earth atoms fill cavities within the polyanionic [TX]{sup δ-} networks. The present work presents a detailed theoretical study of chemical bonding in LaIrSi-type representatives, exemplarily for CaPtSi, BaIrP, BaAuGa, LaIrSi, CeRhSi, and CeIrSi. DFT-GGA-based electronic structure calculations show weakly metallic compounds with itinerant small magnitude DOSs at E{sub F} except for CeRhSi whose large Ce DOS at E{sub F} leads to a finite magnetization on Ce (0.73 μ{sub B}) and induced small moments of opposite sign on Rh and Si in a ferromagnetic ground state. The chemical bonding analyses show dominant bonding within the [TX]{sup δ-} polyanionic networks. Charge transfer magnitudes were found in accordance with the course of the electronegativites of the chemical constituents.

  11. Rietveld refinement of the orthorhombic Pbca structures of Rb2CdSi5O12, Cs2MnSiO5O12, Cs2CoSi5O12 and Cs2NiSi5O12 leucites by synchrotron X-ray powder diffraction

    International Nuclear Information System (INIS)

    Bell, A.M.T.; Henderson, C.M.B.

    1996-01-01

    Analysis of high-resolution synchrotron X-ray powder diffraction patterns for hydrothermally synthesized Rb 2 CdSi 5 O 12 and Cs 2 MnSi 5 O 12 leucite analogues, and dry-synthesized Cs 2 CoSi 5 O 12 and Cs 2 NiSi 5 O 12 leucite analogues showed that they have an orthorhombic Pbca structure. The structures have been refined by the Rietveld method, showing that the tetrahedrally coordinated atoms (Si, Cd, Mn, Co and Ni) are ordered on separate sites. The Cs 2 MnSi 5 O 12 , Cs 2 CoSi 5 O 12 and Cs 2 NiSi 5 O 12 leucite samples are unusual in containing SiO 4 tetrahedra which are more distorted, on average, than the larger MnO 4 , CoO 4 and NiO 4 tetrahedra. The JCPDS file numbers for Rb 2 CdSi 5 O 12 , Cs 2 MnSi 5 O 12 and Cs 2 CoSi 5 O 12 are 46-1491, 46-1492 and 46-1493, respectively. (orig.)

  12. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  13. III-V/Si wafer bonding using transparent, conductive oxide interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Tamboli, Adele C., E-mail: Adele.Tamboli@nrel.gov; Hest, Maikel F. A. M. van; Steiner, Myles A.; Essig, Stephanie; Norman, Andrew G.; Bosco, Nick; Stradins, Paul [National Center for Photovoltaics, National Renewable Energy Laboratory, 15013 Denver West Pkwy, Golden, Colorado 80401 (United States); Perl, Emmett E. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106-9560 (United States)

    2015-06-29

    We present a method for low temperature plasma-activated direct wafer bonding of III-V materials to Si using a transparent, conductive indium zinc oxide interlayer. The transparent, conductive oxide (TCO) layer provides excellent optical transmission as well as electrical conduction, suggesting suitability for Si/III-V hybrid devices including Si-based tandem solar cells. For bonding temperatures ranging from 100 °C to 350 °C, Ohmic behavior is observed in the sample stacks, with specific contact resistivity below 1 Ω cm{sup 2} for samples bonded at 200 °C. Optical absorption measurements show minimal parasitic light absorption, which is limited by the III-V interlayers necessary for Ohmic contact formation to TCOs. These results are promising for Ga{sub 0.5}In{sub 0.5}P/Si tandem solar cells operating at 1 sun or low concentration conditions.

  14. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Marin, D.V.; Kesler, V.G.; Volodin, V.A.; Skuratov, V.A.

    2012-01-01

    Three hundred and twenty nanometer-thick SiO 2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10 12 cm −2 and 10 14 cm −2 , or with 700 MeV Bi ions in the fluence range of 3 × 10 12 –1 × 10 13 cm −2 . After irradiation the yellow–orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950–1150 cm −1 , Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si–O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO 2 . Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ∼10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  15. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  16. Preparation, characterization and infrared emissivity study of helical polyurethane-SiO2 core-shell composite

    International Nuclear Information System (INIS)

    Wang Zhiqiang; Zhou Yuming; Yao Qingzhao; Sun Yanqing

    2009-01-01

    Helical polyurethane-SiO 2 (HPU-SiO 2 ) core-shell composite was prepared after surface modification of SiO 2 nanoparticles. HPU-SiO 2 was characterized by Fourier-transform infrared (FT-IR) spectroscopy, X-ray photoelectron spectroscopy (XPS), ultraviolet (UV) spectroscopy, X-ray diffraction (XRD) and transmission electron microscopy (TEM). The results indicate that the helical polyurethane has been successfully grafted onto the surfaces of the modified SiO 2 . HPU-SiO 2 composite exhibits clearly core-shell structure. The ultraviolet absorption and crystallizability of HPU-SiO 2 are changed due to the shell of helical polyurethane, which possesses regular single-handed conformation and inter-chain hydrogen bonds. The infrared emissivity of HPU-SiO 2 was also investigated. The result indicates that the interfacial interactions between organic shell and inorganic core induce the infrared emissivity value being reduced from 0.781 for SiO 2 to 0.503 for HPU-SiO 2 .

  17. Interracial Structure and Formation Mechanism of Ultrasonic-assisted Brazed Joint of SiC Ceramics with Al-12Si Filler Metals in Air

    Institute of Scientific and Technical Information of China (English)

    Xiaoguang Chen; Ruishan Xie; Zhiwei Lai; Lei Liu; Jiuchun Yan; Guisheng Zou

    2017-01-01

    Ultrasonic-assisted brazing of SiC ceramics was performed by filling with an Al--12Si alloy at a low temperature of 620 ℃ in air.The interfacial characteristics and formation mechanism were investigated.The joint shear strength reached 84-94 MPa using the ultrasonic time of 2-16 s.The fracture morphology showed that the fracture path initiated and propagated in the joint alloy.The thin film of amorphous SiO2 that formed on the SiC surface was non-uniformly decomposed and diffused into the liquid Al-12Si alloy under the cavitation erosion effect of ultrasound.Abnormal isolated blocks of Al2SiO5 compounds formed at the interface between Al--12Si and a thicker SiO2 layer formed during the thermal oxidation treatment of the SiC ceramic.The SiO2 layer on the SiC ceramic did not hinder or impair the wetting and bonding process,and a stronger bond could form between Al-12Si and SiO2 or SiC in ultrasonicassisted brazing.

  18. Anomalous defect processes in Si implanted amorphous SiO2, II

    International Nuclear Information System (INIS)

    Fujita, Tetsuo; Fukui, Minoru; Okada, Syunji; Shimizu-Iwayama, Tsutomu; Hioki, Tatsumi; Itoh, Noriaki

    1994-01-01

    Aanomalous features of the defects in Si implanted amorphous SiO 2 are reported. The numbers of E 1 prime centers and B 2 centers are found to increase monotonically with implanted Si dose, in contrast to the saturating feature of these numbers in Ar implanted samples. Moreover, when H ions are implanted in amorphous SiO 2 predamaged by Si implantation, both of the density and the number of E 1 prime centers increase and they reach a constant value at a small H dose. We point out that these anomalies can be explained in terms of the difference in the cross-section for defect annihilation in the specimens implanted with Si ions and other ions, in accordance with the homogeneous model proposed by Devine and Golanski. We consider that the main mechanism of defect annihilation is the recombination of an E 1 prime center and an interstitial O, which is stabilized by an implanted Si, reducing the cross-section in Si-implanted specimens. ((orig.))

  19. Spectroscopic Studies of Semiconductor Materials for Aggressive-scaled Micro- and Opto-electronic Devices: nc-SiO2, GeO2; ng-Si, Ge and ng-Transition metal (TM) oxides

    Science.gov (United States)

    Cheng, Cheng

    transitions. Intra-d states are observed in all high-K dielectrics regardless of morphology, e.g. ng-TiO2, nc- Ti silicate , c-LaTiO3, nc-HfSiON334. This dissertation also discussed spectroscopic studies of: (i) nc-SiO 2, nc-GeO2 and (ii) nc-(SiO2)x(GeO2) 1-x pseudo-binary alloys. These studies, and the interpretation of these spectra and those in Chapter 3 in the This dissertation also discussed spectroscopic studies of: (i) nc-SiO2, nc-GeO2 and (ii) nc-(SiO 2)x(GeO2)1-x pseudo-binary alloys. These studies, and the interpretation of these spectra and those in Chapter 3 in the context of ab-initio theory provide a science base for the implementation of nc-oxides onto Germaniumsubstrates for aggressively scaled CMOS FETs, imaging devices as well as photovoltaics. X-Ray photoelectron spectroscopy(XPS) and Auger electron spectroscopy(AES) were used to determine SiO2 and GeO2 concentration in (SiO2)x(GeO2)1-x alloys. A linear trend in chemical shifts with compositions is observed and explained with charge-potential model, which incorporates the results of calculated partial charge from an empirical model for ionicity. The compositional linear relationships between binding energies nc-SiO 2, nc-GeO2, and (SiO2)x(GeO2)1-x alloy concentration agrees with the calculated results in charge potential model. SE and XAS spectral results show relatively strong O-vacancy in nc-GeO 2. O-vacancy defects in c-SiO2 are weaker. This is due to differences between Ge-O and Si-O bond (657.5kJ/mol and 799.6kJ/mol respectively). SE data shows a strong defect feature in GeO2, while SiO2 has no significant and distinct defect signature. Percolation theory describes the interconnection of bonds, e.g. Si-O and Ge-O in an otherwise nc-material, a (SiO2)x(GeO2)1-x pseudo-binary alloy. Changes in the band-gap energy of binary Si-Ge alloys occur at 0%Si (or 100% Ge), and the band gap energy increases from ˜ 0.6 eV to ˜0.87 eV as the Si concentration increases. A inflection point is at the percolation

  20. High fluence swift heavy ion structure modification of the SiO{sub 2}/Si interface and gate insulator in 65 nm MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Yao [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gao, Bo, E-mail: gaobo@scu.edu.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gong, Min [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Willis, Maureen [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Yang, Zhimei [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); Guan, Mingyue [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Li, Yun [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China)

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO{sub 2}/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO{sub 2} and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  1. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  2. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A., E-mail: kachurin@isp.nsc.ru [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Cherkova, S.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Marin, D.V. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Kesler, V.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Volodin, V.A. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Skuratov, V.A. [Joint Institute for Nuclear Research, 141980 Dubna (Russian Federation)

    2012-07-01

    Three hundred and twenty nanometer-thick SiO{sub 2} layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10{sup 12} cm{sup -2} and 10{sup 14} cm{sup -2}, or with 700 MeV Bi ions in the fluence range of 3 Multiplication-Sign 10{sup 12}-1 Multiplication-Sign 10{sup 13} cm{sup -2}. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm{sup -1}, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO{sub 2}. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and {approx}10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  3. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  4. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  5. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  6. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  7. Superhydrophobicity and regeneration of PVDF/SiO2 composite films

    Science.gov (United States)

    Liu, Tao; Li, Xianfeng; Wang, Daohui; Huang, Qinglin; Liu, Zhen; Li, Nana; Xiao, Changfa

    2017-02-01

    Superhydrophobicity of polymers is easily destroyed by careless touching due to the softness of microstructures. In this study, based on a well-constructed polyvinylidene fluoride (PVDF) surface, a novel superhydrophobic PVDF/SiO2 composite film was fabricated by adding hydrophobic SiO2 nanoparticle and solvent into a coagulation bath. The water contact angle of the composite film reached 162.3° and the sliding angle was as low as 1.5°. More importantly, the composite film could be regenerated only through immersing the composite film in the designed regeneration agent. The composition of the designed regeneration agent ensured that SiO2 nanoparticles were firmly adhered on the film surface even under the ultrasonic cleaning. Hence, the superhydrophobicity and self-cleaing property could be regenerated and maintained effectively, and moreover, these propeties could resist a proper pressure. In addition, after many rubbing-regenerating cycles, the regeneration method was still valid.

  8. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  9. Preparation of a durable superhydrophobic membrane by electrospinning poly (vinylidene fluoride) (PVDF) mixed with epoxy-siloxane modified SiO2 nanoparticles: a possible route to superhydrophobic surfaces with low water sliding angle and high water contact angle.

    Science.gov (United States)

    Wang, Shuai; Li, Yapeng; Fei, Xiaoliang; Sun, Mingda; Zhang, Chaoqun; Li, Yaoxian; Yang, Qingbiao; Hong, Xia

    2011-07-15

    A durable superhydrophobic surface with low water sliding angle (SA) and high water contact angle (CA) was obtained by electrospinning poly (vinylidene fluoride) (PVDF) which was mixed with epoxy-siloxane modified SiO(2) nanoparticles. To increase the roughness, modified SiO(2) nanoparticles were introduced into PVDF precursor solution. Then in the electrospinning process, nano-sized SiO(2) particles irregularly inlayed (it could also be regard as self-assembly) in the surface of the micro-sized PVDF mini-islands so as to form a dual-scale structure. This structure was responsible for the superhydrophobicity and self-cleaning property. In addition, epoxy-siloxane copolymer was used to modify the surface of SiO(2) nanoparticles so that the SiO(2) nanoparticles could stick to the surface of the micro-sized PVDF mini-islands. Through the underwater immersion test, the SiO(2) nanoparticles cannot be separated from PVDF easily so as to achieve the effect of durability. We chiefly explore the surface wettability and the relationship between the mass ratio of modified SiO(2) nanoparticles/PVDF and the CA, SA of electrospun mat. As the content of modified SiO(2) nanoparticles increased, the value of CA increased, ranging from 145.6° to 161.2°, and the water SA decreased to 2.17°, apparently indicating that the membrane we fabricated has a perfect effect of superhydrophobicity. Copyright © 2011 Elsevier Inc. All rights reserved.

  10. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to SiO

  11. Fabrication of mullite-bonded porous SiC ceramics from multilayer-coated SiC particles through sol-gel and in-situ polymerization techniques

    Science.gov (United States)

    Ebrahimpour, Omid

    In this work, mullite-bonded porous silicon carbide (SiC) ceramics were prepared via a reaction bonding technique with the assistance of a sol-gel technique or in-situ polymerization as well as a combination of these techniques. In a typical procedure, SiC particles were first coated by alumina using calcined powder and alumina sol via a sol-gel technique followed by drying and passing through a screen. Subsequently, they were coated with the desired amount of polyethylene via an in-situ polymerization technique in a slurry phase reactor using a Ziegler-Natta catalyst. Afterward, the coated powders were dried again and passed through a screen before being pressed into a rectangular mold to make a green body. During the heating process, the polyethylene was burnt out to form pores at a temperature of about 500°C. Increasing the temperature above 800°C led to the partial oxidation of SiC particles to silica. At higher temperatures (above 1400°C) derived silica reacted with alumina to form mullite, which bonds SiC particles together. The porous SiC specimens were characterized with various techniques. The first part of the project was devoted to investigating the oxidation of SiC particles using a Thermogravimetric analysis (TGA) apparatus. The effects of particle size (micro and nano) and oxidation temperature (910°C--1010°C) as well as the initial mass of SiC particles in TGA on the oxidation behaviour of SiC powders were evaluated. To illustrate the oxidation rate of SiC in the packed bed state, a new kinetic model, which takes into account all of the diffusion steps (bulk, inter and intra particle diffusion) and surface oxidation rate, was proposed. Furthermore, the oxidation of SiC particles was analyzed by the X-ray Diffraction (XRD) technique. The effect of different alumina sources (calcined Al2O 3, alumina sol or a combination of the two) on the mechanical, physical, and crystalline structure of mullite-bonded porous SiC ceramics was studied in the

  12. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng, E-mail: rschen@ust.hk; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-08-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm{sup 2}/Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10{sup 6}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress.

  13. Dependence of open-circuit voltage of SnO2-nSi solar cells; SnO2-nSi taiyo denchi no sanka ondo menhoi izonsei

    Energy Technology Data Exchange (ETDEWEB)

    Shinoda, S; Shimizu, A; Yano, K; Kasuga, M [Yamanashi University, Yamanashi (Japan). Faculty of Engineering

    1997-11-25

    Although metal(or semiconductor)-semiconductor solar cells, SnO2-nSi solar cell for example, are superior in cost and efficiency, its barrier height and open-circuit voltage V(oc) are lower than those of p-n junctions. To improve these defects, study was made on the dependence of V(oc) on oxidation temperature and surface orientation using various solar cells prepared from (100)Si and (111)Si under various oxidation conditions. As a result, the density of surface states increases with a decrease in oxidation temperature of Si substrates, resulting in an increase in diode factor and V(oc). In this case, since oxide films are extremely thin and contribution of non-terminated bonds is large in the initial oxidation stage, the quantity of dangling bonds is larger in (100) plane than (111) plane, resulting in an increase in diode factor and V(oc). Since the surface energy level (the degree of electrons dominated by acceptor-like surface state from this level to the top of a valence band) of (100) Si is lower than that of (111) Si, the effective barrier height and V(oc) increase. 28 refs., 6 figs., 2 tabs.

  14. Formation of metallic Si and SiC nanoparticles from SiO2 particles by plasma-induced cathodic discharge electrolysis in chloride melt

    International Nuclear Information System (INIS)

    Tokushige, M.; Tsujimura, H.; Nishikiori, T.; Ito, Y.

    2013-01-01

    Silicon nanoparticles are formed from SiO 2 particles by conducting plasma-induced cathodic discharge electrolysis. In a LiCl–KCl melt in which SiO 2 particles were suspended at 450 °C, we obtained Si nanoparticles with diameters around 20 nm. During the electrolysis period, SiO 2 particles are directly reduced by discharge electrons on the surface of the melt just under the discharge, and the deposited Si atom clusters form Si nanoparticles, which leave the surface of the original SiO 2 particle due to free spaces caused by a molar volume difference between SiO 2 and Si. We also found that SiC nanoparticles can be obtained using carbon anode. Based on Faraday's law, the current efficiency for the formation of Si nanoparticles is 70%

  15. The Degradation Behavior of SiCf/SiO2 Composites in High-Temperature Environment

    Science.gov (United States)

    Yang, Xiang; Cao, Feng; Qing, Wang; Peng, Zhi-hang; Wang, Yi

    2018-04-01

    SiCf/SiO2 composites had been fabricated efficiently by Sol-Gel method. The oxidation behavior, thermal shock property and ablation behavior of SiCf/SiO2 composites was investigated. SiCf/SiO2 composites showed higher oxidation resistance in oxidation atmosphere, the flexural strength retention ratio was larger than 90.00%. After 1300 °C thermal shock, the mass retention ratio was 97.00%, and the flexural strength retention ratio was 92.60%, while after 1500 °C thermal shock, the mass retention ratio was 95.37%, and the flexural strength retention ratio was 83.34%. After 15 s ablation, the mass loss rate was 0.049 g/s and recession loss rate was 0.067 mm/s. The SiO2 matrix was melted in priority and becomes loosen and porous. With the ablation going on, the oxides were washed away by the shearing action of the oxyacetylene flame. The evaporation of SiO2 took away large amount of heat, which is also beneficial to the protection for SiCf/SiO2 composites.

  16. Analysis of electronic structure of amorphous InGaZnO/SiO2 interface by angle-resolved X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ueoka, Y.; Ishikawa, Y.; Maejima, N.; Matsui, F.; Matsui, H.; Yamazaki, H.; Urakawa, S.; Horita, M.; Daimon, H.; Uraoka, Y.

    2013-01-01

    The electronic structures of amorphous indium gallium zinc oxide (a-IGZO) on a SiO 2 layers before and after annealing were observed by constant final state X-ray photoelectron spectroscopy (CFS-XPS) and X-ray adsorption near-edge structure spectroscopy (XANES). From the results of angle-resolved CFS-XPS, the change in the electronic state was clearly observed in the a-IGZO bulk rather than in the a-IGZO/SiO 2 interface. This suggests that the electronic structures of the a-IGZO bulk strongly affected the thin-film transistor characteristics. The results of XANES indicated an increase in the number of tail states upon atmospheric annealing (AT). We consider that the increase in the number of tail states decreased the channel mobility of AT samples

  17. Cotton fabric finishing with TiO2/SiO2 composite hydrosol based on ionic cross-linking method

    International Nuclear Information System (INIS)

    Xu, Z.J.; Tian, Y.L.; Liu, H.L.; Du, Z.Q.

    2015-01-01

    Highlights: • We studied the cotton finishing with TiO 2 /SiO 2 based on ionic cross-linking method. • The samples treated with CHTAC had lower value of whiteness. • The samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. • The ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) was better than with TiO 2 /SiO 2 sol alone. - Abstract: Cotton fabric was successfully modified by 3-chloro-2-hydroxypropyl trimethyl ammonium chloride (CHTAC), 1,2,3,4-butanetetracarboxylic acid (BTCA) and TiO 2 /SiO 2 sol. Self-cleaning characteristic was investigated using a Color Measuring and Matching System with 6 h sunlight irradiation. And the stability of TiO 2 /SiO 2 coatings was explored by measuring the washing fastness and wrinkle resistance of treated cotton samples. In addition, whiteness index, crease recovery angle and tensile strength retention (%) of treated samples were evaluated. Moreover, the morphology, structure change and crystallinity of samples were observed by scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD), respectively. The results revealed that the samples treated with CHTAC had lower value of whiteness index as compared with original cotton fabric. It was also found that samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. Moreover, the treatment of CHTAC and BTCA had adverse effect on the crystallinity of cotton samples, as treated samples had lower crystallinity in comparison with raw cotton fabrics. Nevertheless, the stability of self-cleaning coatings was better for samples treated with ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) than samples treated with TiO 2 /SiO 2 sol alone. Furthermore, compared with original samples the UV-blocking property of ionic cross-linking treated samples was obviously enhanced

  18. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  19. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    Science.gov (United States)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  20. Solid-state 27Al and 29Si NMR investigations on Si-substituted hydrogarnets

    International Nuclear Information System (INIS)

    Rivas Mercury, J.M.; Pena, P.; Aza, A.H. de; Turrillas, X.; Sobrados, I.; Sanz, J.

    2007-01-01

    Partially deuterated Ca 3 Al 2 (SiO 4 ) 3-x (OH) 4x hydrates prepared by a reaction in the presence of D 2 O of synthetic tricalcium aluminate with different amounts of amorphous silica were characterized by 29 Si and 27 Al magic-angle spinning nuclear magnetic resonance (NMR) spectroscopy. The 29 Si NMR spectroscopy was used for quantifying the non-reacted silica and the resulting hydrated products. The incorporation of Si into Ca 3 Al 2 (SiO 4 ) 3-x (OH) 4x was followed by 27 Al NMR spectroscopy: Si:OH ratios were determined quantitatively from octahedral Al signals ascribed to Al(OH) 6 and Al(OSi)(OH) 5 environments. The NMR data obtained were consistent with the concentrations of the Al and Si species deduced from transmission electron microscopy energy-dispersive spectrometry and Rietveld analysis of both X-ray and neutron diffraction data

  1. Estimation of interface resistivity in bonded Si for the development of high performance radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Nomiya, Seiichiro; Onabe, Hideaki

    2007-01-01

    For the development of high performance radiation detectors, direct bonding of Si wafers would be an useful method. Previously, p-n bonded Si were fabricated and they showed diode characteristics. The interface resistivity was, however, not investigated in detail. For the study of interface resistivity, n-type Si wafers with different resistivities were bonded. The resistivity of bonded Si wafers were measured and the interface resistivity was estimated by comparing with the results of model calculations. (author)

  2. Evolution of the structure and hydrogen bonding configuration in annealed hydrogenated a-Si/a-Ge multilayers and layers

    International Nuclear Information System (INIS)

    Frigeri, C.; Nasi, L.; Serenyi, M.; Khanh, N.Q.; Csik, A.; Szekrenyes, Zs.; Kamaras, K.

    2012-01-01

    Complete text of publication follows. Among the present available renewable energy sources, energy harvesting from sunlight by means of photovoltaic cells is the most attractive one. In order to win over the traditional energy resources both efficiency and cost effectiveness of photovoltaic conversion must be optimized as far as possible. Efficiency is basically improved by the use of multijunction cells containing semiconductors with different band-gap. In this respect, the III-V compounds guarantee the highest efficiency, up to 41.6 %, but they are quite expensive. The latter drawback also affects other compounds like CdTe and CuIn 1-x Ga x Se 2 (CIGS). Si based solar devices have lower efficiency but are much more cost effective. They can use either crystalline or amorphous Si thin layers or Si nanoparticles. As to the thin films, amorphous Si (a-Si) is preferred to crystalline Si as it has a wider band-gap (1.7 instead of 1.1 eV) thus harvesting a larger portion of solar energy. A tandem cell is formed by using a-SiGe which has a smaller band-gap tunable between 1.1 and 1.7 eV depending on the Ge content. The best value should be 1.4 eV since the material properties seem to degrade below this value whilst the photo-conductivity drops after light soaking if the band gap exceeds 1.4 eV. A key issue of amorphous Si, Ge and SiGe is the high density of defects in the band-gap mostly due to dangling bonds whose density is particularly high (even up to 5 x10 19 cm -3 ) since the lattice is significantly disordered with distorted bond angles and lengths. This increases the probability of rupture of the Si-Si (Ge-Ge) bonds, i.e., formation of dangling bonds. Owing to the fact that hydrogen with its single electron structure can close the dangling bonds, their density can be reduced even by 4 orders of magnitude by doping with hydrogen. However, H is unstable in the host lattice. In fact, several findings showed its evolution from the thin layer upon annealing and that

  3. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  4. Investigation of humidity-dependent nanotribology behaviors of Si(1 0 0)/SiO2 pair moving from stick to slip

    International Nuclear Information System (INIS)

    Yu Jiaxin; Chen Lei; Qian Linmao; Song Danlu; Cai Yong

    2013-01-01

    small in higher relative humidity regime where the ‘liquid-like’ water layer is formed. Time-of-flight secondary ion mass spectrometry (ToF-SIMS) analysis verifies the water molecules participate into tribochemical reaction to rupture the Si-Si and Si-O network bonds on Si(1 0 0) substrate.

  5. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  6. The three-electron bond =Si2:·Yb absorption center of pre-darkened ytterbium-doped silica

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    2013-01-01

    The formation and bleaching of color centers during annealing of pre-darkened ytterbium-doped silica fibers is modeled by three-electron bond (TEB) = Si... bonds is described in terms of a Markov statistical model with state change set by Bose-Einstein phonon statistics. The center hold one terminal and four active states with activation energies for transitions among these found to match bond energies of molecular oxygen in ionic character bonds of 1...... and 1½ bond order. Experimentally observed in- and decrease in absorption during ramp and isothermal annealing of pre-darkened ytterbium co-doped silica fibers are hereby matched by a set of = Si

  7. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  8. Chemically activated graphene/porous Si@SiO{sub x} composite as anode for lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Tao, Hua-Chao [College of Materials and Chemical Engineering, China Three Gorges University, 8 Daxue Road, Yichang, Hubei 443002 (China); Collaborative Innovation Center for Microgrid of New Energy, Hubei Province (China); Yang, Xue-Lin, E-mail: xlyang@ctgu.edu.cn [College of Materials and Chemical Engineering, China Three Gorges University, 8 Daxue Road, Yichang, Hubei 443002 (China); Collaborative Innovation Center for Microgrid of New Energy, Hubei Province (China); Zhang, Lu-Lu; Ni, Shi-Bing [College of Materials and Chemical Engineering, China Three Gorges University, 8 Daxue Road, Yichang, Hubei 443002 (China); Collaborative Innovation Center for Microgrid of New Energy, Hubei Province (China)

    2014-10-15

    Chemically activated graphene/porous Si@SiO{sub x} (CAG/Si@SiO{sub x}) composite has been synthesized via magnesiothemic reduction of mesoporous SiO{sub 2} (MCM-48) to porous Si@SiO{sub x} and dispersing in the suspension of chemically activated graphene oxide (CAGO) followed by thermal reduction. The porous Si@SiO{sub x} particles are well encapsulated in chemically activated graphene (CAG) matrix. The resulting CAG/Si@SiO{sub x} composite exhibits a high reversible capacity and excellent cycling stability up to 763 mAh g{sup −1} at a current density of 100 mA g{sup −1} after 50 cycles. The porous structure of CAG layer and Si@SiO{sub x} is beneficial to accommodate volume expansion of Si during discharge and charge process and the interconnected CAG improves the electronic conductivity of composite. - Highlights: • Chemically activated graphene encapsulated porous Si composite was prepared. • The graphene offers a continuous electrically conductive network. • The porous structure can accommodate volume expansion of Si-based materials. • The composite exhibits excellent lithium storage performance.

  9. New Insights into Understanding Irreversible and Reversible Lithium Storage within SiOC and SiCN Ceramics.

    Science.gov (United States)

    Graczyk-Zajac, Magdalena; Reinold, Lukas Mirko; Kaspar, Jan; Sasikumar, Pradeep Vallachira Warriam; Soraru, Gian-Domenico; Riedel, Ralf

    2015-02-24

    Within this work we define structural properties of the silicon carbonitride (SiCN) and silicon oxycarbide (SiOC) ceramics which determine the reversible and irreversible lithium storage capacities, long cycling stability and define the major differences in the lithium storage in SiCN and SiOC. For both ceramics, we correlate the first cycle lithiation or delithiation capacity and cycling stability with the amount of SiCN/SiOC matrix or free carbon phase, respectively. The first cycle lithiation and delithiation capacities of SiOC materials do not depend on the amount of free carbon, while for SiCN the capacity increases with the amount of carbon to reach a threshold value at ~50% of carbon phase. Replacing oxygen with nitrogen renders the mixed bond Si-tetrahedra unable to sequester lithium. Lithium is more attracted by oxygen in the SiOC network due to the more ionic character of Si-O bonds. This brings about very high initial lithiation capacities, even at low carbon content. If oxygen is replaced by nitrogen, the ceramic network becomes less attractive for lithium ions due to the more covalent character of Si-N bonds and lower electron density on the nitrogen atom. This explains the significant difference in electrochemical behavior which is observed for carbon-poor SiCN and SiOC materials.

  10. New Insights into Understanding Irreversible and Reversible Lithium Storage within SiOC and SiCN Ceramics

    Directory of Open Access Journals (Sweden)

    Magdalena Graczyk-Zajac

    2015-02-01

    Full Text Available Within this work we define structural properties of the silicon carbonitride (SiCN and silicon oxycarbide (SiOC ceramics which determine the reversible and irreversible lithium storage capacities, long cycling stability and define the major differences in the lithium storage in SiCN and SiOC. For both ceramics, we correlate the first cycle lithiation or delithiation capacity and cycling stability with the amount of SiCN/SiOC matrix or free carbon phase, respectively. The first cycle lithiation and delithiation capacities of SiOC materials do not depend on the amount of free carbon, while for SiCN the capacity increases with the amount of carbon to reach a threshold value at ~50% of carbon phase. Replacing oxygen with nitrogen renders the mixed bond Si-tetrahedra unable to sequester lithium. Lithium is more attracted by oxygen in the SiOC network due to the more ionic character of Si-O bonds. This brings about very high initial lithiation capacities, even at low carbon content. If oxygen is replaced by nitrogen, the ceramic network becomes less attractive for lithium ions due to the more covalent character of Si-N bonds and lower electron density on the nitrogen atom. This explains the significant difference in electrochemical behavior which is observed for carbon-poor SiCN and SiOC materials.

  11. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  12. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  13. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  14. Surface modification of η-Al{sub 2}O{sub 3} by SiO{sub 2} impregnation to enhance methanol dehydration activity

    Energy Technology Data Exchange (ETDEWEB)

    Jo, Ho Yong; Park, Jin Won [Dept. of Chemistry and Biomolecular Engineering, Yonsei University, Seoul (Korea, Republic of); Jung, Heon; Jung, Kwang Deog [Clean Energy Research Center, Korea Institute of Science and Technology, Seoul (Korea, Republic of)

    2017-03-15

    Silica impregnated η-Al{sub 2}O{sub 3} catalysts (Si(number)Al) were prepared for methanol dehydration, with the number indicating the Si wt % added to η-Al{sub 2}O{sub 3}. The Si(0.25)Al and Si(0.50)Al catalysts with the greatest number of acid sites had the highest methanol dehydration activities among the prepared catalysts. Si addition up to 0.5 wt % increased the number of the acid sites, which was slightly decreased by further Si addition. The number of weak acid sites correlated well with the catalytic activity for methanol dehydration, but was not enough to explain the higher activity of the catalysts containing silica compared with η-Al{sub 2}O{sub 3}. The acid sites of the Si(1.0)Al catalyst were less than those of the η-Al{sub 2}O{sub 3} catalyst but the two had similar activity. Si addition primarily increased the Lewis acid sites, although it generated a few new BrO. With Strokensted acid sites and chemical hydrogen bonded sites. Nonetheless, it was apparent that the increase of Lewis acid sites was the main reason for the enhancement of η-Al{sub 2}O{sub 3} activity by SiO{sub 2} addition. On the other hand, the new BrO. With Strokensted and Lewis acid sites and the chemical hydrogen bonded sites created by Si addition should not be neglected.

  15. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  16. Investigation of 3C-SiC/SiO2 interfacial point defects from ab initio g-tensor calculations and electron paramagnetic resonance measurements

    Science.gov (United States)

    Nugraha, T. A.; Rohrmueller, M.; Gerstmann, U.; Greulich-Weber, S.; Stellhorn, A.; Cantin, J. L.; von Bardeleben, J.; Schmidt, W. G.; Wippermann, S.

    SiC is widely used in high-power, high-frequency electronic devices. Recently, it has also been employed as a building block in nanocomposites used as light absorbers in solar energy conversion devices. Analogous to Si, SiC features SiO2 as native oxide that can be used for passivation and insulating layers. However, a significant number of defect states are reported to form at SiC/SiO2 interfaces, limiting mobility and increasing recombination of free charge carriers. We investigated the growth of oxide on different 3C-SiC surfaces from first principles. Carbon antisite Csi defects are found to be strongly stabilized in particular at the interface, because carbon changes its hybridization from sp3 in the SiC-bulk to sp2 at the interface, creating a dangling bond inside a porous region of the SiO2 passivating layer. Combining ab initio g-tensor calculations and electron paramagnetic resonance (EPR) measurements, we show that Csi defects explain the measured EPR signatures, while the hyperfine structure allows to obtain local structural information of the oxide layer. Financial support from BMBF NanoMatFutur Grant 13N12972 and DFG priority program SPP-1601 is gratefully acknowledged.

  17. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  18. O conhecimento de si como possibilidade para a transformação de si e do coletivo

    Directory of Open Access Journals (Sweden)

    Rosemary Silva da Silveira

    1999-12-01

    Full Text Available Para promover a discussão e reflexão em grupo sobre o significado do trabalho da enfermagem numa unidade cirúrgica e diante da constatação da necessidade dos trabalhadores de enfermagem conhecerem-se mais a si e entre si, como participantes e construtores de um trabalho coletivo, implementou-se uma prática, entendida como uma tecnologia do eu, que favorecesse o conhecimento de si e do outro na tentativa de transformar a si e, conseqüentemente, o coletivo. A partir da exposição verbal e individual, ao grupo, da percepção de si, propôs-se o registro escrito de qualidades e conselhos que poderiam ser atribuídos a cada membro da equipe de enfermagem. Sistematizadas as contribuições, cada participante recebeu, individualmente, o conjunto de percepções do grupo sobre si. Tais estratégias constituíram-se em espaços mobilizadores para o diálogo, a reflexão crítica e uma maior aproximação entre os trabalhadores, representando uma possibilidade de cuidado de si e de aperfeiçoamento pessoal e grupal.

  19. Formation of SiO2/polytetrafluoroethylene hybrid superhydrophobic coating

    International Nuclear Information System (INIS)

    Zheng Yansheng; He Yi; Qing Yongquan; Zhuo Zhihao; Mo Qian

    2012-01-01

    Highlights: ► The coating showed the water contact angle of 165° and the water sliding angle of 6°. ► The hierarchical structure with the low surface energy leads to surface superhydrophobicity. ► We demonstrated a simple yet efficient approach to preparing superhydrophobic surface. - Abstract: Superhydrophobic coating has been fabricated on the glass substrates with modified SiO 2 sol and polytetrafluoroethylene emulsion through a sol–gel process. SiO 2 sol was modified with γ-glycidoxypropyl trimethoxysilane. The coatings were characterized by water contact angle measurement, Scanning electron microscope, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy and thermal synthetic analysis. The experimental results show that coatings exhibited superhydrophobic and heat-resistant property with a water average contact angle of 156° and sliding angle of 6°, coating has a rough surface with both micro- and nanoscale structures, γ-glycidoxypropyl trimethoxysilane enhanced the hydrophobicity of the coatings. Low surface energy of polymer and special structure of the coatings were responsible for the hydrophobic of the surfaces.

  20. Magnetic behavior of Si-Ge bond in SixGe4-x nano-clusters

    Science.gov (United States)

    Nahali, Masoud; Mehri, Ali

    2018-06-01

    The structure of SixGe4-x nano-clusters were optimized by MPW1B95 level of theory using MG3S and SDB-aug-cc-PVTZ basis set. The agreement of the calculated ionization and dissociation energies with experimental values validates the reported structures of nano-clusters and justifies the use of hybrid meta density functional method. Since the Si-Si bond is stronger than Si-Ge and Ge-Ge bonds, the Si-Si, Si-Ge, and Ge-Ge diagonal bonds determine the precedence of the stability in these nano-clusters. The hybrid meta density functional calculations were carried out to investigate the adsorption of CO on all possible SixGe4-x nano-clusters. It was found that the silicon atom generally makes a stronger bond with CO than germanium and thereby preferentially affects the shape of structures having higher multiplicity. In Si-Ge structures with higher spin more than 95% of spins accumulate on positions with less bonds to other atoms of the cluster. Through CO adsorption on these clusters bridge structures are made that behave as spin bridge which conduct the spin from the nano-cluster surface to the adsorbate atoms. A better understanding of bridged structures was achieved upon introducing the 'spin bridge' concept. Based on exhaustive spin density analysis, it was found that the reason for the extra negative charge on oxygen in the bridged structures is the relocation of spin from the surface through the bridge.

  1. Laser-controlled stress of Si nanocrystals in a free-standing Si /SiO2 superlattice

    Science.gov (United States)

    Khriachtchev, Leonid; Räsänen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar+ laser radiation melts Si nanocrystals in a free-standing Si /SiO2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means.

  2. Laser-controlled stress of Si nanocrystals in a free-standing Si/SiO2 superlattice

    International Nuclear Information System (INIS)

    Khriachtchev, Leonid; Raesaenen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar + laser radiation melts Si nanocrystals in a free-standing Si/SiO 2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3 GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means

  3. Compósitos SiCf /SiC utilizados em sistemas de proteção térmica SiCf /SiC composites for thermal protection systems

    Directory of Open Access Journals (Sweden)

    M. Florian

    2005-09-01

    Full Text Available Compósitos de carbeto de silício (SiC reforçado com fibras de carbeto de silício (SiCf são materiais candidatos em potencial para utilização em sistemas de proteção térmica em altas temperaturas devido principalmente à boa condutividade térmica na direção da fibra e muito baixa condutividade térmica na direção transversal à fibra, alta dureza, estabilidade térmica e à corrosão por oxidação. O compósito SiCf/SiC possui uma matriz de SiC reforçada com fibras contínuas policristalinas de SiC e é obtido por reações de conversão em altas temperaturas e atmosfera controlada, utilizando o compósito carbono/carbono como precursor. O processo de Reação Química em Vapor (CVR foi utilizado para a fabricação de compósitos SiCf/SiC com alta pureza na fase de SiC-beta. O compósito precursor de carbono/carbono foi fabricado com fibra de carbono não estabilizada e matriz carbonosa derivada da resina fenólica na forma de carbono isotrópico. O compósito convertido exibiu uma densidade de 1,75 g/cm³, com 40% de porosidade aberta e resistência à flexão de 80 MPa medida por ensaio flexão em 4 pontos. A área especifica medida pela técnica de BET é dependente da temperatura de conversão e das condições inicias do precursor de carbono, podendo chegar a 18 m²/g.Composites based on silicon carbide are potential candidate materials for thermal protection systems mainly due to its good thermal conductivity in fiber direction and very low transversal thermal conductivity, high hardness, corrosion and thermal resistance. SiCf/SiC composite presents a SiC matrix reinforced with SiC polycrystalline continuous fibers. The composite was obtained by conversion reactions at high temperature and controlled atmosphere from a carbon/carbon composite precursor. The CVR process was used to fabricate SiC /SiC composite with crystalline high-purity beta-SiC from a carbon-carbon precursor fabricated with non-stabilized carbon fiber and

  4. Electronic Structure and Chemical Bond of Ti3SiC2 and Adding Al Element

    Institute of Scientific and Technical Information of China (English)

    MIN Xinmin; LU Ning; MEI Bingchu

    2006-01-01

    The relation among electronic structure, chemical bond and property of Ti3SiC2 and Al-doped was studied by density function and discrete variation (DFT-DVM) method. When Al element is added into Ti3SiC2, there is a less difference of ionic bond, which does not play a leading role to influent the properties. After adding Al, the covalent bond of Al and the near Ti becomes somewhat weaker, but the covalent bond of Al and the Si in the same layer is obviously stronger than that of Si and Si before adding. Therefore, in preparation of Ti3SiC2, adding a proper quantity of Al can promote the formation of Ti3SiC2. The density of state shows that there is a mixed conductor character in both of Ti3SiC2 and adding Al element. Ti3SiC2 is with more tendencies to form a semiconductor. The total density of state near Fermi lever after adding Al is larger than that before adding, so the electric conductivity may increase after adding Al.

  5. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  6. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  7. Core–shell structured FeSiAl/SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite cores with tunable insulating layer thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Xi’an, E-mail: groupfxa@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Wang, Jian, E-mail: snove418562@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Wu, Zhaoyang, E-mail: wustwuzhaoyang@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Li, Guangqiang, E-mail: ligq-wust@mail.wust.edu.cn [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China)

    2015-11-15

    Graphical abstract: - Highlights: • FeSiAl/SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores were prepared. • SiO{sub 2} surrounding FeSiAl were replaced by Al{sub 2}O{sub 3} during sintering process. • Fe{sub 3}Si particles were separated by Al{sub 2}O{sub 3} with tunable thickness in composite cores. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than FeSiAl core. • The insulating layer between ferromagnetic particles can reduce core loss. - Abstract: FeSiAl/SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores with tunable insulating layer thicknesses have been synthesized via a modified Stöber method combined with following high temperature sintering process. Most of the conductive FeSiAl particles could be coated by insulating SiO{sub 2} using the modified Stöber method. During the sintering process, the reaction 4Al + 3SiO{sub 2} ≣ 2α-Al{sub 2}O{sub 3} + 3Si took place and the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher resistivity and lower core loss than the raw FeSiAl core. Based on this, several types of FeSiAl/SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores with tunable insulating layer thicknesses were selectively prepared by simply varying TEOS contents. The thickness of Al{sub 2}O{sub 3} insulating layer and resistivity of Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores increased with increasing the TEOS contents, while the permeability and core loss changed in the opposite direction.

  8. UV Light-Driven Photodegradation of Methylene Blue by Using Mn0.5Zn0.5Fe2O4/SiO2 Nanocomposites

    Science.gov (United States)

    Indrayana, I. P. T.; Julian, T.; Suharyadi, E.

    2018-04-01

    The photodegradation activity of nanocomposites for 20 ppm methylene blue solution has been investigated in this work. Nanocomposites Mn0.5Zn0.5Fe2O4/SiO2 have been synthesized using coprecipitation method. The X-ray diffraction (XRD) pattern confirmed the formation of three phases in sample Mn0.5Zn0.5Fe2O4/SiO2 i.e., Mn0.5Zn0.5Fe2O4, Zn(OH)2, and SiO2. The appearance of SiO2 phase showed that the encapsulation process has been carried out. The calculated particles size of Mn0.5Zn0.5Fe2O4/SiO2 is greater than Mn0.5Zn0.5Fe2O4. Bonding analysis via vibrational spectra for Mn0.5Zn0.5Fe2O4/SiO2 confirmed the formation of bonds Me-O-Si stretching (2854.65 cm-1) and Si-O-Si asymmetric stretching (1026.13 cm-1). The optical gap energy of Mn0.5Zn0.5Fe2O4/SiO2 was smaller (2.70 eV) than Mn0.5Zn0.5Fe2O4 (3.04 eV) due to smaller lattice dislocation and microstrain that affect their electronic structure. The Mn0.5Zn0.5Fe2O4/SiO2 showed high photodegradation ability due to smaller optical gap energy and the appearance of SiO2 ligand that can easily attract dye molecules. The Mn0.5Zn0.5Fe2O4/SiO2 also showed high degradation activity even without UV light radiation. The result showed that photodegradation reaction doesn’t follow pseudo-first order kinetics.

  9. Effect of ozone treatment on the optical and electrical properties of HfSiO thin films

    International Nuclear Information System (INIS)

    Geng, Yang; Yang, Wen; Zhu, Shang-Bin; Zhang, Yuan; Sun, Qing-Qing; Lu, Hong-Liang; Zhang, David Wei

    2014-01-01

    The effect of room temperature ozone oxidation treatment on thin HfSiO film grown by atomic layer deposition (ALD) has been investigated. The optical and electrical properties with different post-ozone oxidation time were characterized. The evolution of ozone interacting with HfSiO films was clearly illuminated. Ozone can repair the lossy chemical bonds and vacancies, resulting in the improvement of packing density and polarizability of HfSiO films. With more ozone entering the HfSiO films, the refractive index, dielectric constant, and interfacial properties can be greatly upgraded. Furthermore, the frequency dispersion of ALD-HfSiO film can be improved after O 3 treatment time for 8 min. (orig.)

  10. Fundamental characteristics study of anion-exchange PVDF-SiO(2) membranes.

    Science.gov (United States)

    Zuo, Xingtao; Shi, Wenxin; Yu, Shuili; He, Jiajie

    2012-01-01

    A new type of poly(vinylidene fluoride)(PVDF)-SiO(2) hybrid anion-exchange membrane was prepared by blending method. The anion-exchange groups were introduced by the reaction of epoxy groups with trimethylamine (TMA). Contact angle between water and the membrane surface was measured to characterize the hydrophilicity change of the membrane surface. The effects of nano-sized SiO(2) particles in the membrane-forming materials on the membrane mechanical properties and conductivity were also investigated. The experimental results indicated that PVDF-SiO(2) anion-exchange membranes exhibited better water content, ion-exchange capacity, conductivity and mechanic properties, and so may find potential applications in alkaline membrane fuel cells and water treatment processes.

  11. Si nanocrystals embedded in SiO2: Optical studies in the vacuum ultraviolet range

    DEFF Research Database (Denmark)

    Pankratov, V.; Osinniy, Viktor; Kotlov, A.

    2011-01-01

    done. It is demonstrated that the experimentally determined blueshift of the photoluminescence excitation and absorption spectra is larger than the theoretical predictions. The influence of point defects in the SiO2 matrix on the optical and luminescence properties of the embedded Si nanocrystals...... is discussed. Moreover, it is demonstrated that no energy transfer takes place between the SiO2 and Si nanocrystals when the excitation energy is higher than the band-to-band transition energy in SiO2....

  12. Luminescence stability of porous Si terminated by hydrophilic organic molecules

    Science.gov (United States)

    Matsumoto, Kimihisa; Kamiguchi, Masao; Kamiya, Kazuhide; Nomura, Takashi; Suzuki, Shinya

    2016-02-01

    The effects of the surface termination of a porous Si surface by propionic acid and by undecylenic acid on their hydrophilicity and luminescence stability were studied. In the measurements of the contact angle of water droplets on porous Si films, the hydrophilicity of porous Si is improved by the surface termination each types of organic molecule. The PL intensity of as-prepared porous Si decreased with increasing aging time in ambient air. As PL quenching involves PL blue shift and increasing Si-O bonds density, nonradiative recombination centers are formed in the surface oxide. After the hydrosilylation process of propionic acid and undecylenic acid, PL intensity decreased and became 30% that of as-prepared porous Si film. However, the PL intensity was stable and exceeded that of the as-prepared film after 1000 min of aging in the ambient air. The PL stabilities are contributed to the termination by organic molecules that inhibits surface oxidation.

  13. Interface bond relaxation on the thermal conductivity of Si/Ge core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weifeng; He, Yan; Ouyang, Gang, E-mail: gangouy@hunnu.edu.cn [Key Laboratory of Low-Dimensional Quantum Structures and Quantum Control of Ministry of Education, Synergetic Innovation Center for Quantum Effects and Applications(SICQEA), Hunan Normal University, Changsha 410081 (China); Sun, Changqing [School of Electrical & Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2016-01-15

    The thermal conductivity of Si/Ge core-shell nanowires (CSNWs) is investigated on the basis of atomic-bond-relaxation consideration and continuum mechanics. An analytical model is developed to clarify the interface bond relaxation of Si/Ge CSNWs. It is found that the thermal conductivity of Si core can be modulated through covering with Ge epitaxial layers. The change of thermal conductivity in Si/Ge CSNWs should be attributed to the surface relaxation and interface mismatch between inner Si nanowire and outer Ge epitaxial layer. Our results are in well agreement with the experimental measurements and simulations, suggesting that the presented method provides a fundamental insight of the thermal conductivity of CSNWs from the atomistic origin.

  14. Ultrahigh broadband photoresponse of SnO2 nanoparticle thin film/SiO2/p-Si heterojunction.

    Science.gov (United States)

    Ling, Cuicui; Guo, Tianchao; Lu, Wenbo; Xiong, Ya; Zhu, Lei; Xue, Qingzhong

    2017-06-29

    The SnO 2 /Si heterojunction possesses a large band offset and it is easy to control the transportation of carriers in the SnO 2 /Si heterojunction to realize high-response broadband detection. Therefore, we investigated the potential of the SnO 2 nanoparticle thin film/SiO 2 /p-Si heterojunction for photodetectors. It is demonstrated that this heterojunction shows a stable, repeatable and broadband photoresponse from 365 nm to 980 nm. Meanwhile, the responsivity of the device approaches a high value in the range of 0.285-0.355 A W -1 with the outstanding detectivity of ∼2.66 × 10 12 cm H 1/2 W -1 and excellent sensitivity of ∼1.8 × 10 6 cm 2 W -1 , and its response and recovery times are extremely short (oxide or oxide/Si based photodetectors. In fact, the photosensitivity and detectivity of this heterojunction are an order of magnitude higher than that of 2D material based heterojunctions such as (Bi 2 Te 3 )/Si and MoS 2 /graphene (photosensitivity of 7.5 × 10 5 cm 2 W -1 and detectivity of ∼2.5 × 10 11 cm H 1/2 W -1 ). The excellent device performance is attributed to the large Fermi energy difference between the SnO 2 nanoparticle thin film and Si, SnO 2 nanostructure, oxygen vacancy defects and thin SiO 2 layer. Consequently, practical highly-responsive broadband PDs may be actualized in the future.

  15. Molecular dynamics simulations of the effect of shape and size of SiO2 nanoparticle dopants on insulation paper cellulose

    Directory of Open Access Journals (Sweden)

    Chao Tang

    2016-12-01

    Full Text Available The effect of silica nanoparticle (Nano-SiO2 dopants on insulation paper cellulose, and the interaction between them, was investigated using molecular dynamics simulations. The mechanical properties, interactions, and cellulose-Nano-SiO2 compatibility of composite models of cellulose doped with Nano-SiO2 were studied. An increase in Nano-SiO2 size leads to a decrease in the mechanical properties, and a decrease in the anti-deformation ability of the composite model. The binding energies and bond energies per surface area of the composite models indicate that the bonding interaction between spherical Nano-SiO2 and cellulose is the strongest among the four different Nano-SiO2 shapes that are investigated. The solubilities of the four composite models decrease with increasing Nano-SiO2 size, and the difference between the solubility of pure cellulose and those of the composite models increases with increasing Nano-SiO2 size. Good doping effects with the highest cellulose-Nano-SiO2 compatibility are achieved for the cellulose model doped with spherical Nano-SiO2 of 10 Å in diameter. These findings provide a method for modifying the mechanical properties of cellulose by doping, perhaps for improving insulation dielectrics.

  16. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  17. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    Science.gov (United States)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  18. Structural aspects of B2O3-substituted (PbO)0.5(SiO2)0.5 glasses

    International Nuclear Information System (INIS)

    Sudarsan, V.; Kulshreshtha, S.K.; Shrikhande, V.K.; Kothiyal, G.P.

    2002-01-01

    Lead borosilicate glasses having general formulae (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x with 0.0≤x≤0.4 and (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y with 0.0≤y≤0.5 have been prepared by a conventional melt-quench method and characterized by 29 Si, 11 B magic angle spinning (MAS) NMR techniques and infrared spectroscopy, as regards their structural features. From 29 Si NMR results, it has been inferred that with increasing concentration of boron oxide, (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 )x glasses exhibit a systematic increase in the number of Q 4 structural units of Si at the expense of Q 2 structural units, along with the formation of Si-O-B linkages. On the other hand, for (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y glasses, there is no direct interaction between SiO 2 and B 2 O 3 in the glass network, as revealed by the 29 Si MAS NMR studies. Boron exists in both trigonal and tetrahedral configurations for these two series of glasses and for the (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y series of glasses; the relative concentration of these two structural units remains almost constant with increasing B 2 O 3 concentration. In contrast, for (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x glasses, there is a slight increase in the number of BO 3 structural units above x = 0.2, as there is a competition between SiO 2 and B 2 O 3 for interaction with Pb 2+ , thereby leading to the formation of BO 3 structural units. For both series of glasses, the thermal expansion coefficient is found to decrease with increasing B 2 O 3 concentration, the effect being more pronounced for the (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x series of glasses due to the increased concentration of Q 4 structural units of silicon and better cross-linking as a result of the formation of Si-O-B-type linkages. (author)

  19. Temperature stability of c-axis oriented LiNbO{sub 3}/SiO{sub 2}/Si thin film layered structures

    Energy Technology Data Exchange (ETDEWEB)

    Tomar, Monika [Department of Physics and Astrophysics, University of Delhi, Delhi (India)]. E-mail: mtomar@physics.du.ac.in; monikatomar@rediffmail.com; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K. [Department of Physics and Astrophysics, University of Delhi, Delhi (India)

    2001-08-07

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO{sub 3} thin film layered structures on passivated silicon (SiO{sub 2}/Si) substrate with and without a non-piezoelectric SiO{sub 2} overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO{sub 2} overlayer on LiNbO{sub 3} film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K{sup 2}=3.45% and a zero TCD can be obtained in the SiO{sub 2}/LiNbO{sub 3}/SiO{sub 2}/Si structure with a 0.235{lambda} thick LiNbO{sub 3} layer sandwiched between 0.1{lambda} thick SiO{sub 2} layers. (author)

  20. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  1. Electrical, photoelectrical and morphological properties of ZnO nanofiber networks grown on SiO{sub 2} and on Si nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Vega, Nadia Celeste; Comedi, David [Universidad Nacional de Tucuman (FACET/UNT), (Argentina). Facultad de Ciencias Exactas y Tecnologia. Dept. de Fisica. Lab. de Fisica del Solido; Audebert, Fernando [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Tirado, Monica, E-mail: mtirado@herrera.unt.edu.ar [Universidad Nacional de Tucuman (FACET/UNT), (Argentina). Facultad de Ciencias Exactas y Tecnologia. Dept. de Fisica. Lab. de Nanomateriales y de Propiedades Dielectricas; Rodriguez, Andres; Rodriguez, Tomas [Universidad Politecnica de Madrid (ETSIT/UPM), Madrid (Spain). Escuela Tecnica Superior de Ingenieros de Telecomucacion. Tecnologia Electronica; Hughes, Gareth M.; Grovenor, Chris R.M. [University of Oxford, Parks Road, OX (United Kingdom). Dept. of Materials

    2013-11-01

    ZnO nanofibre networks (NFNs) were grown by vapour transport method on Si-based substrates. One type of substrate was SiO{sub 2} thermally grown on Si and another consisted of a Si wafer onto which Si nanowires (NWs) had been grown having Au nanoparticles catalysts. The ZnO-NFN morphology was observed by scanning electron microscopy on samples grown at 600 Degree-Sign C and 720 Degree-Sign C substrate temperature, while an focused ion beam was used to study the ZnO NFN/Si NWs/Si and ZnO NFN/SiO{sub 2} interfaces. Photoluminescence, electrical conductance and photo conductance of ZnO-NFN was studied for the sample grown on SiO{sub 2}. The photoluminescence spectra show strong peaks due to exciton recombination and lattice defects. The ZnO-NFN presents quasi-persistent photoconductivity effects and ohmic I-V characteristics which become nonlinear and hysteretic as the applied voltage is increased. The electrical conductance as a function of temperature can be described by a modified three dimensional variable hopping model with nanometer-ranged typical hopping distances. (author)

  2. Thermal expansion of lanthanum silicate oxyapatite (La9.33+2x(SiO4)6O2+3x), lanthanum oxyorthosilicate (La2SiO5) and lanthanum sorosilicate (La2Si2O7)

    International Nuclear Information System (INIS)

    Fukuda, Koichiro; Asaka, Toru; Uchida, Tomohiro

    2012-01-01

    Four types of powder specimens of La 9.33 (SiO 4 ) 6 O 2 (space group P6 3 /m and Z=1), La 9.33+2x (SiO 4 ) 6 O 2+3x with 0.06≤x≤0.13 (P6 3 /m and Z=1), La 2 SiO 5 (P2 1 /c and Z=4) and La 2 Si 2 O 7 (P2 1 /c and Z=4) were examined by high-temperature X-ray powder diffractometry to determine the changes in unit-cell dimensions up to 1473 K. The anisotropy of thermal expansion was demonstrated for the former two crystals to clarify the thermal behaviors of the highly c-axis-oriented polycrystals. With La 9.33 (SiO 4 ) 6 O 2 , the linear expansion coefficient of the a-axis (α a ) was 4.8×10 −6 K −1 and that of the c-axis (α c ) was 1.8×10 −6 K −1 in the temperature range from 298 to 1473 K. The α a - and α c -values of La 9.33+2x (SiO 4 ) 6 O 2+3x (0.06≤x≤0.13) were, respectively, 5.9×10 −6 K −1 and 2.3×10 −6 K −1 . The coefficients of mean linear thermal expansion were 4.9×10 −6 K −1 for La 2 SiO 5 and 6.0×10 −6 K −1 for La 2 Si 2 O 7 , which describe the thermal expansion behaviors of the randomly grain-oriented polycrystalline materials. - Graphical abstarct: Temperature dependence of the coefficients of thermal expansion (CTE). The linear CTE along the a-axes for La 9.33 (SiO 4 ) 6 O 2 and La 9.33+2x (SiO 4 ) 6 O 2+3x with 0.06≤x≤0.13. The mean linear CTE for La 2 SiO 5 and La 2 Si 2 O 7 . Highlights: ► We examined the thermal expansion of La 9.33+2x (SiO 4 ) 6 O 2+3x (x=0 and 0.06≤x≤0.13), La 2 SiO 5 and La 2 Si 2 O 7 ► Unit-cell dimensions were determined up to 1473 K by high-temperature X-ray diffraction ► Anisotropic expansion was clarified for La 9.33+2x (SiO 4 ) 6 O 2+3x (x=0 and 0.06≤x≤0.13) ► Mean linear thermal expansion was determined for La 2 SiO 5 and La 2 Si 2 O 7.

  3. Grafting cavitands on the Si(100) surface.

    Science.gov (United States)

    Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Busi, Marco; Menozzi, Edoardo; Dalcanale, Enrico; Cristofolini, Luigi

    2006-12-19

    Cavitand molecules having double bond terminated alkyl chains and different bridging groups at the upper rim have been grafted on H-terminated Si(100) surface via photochemical hydrosilylation of the double bonds. Pure and mixed monolayers have been obtained from mesitylene solutions of either pure cavitand or cavitand/1-octene mixtures. Angle resolved high-resolution X-ray photoelectron spectroscopy has been used as the main tool for the monolayer characterization. The cavitand decorated surface consists of Si-C bonded layers with the upper rim at the top of the layer. Grafting of pure cavitands leads to not-well-packed layers, which are not able to efficiently passivate the Si(100) surface. By contrast, monolayers obtained from cavitand/1-octene mixtures consist of well-packed layers since they prevent silicon oxidation after aging. AFM measurements showed that these monolayers have a structured topography, with objects protruding from the Si(100) surface with average heights compatible with the expected ones for cavitand molecules.

  4. Thermal stability of polyoxometalate compound of Keggin K8[2-SiW11O39]∙nH2O supported with SiO2

    Directory of Open Access Journals (Sweden)

    Yunita Sari M A

    2017-06-01

    Full Text Available Synthesis through sol-gel method and characterization of polyoxometalate compound of K8[b2-SiW11O39]∙nH2O supported with SiO2 have been done. The functional groups of polyoxometalate compound  was characterized by FT-IR spectrophotometer for the fungtional groups and the degree’s of crystalinity  using XRD. The acidity of K8[b2-SiW11O39]∙nH2O/SiO2 was determined qualitative analysis using ammonia and pyridine adsorption and the quantitative analysis using potentiometric titration method. The results of FT-IR spectrum of K8[b2-SiW11O39]∙nH2O appeared at  wavenumber 987.55 cm-1 (W=O, 864.11 cm-1 (W-Oe-W, 756.1 cm-1 (W-Oc-W, 3425.58 cm-1 (O-H, respectively and spectrum of  K8[b2-SiW11O39]SiO2 appeared at wavenumber  956.69 cm-1 (W=O, 864.11 cm-1 (W-Oe-W, 3448.72 cm-1 (O-H, respectively. The diffraction of XRD pattern of K8[b2-SiW11O39]∙nH2O and K8[b2-SiW11O39]∙nH2O/SiO2 compounds show high crystalinity. The acidic properties showed K8[b2-SiW11O39]∙nH2O/SiO2 more acidic compared to K8[b2-The SiW11O39]∙nH2O. The qualitative analysis showed pyridine compound adsorbed more of polyoxometalate compound of K8[b2-SiW11O39]∙nH2O/SiO2. Analysis of stability showed that the K8[b2-SiW11O39]∙nH2O/SiO2 at temperature 500°C has structural changes compare to 200-400oC which was indicated from vibration at wavenumber 800-1000 cm-1. Keywords : K8[b2-SiW11O39]∙nH2O, polyoxometalate, SiO2.

  5. Photoelectrochemical Water Splitting Properties of Ti-Ni-Si-O Nanostructures on Ti-Ni-Si Alloy

    Directory of Open Access Journals (Sweden)

    Ting Li

    2017-10-01

    Full Text Available Ti-Ni-Si-O nanostructures were successfully prepared on Ti-1Ni-5Si alloy foils via electrochemical anodization in ethylene glycol/glycerol solutions containing a small amount of water. The Ti-Ni-Si-O nanostructures were characterized by field-emission scanning electron microscopy (FE-SEM, energy dispersive spectroscopy (EDS, X-ray diffraction (XRD, and diffuse reflectance absorption spectra. Furthermore, the photoelectrochemical water splitting properties of the Ti-Ni-Si-O nanostructure films were investigated. It was found that, after anodization, three different kinds of Ti-Ni-Si-O nanostructures formed in the α-Ti phase region, Ti2Ni phase region, and Ti5Si3 phase region of the alloy surface. Both the anatase and rutile phases of Ti-Ni-Si-O oxide appeared after annealing at 500 °C for 2 h. The photocurrent density obtained from the Ti-Ni-Si-O nanostructure photoanodes was 0.45 mA/cm2 at 0 V (vs. Ag/AgCl in 1 M KOH solution. The above findings make it feasible to further explore excellent photoelectrochemical properties of the nanostructure-modified surface of Ti-Ni-Si ternary alloys.

  6. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  7. Chlorobenzene, chloroform, and carbon tetrachloride adsorption on undoped and metal-doped sol-gel substrates (SiO{sub 2}, Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2})

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez, M.A. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico)], E-mail: mighern@siu.buap.mx; Gonzalez, A.I.; Corona, L.; Hernandez, F. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico); Rojas, F.; Asomoza, M.; Solis, S. [Departamento de Quimica, Universidad Autonoma Metropolitana-Iztapalapa, P.O. Box 55-534, D.F. Mexico (Mexico); Portillo, R.; Salgado, M.A. [Facultad de Ciencias Quimicas, Universidad Autonoma de Puebla (Mexico)

    2009-02-15

    Adsorption isotherms of chlorobenzene, chloroform and carbon tetrachloride vapors on undoped SiO{sub 2}, and metal-doped Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2} substrates were measured in the temperature range of 398-593 K. These substrates were prepared from a typical sol-gel technique in the presence of metal dopants that rendered an assortment of microporous-mesoporous solids. The relevant characteristic of these materials was the different porosities and micropore to mesopore volume ratios that were displayed; this was due to the effect that the cationic metal valence exerts on the size of the sol-gel globules that compose the porous solid. The texture of these SiO{sub 2} materials was analyzed by X-ray diffraction (XRD), FTIR, and diverse adsorption methods. The pore-size distributions of the adsorbents confirmed the existence of mesopores and supermicropores, while ultramicropores were absent. The Freundlich adsorption model approximately fitted the chlorinated compounds adsorption data on the silica substrates by reason of a heterogeneous energy distribution of adsorption sites. The intensity of the interaction between these organic vapors and the surface of the SiO{sub 2} samples was analyzed through evaluation of the isosteric heat of adsorption and standard adsorption energy; from these last results it was evident that the presence of metal species within the silica structure greatly affected the values of both the amounts adsorbed as well as of the isosteric heats of adsorption.

  8. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin

    2014-03-10

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  9. Facile and efficient synthesis of the surface tantalum hydride (≡SiO)2TaIIIH and tris-siloxy tantalum (≡SiO)3TaIII starting from novel tantalum surface species (≡SiO)TaMe4 and (≡SiO)2TaMe 3

    KAUST Repository

    Chen, Yin; Ould-Chikh, Samy; Abou-Hamad, Edy; Callens, Emmanuel; Mohandas, Janet Chakkamadathil; Khalid, Syed M.; Basset, Jean-Marie

    2014-01-01

    By grafting of TaMe5 (1) on the surface of silica partially dehydroxylated at 500 C (silica500), a mixture of (≡SiO)TaMe4 (2a; major, 65 ± 5%) and (≡SiO) 2TaMe3 (2b; minor, 35 ± 5%) was produced, which has been characterized by microanalysis, IR, and SS NMR (1H, 13C, 1H-13C HETCOR, proton double and triple quantum). After grafting, these surface organometallic compounds are more stable than the precursor TaMe5. Treatment of 2a,b with water and H 2 resulted in the formation of methane in amount of 3.6 ± 0.2 and 3.4 ± 0.2 mol/grafted Ta, respectively. 2a,b react with H2 (800 mbar) to form (≡SiO)2TaH. After (≡SiO) 2TaH was heated to 500 C under hydrogen or vacuum, [(≡SiO) 3Ta][≡SiH] was produced, and the structure was confirmed by IR, NMR, and EXAFS. Considering the difficulty of the previous preparation method, these syntheses represent a facile and convenient way to prepare tantalum surface species (≡SiO)2TaH and (≡SiO)3Ta via the intermediate of the new surface organometallic precursors: (≡SiO)TaMe4/(≡SiO)2TaMe3. (≡SiO)2TaH and (≡SiO)3Ta exhibit equal reactivities in alkane metathesis and ethylene polymerization in comparison to those in previous reports. © 2014 American Chemical Society.

  10. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    Science.gov (United States)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  11. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    International Nuclear Information System (INIS)

    Ashrafi, Almamun; Aminuzzaman, Mohammod

    2011-01-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2) ZnO ||(0 0 0 6) SiC and [112-bar 0] ZnO ||[112-bar 0] SiC . Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  12. Structural, bonding, anisotropic mechanical and thermal properties of Al4SiC4 and Al4Si2C5 by first-principles investigations

    Directory of Open Access Journals (Sweden)

    Liang Sun

    2016-09-01

    Full Text Available The structural, bonding, electronic, mechanical and thermal properties of ternary aluminum silicon carbides Al4SiC4 and Al4Si2C5 are investigated by first-principles calculations combined with the Debye quasi-harmonic approximation. All the calculated mechanical constants like bulk, shear and Young's modulus are in good agreement with experimental values. Both compounds show distinct anisotropic elastic properties along different crystalline directions, and the intrinsic brittleness of both compounds is also confirmed. The elastic anisotropy of both aluminum silicon carbides originates from their bonding structures. The calculated band gap is obtained as 1.12 and 1.04 eV for Al4SiC4 and Al4Si2C5 respectively. From the total electron density distribution map, the obvious covalent bonds exist between Al and C atoms. A distinct electron density deficiency sits between AlC bond along c axis among Al4SiC4, which leads to its limited tensile strength. Meanwhile, the anisotropy of acoustic velocities for both compounds is also calculated and discussed.

  13. EPR parameters of E centers inν-SiO2 from first-principles calculations

    International Nuclear Information System (INIS)

    Giacomazzi, Luigi; Martin-Samos, L.; Boukenter, A.; Ouerdane, Y.; Girard, S.; Richard, N.

    2014-01-01

    A first-principles investigation of E' centers in vitreous silica (ν-SiO 2 ) based on calculations of the electron paramagnetic resonance (EPR) parameters is presented. The EPR parameters are obtained by exploiting the gauge including projector augmented wave method as implemented in the QUANTUM-ESPRESSO package. First, we analyze the EPR parameters of a large number of Si 2 dimers. The g tensor of the Si 2 dimers is shown to possess an average rhombic symmetry and larger g principal values with respect to those observed, e.g., for the E 'γ center in silica. Furthermore, the g principal values clearly show a linear trend with the Si-Si dimer length. Our results suggest that the Si 2 dimers could correspond to an unidentified paramagnetic center, though occasionally the calculated g principal values of the Si 2 dimer might be compatible with those found experimentally for the E' δ center. Next, we generate non dimer configurations by a procedure involving structural relaxations in the subsequent positively charged states. In particular, puckered, un-puckered, doubly puckered, and forward-oriented configurations are generated. The distributions of the calculated EPR parameters of the puckered and un-puckered configurations further support the assignment of the E' γ center to an unpaired spin localized at a threefold coordinated silicon dangling bond. Moreover, by analyzing Fermi contacts and g tensors of the puckered and forward-oriented configurations, we suggest the assignment of the E' α center to the latter type of configurations. This work also suggests that the differences in the EPR parameters of E' α and E' γ centers mainly arise from the strained geometry of the silicon dangling bond. In the forward-oriented configurations, one Si-O bond is about 0.2 Angstroms longer than the remaining two, whereas in the silicon dangling bond of the puckered and un-puckered configurations, all three bonds have a length of ≅1

  14. ThSi_2 type ytterbium disilicide and its analogues YbT_xSi_2_-_x (T = Cr, Fe, Co)

    International Nuclear Information System (INIS)

    Peter, Sebastian C.; Kanatzidis, Mercouri G.

    2012-01-01

    YbSi_2 and the derivatives YbT_xSi_2_-_x (T = Cr, Fe, Co) crystallizing in the α-ThSi_2 structure type were obtained as single crystals from reactions run in liquid indium. All silicides were investigated by single-crystal X-ray diffraction, I4_1/amd space group and the lattice constants are: a = 3.9868(6) Aa and c = 13.541(3) Aa for YbSi_2, a = 4.0123(6) Aa and c = 13.542(3) Aa for YbCr_0_._2_7Si_1_._7_3, a = 4.0142(6) Aa and c = 13.830(3) Aa for YbCr_0_._7_1Si_1_._2_9, a = 4.0080(6) Aa and c = 13.751(3) Aa for YbFe_0_._3_4Si_1_._6_6, and a = 4.0036(6) Aa, c = 13.707(3) Aa for YbCo_0_._2_1Si_1_._7_9. YbSi_2 and YbT_xSi_2_-_x compounds are polar intermetallics with three-dimensional Si and M (T+Si) polyanion sub-networks, respectively, filled with ytterbium atoms. The degree of substitution of transition metal at the silicon site is signficant and leads to changes in the average bond lengths and bond angles substantially. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  16. Thermal stability of Cu/α-Ta/SiO2/Si structures

    International Nuclear Information System (INIS)

    Yuan, Z.L.; Zhang, D.H.; Li, C.Y.; Prasad, K.; Tan, C.M.

    2004-01-01

    The thermal stability of the Cu/α-Ta/SiO 2 /Si structures is investigated. Tantalum oxides are first observed at the interface between Cu and Ta due to residual oxygen in the annealing ambient at low annealing temperatures (about 600 deg. C). Ternary Cu-Ta oxides and/or Ta oxides rather than Cu oxides are found at the Cu top layer on account of the out diffusion of Ta. After high temperature annealing (up to 750 deg. C), polycrystalline Tantalum oxides (Ta 2 O 5 ) and Ta-rich silicides (Ta 5 Si 3 ) are found as dominant products due to the dissociation of SiO 2 . A severe intermixing of Cu, Ta and SiO 2 was observed after 800 deg. C annealing. First a drop and then an increase in sheet resistances were observed, the former possibly resulting from grain growth and impurities removal in Cu films, and the latter from the reduction of Cu thickness and formation of high resistivity products. The α-Ta films with a thickness of 25 nm have good barrier effectiveness up to 750 deg. C. The degradation of α-Ta film is mainly caused by self oxidation, silicidation and bidirectional diffusion

  17. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  18. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  19. Solid-state {sup 27}Al and {sup 29}Si NMR investigations on Si-substituted hydrogarnets

    Energy Technology Data Exchange (ETDEWEB)

    Rivas Mercury, J.M. [Instituto de Ceramica y Vidrio, CSIC, Kelsen, 5, 28049 Cantoblanco-Madrid (Spain); Pena, P. [Instituto de Ceramica y Vidrio, CSIC, Kelsen, 5, 28049 Cantoblanco-Madrid (Spain)]. E-mail: ppena@icv.csic.es; Aza, A.H. de [Instituto de Ceramica y Vidrio, CSIC, Kelsen, 5, 28049 Cantoblanco-Madrid (Spain); Turrillas, X. [Instituto de Ciencias de la Construccion Eduardo Torroja, CSIC, Serrano Galvache, 4, 28033 Madrid (Spain); Sobrados, I. [Instituto de Ciencia de Materiales, CSIC, Sor Juana Ines de la Cruz, 3, 28049 Cantoblanco-Madrid (Spain); Sanz, J. [Instituto de Ciencia de Materiales, CSIC, Sor Juana Ines de la Cruz, 3, 28049 Cantoblanco-Madrid (Spain)

    2007-02-15

    Partially deuterated Ca{sub 3}Al{sub 2}(SiO{sub 4}){sub 3-x}(OH){sub 4x} hydrates prepared by a reaction in the presence of D{sub 2}O of synthetic tricalcium aluminate with different amounts of amorphous silica were characterized by {sup 29}Si and {sup 27}Al magic-angle spinning nuclear magnetic resonance (NMR) spectroscopy. The {sup 29}Si NMR spectroscopy was used for quantifying the non-reacted silica and the resulting hydrated products. The incorporation of Si into Ca{sub 3}Al{sub 2}(SiO{sub 4}){sub 3-x}(OH){sub 4x} was followed by {sup 27}Al NMR spectroscopy: Si:OH ratios were determined quantitatively from octahedral Al signals ascribed to Al(OH){sub 6} and Al(OSi)(OH){sub 5} environments. The NMR data obtained were consistent with the concentrations of the Al and Si species deduced from transmission electron microscopy energy-dispersive spectrometry and Rietveld analysis of both X-ray and neutron diffraction data.

  20. Environmental Stability and Oxidation Behavior of HfO2-Si and YbGd(O) Based Environmental Barrier Coating Systems for SiCSiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming; Farmer, Serene; McCue, Terry R.; Harder, Bryan; Hurst, Janet B.

    2017-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, environmental durable environmental barrier coating systems. In this paper, the durability and performance of advanced Electron Beam-Physical Vapor Deposition (EB-PVD) NASA HfO2-Si and YbGdSi(O) EBC bond coat top coat systems for SiCSiC CMC have been summarized. The high temperature thermomechanical creep, fatigue and oxidation resistance have been investigated in the laboratory simulated high-heat-flux environmental test conditions. The advanced NASA EBC systems showed promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  1. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  2. Mechanism of Properties of Noble ZnS-SiO2 Protection Layer for Phase Change Optical Disk Media

    Science.gov (United States)

    Tsu, David V.; Ohta, Takeo

    2006-08-01

    A ZnS-SiO2 composite dielectric is widely used in the optical stack designs of rewritable optical recording media as an index-matching medium and as a protection layer for the high-index chalcogenide (compound with sixth group element of S, Se, Te) phase change material used in these media. The addition of Si and O to ZnS is primarily intended to stabilize against crystalline grain growth of ZnS with high numbers of direct overwriting cycles. In this study, we carry out infrared (IR) spectroscopy to clarify the role of Si in this stabilization process. IR spectroscopy is performed on sputter as-deposited and annealed ZnS-SiO2 dielectric protection layers. We find that Si exists not in the SiO2 oxide phase but as [SiS4-nOn] tetrahedrons. Moreover, zinc and sulfur do not exist as ZnS, but in highly chemically disordered ZnS:O crystallites. The highly directional and rigid covalent bonds in the [SiS4-nOn] tetrahedrons are key to establishing thermal stability against the coalescence of ZnS. The importance of the Si-S bond also extends into a more thorough understanding of the low thermal conductivity of the ZnS-SiO2 material. The consideration of elastic implications allows us to predict an average phonon velocity less than 50% compared to that in SiO2. With this, we predict a thermal conductivity of 0.0067 W cm-1 K-1 for this material, which is in complete agreement with measured values.

  3. Synthesis of geopolymer from spent FCC: Effect of SiO2/Al2O<3 and Na2O/SiO2 molar ratios

    Directory of Open Access Journals (Sweden)

    Trochez, J. J.

    2015-03-01

    Full Text Available This paper assesses the feasibility of using a spent fluid catalytic cracking catalyst (SFCC as precursor for the production of geopolymers. The mechanical and structural characterization of alkali-activated SFCC binders formulated with different overall (activator + solid precursor SiO2/Al2O3 and Na2O/SiO2 molar ratios are reported. Formation of an aluminosilicate ‘geopolymer’ gel is observed under all conditions of activation used, along with formation of zeolites. Increased SiO2/Al2O3 induces the formation of geopolymers with reduced mechanical strength, for all the Na2O/SiO2 ratios assessed, which is associated with excess silicate species supplied by the activator. This is least significant at increased alkalinity conditions (higher Na2O/SiO2 ratios, as larger extents of reaction of the spent catalyst are achieved. SiO2/Al2O3 and Na2O/SiO2 ratios of 2.4 and 0.25, respectively, promote the highest compressive strength (67 MPa. This study elucidates the great potential of using SFCC as precursor to produce sustainable ceramic-like materials via alkali-activation.Este artículo estudia la factibilidad de usar un catalizador gastado del proceso de craqueo (SFCC para la producción de geopolímeros. Se evalúan las características mecánicas y estructurales de los geopolímeros producidos con diferentes relaciones molares (activador + precursor solido de SiO2/Al2O3 y Na2O/SiO2. La formación de un gel geopolimérico de tipo aluminosilicato se observa a las diferentes condiciones evaluadas, así como la formación de zeolitas. Un incremento en la relación SiO2/Al2O3 genera geopolímeros de baja resistencia mecánica, a las diferentes relaciones molares Na2O/SiO2 evaluadas, como consecuencia del exceso de especies silicato provenientes del activador. Este efecto es menos significativo al incrementar las condiciones de alcalinidad (mayores relaciones Na2O/SiO2, ya que un mayor grado de reacción del catalizador gastado es alcanzado. Las

  4. Determination of optimum Si excess concentration in Er-doped Si-rich SiO2 for optical amplification at 1.54 μm

    International Nuclear Information System (INIS)

    Savchyn, Oleksandr; Coffey, Kevin R.; Kik, Pieter G.

    2010-01-01

    The presence of indirect Er 3+ excitation in Si-rich SiO 2 is demonstrated for Si-excess concentrations in the range of 2.5-37 at. %. The Si excess concentration providing the highest density of sensitized Er 3+ ions is demonstrated to be relatively insensitive to the presence of Si nanocrystals and is found to be ∼14.5 at. % for samples without Si nanocrystals (annealed at 600 deg. C) and ∼11.5 at. % for samples with Si nanocrystals (annealed at 1100 deg. C). The observed optimum is attributed to an increase in the density of Si-related sensitizers as the Si concentration is increased, with subsequent deactivation and removal of these sensitizers at high Si concentrations. The optimized Si excess concentration is predicted to generate maximum Er-related gain at 1.54 μm in devices based on Er-doped Si-rich SiO 2 .

  5. Surface spins disorder in uncoated and SiO{sub 2} coated maghemite nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Zeb, F. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Nadeem, K., E-mail: kashif.nadeem@iiu.edu.pk [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Shah, S. Kamran Ali; Kamran, M. [Nanoscience and Technology Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan); Gul, I. Hussain [School of Chemical & Materials Engineering, National University of Sciences and Technology (NUST), H-12, 44000 Islamabad, Pakistan (Pakistan); Ali, L. [Materials Research Laboratory, International Islamic University, H-10, 44000 Islamabad (Pakistan)

    2017-05-01

    We studied the surface spins disorder in uncoated and silica (SiO{sub 2}) coated maghemite (γ-Fe{sub 2}O{sub 3}) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO{sub 2} coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T{sub B}) for SiO{sub 2} coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M{sub s}) of SiO{sub 2} coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO{sub 2} coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT{sup b})) was fitted well for both uncoated and SiO{sub 2} coated nanoparticles and yields: B =3×10{sup −7} K{sup -b}, b=2.22 and B=0.0127 K{sup -b}, b=0.57 for uncoated and SiO{sub 2} coated nanoparticles, respectively. Higher value of B for SiO{sub 2} coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO{sub 2} coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO{sub 2} coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO{sub 2} coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface

  6. Dynamic. cap alpha. -transfer polarisation potentials and the large angle scattering of /sup 16/O + /sup 28/Si

    Energy Technology Data Exchange (ETDEWEB)

    Hussein, M S; Aleixo, A N; Canto, L F; Carrilho, P; Donangelo, R; Paula, L.S. de

    1987-07-01

    A closed expression is derived for the dynamic ..cap alpha..-transfer polarisation potential for heavy-ion elastic scattering. The back-angle angular distributions for the elastic scattering of /sup 16/O + /sup 28/Si obtained by adding this polarisation potential to the E-18 interaction are shown to be in good agreement with the data if an ..cap alpha..-transfer spectroscopic factor of 0.4 is used.

  7. Influence of the crystallization process on the luminescence of multilayers of SiGe nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Avella, M.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.; Ortiz, M.I.; Ballesteros, C.

    2008-01-01

    Multilayers of SiGe nanocrystals embedded in an oxide matrix have been fabricated by low-pressure chemical vapor deposition of SiGe and SiO 2 onto Si wafers (in a single run at 390 deg. C and 50 mTorr, using GeH 4 , Si 2 H 6 and O 2 ) followed by a rapid thermal annealing treatment to crystallize the SiGe nanoparticles. The main emission band is located at 400 nm in both cathodoluminescence and photoluminescence experiments at 80 K and also at room temperature. The annealing conditions (temperatures ranging from 700 to 1000 deg. C and for times of 30 and 60 s) have been investigated in samples with different diameter of the nanoparticles (from ∼3 to ≥5 nm) and oxide interlayer thickness (15 and 35 nm) in order to establish a correlation between the crystallization of the nanoparticles, the degradation of their composition by Ge diffusion and the intensity of the luminescence emission band. Structures with small nanoparticles (3-4.5 nm) separated by thick oxide barriers (∼35 nm) annealed at 900 deg. C for 60 s yield the maximum intensity of the luminescence. An additional treatment at 450 deg. C in forming gas for dangling-bond passivation increases the intensity of the luminescence band by 25-30%

  8. Covalent bonds and their crucial effects on pseudogap formation in α-Al(Mn,Re)Si icosahedral quasicrystalline approximant

    International Nuclear Information System (INIS)

    Kirihara, K.; Nagata, T.; Kimura, K.; Kato, K.; Takata, M.; Nishibori, E.; Sakata, M.

    2003-01-01

    X-ray charge densities of Al-based icosahedral quasicrystalline approximant crystals α-AlReSi, α-AlMnSi, and Al 12 Re were observed by a combination of the maximum entropy method with the Rietveld method. We successfully obtained the clear images of interatomic covalent bonds between Al and transition metals (Mn, Re) and those in the Al (or Si) icosahedron in Mackay icosahedral clusters of both α-AlReSi and α-AlMnSi approximant crystals. The bonding nature of the three kinds of glue atom sites connecting Mackay icosahedral clusters was also clarified. This covalent bonding nature should strongly relate with the enhancement of the electron density-of-states pseudogap near the Fermi level. In addition, the interatomic covalent bonds of α-AlReSi are stronger than those of α-AlMnSi. This fact leads to the low effective carrier density of α-AlReSi in comparison with that of α-AlMnSi. Unlike the covalent bonding nature of an icosahedron in α-AlReSi and α-AlMnSi crystals, the Al icosahedron with an Re center atom exhibits no Al-Al interatomic covalent bonds in the Al 12 Re crystal. The tendency for metallic-covalent bonding conversion in the Al icosahedron, which is related to the atom site occupancy of the icosahedral cluster center, is also strongly supported

  9. Effects of antimony (Sb) on electron trapping near SiO{sub 2}/4H-SiC interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Mooney, P. M.; Jiang, Zenan; Basile, A. F. [Physics Department, Simon Fraser University, Burnaby, British Columbia V5A 1S6 (Canada); Zheng, Yongju; Dhar, Sarit [Physics Department, Auburn University, Auburn, Alabama 36849 (United States)

    2016-07-21

    To investigate the mechanism by which Sb at the SiO{sub 2}/SiC interface improves the channel mobility of 4H-SiC MOSFETs, 1 MHz capacitance measurements and constant capacitance deep level transient spectroscopy (CCDLTS) measurements were performed on Sb-implanted 4H-SiC MOS capacitors. The measurements reveal a significant concentration of Sb donors near the SiO{sub 2}/SiC interface. Two Sb donor related CCDLTS peaks corresponding to shallow energy levels in SiC were observed close to the SiO{sub 2}/SiC interface. Furthermore, CCDLTS measurements show that the same type of near-interface traps found in conventional dry oxide or NO-annealed capacitors are present in the Sb implanted samples. These are O1 traps, suggested to be carbon dimers substituted for O dimers in SiO{sub 2}, and O2 traps, suggested to be interstitial Si in SiO{sub 2}. However, electron trapping is reduced by a factor of ∼2 in Sb-implanted samples compared with samples with no Sb, primarily at energy levels within 0.2 eV of the SiC conduction band edge. This trap passivation effect is relatively small compared with the Sb-induced counter-doping effect on the MOSFET channel surface, which results in improved channel transport.

  10. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  11. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Ashrafi, Almamun, E-mail: ash2phy@gmail.com [Department of Physics, University of Vermont, VT 05405 (United States); Aminuzzaman, Mohammod [Department of Chemical Science, Universiti Tunku Abdul Rahman, Perak (Malaysia)

    2011-05-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2){sub ZnO}||(0 0 0 6){sub SiC} and [112-bar 0]{sub ZnO}||[112-bar 0]{sub SiC}. Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  12. Multiscale Engineered Si/SiO x Nanocomposite Electrodes for Lithium-Ion Batteries Using Layer-by-Layer Spray Deposition.

    Science.gov (United States)

    Huang, Chun; Kim, Ayoung; Chung, Dong Jae; Park, Eunjun; Young, Neil P; Jurkschat, Kerstin; Kim, Hansu; Grant, Patrick S

    2018-05-09

    Si-based high-capacity materials have gained much attention as an alternative to graphite in Li-ion battery anodes. Although Si additions to graphite anodes are now commercialized, the fraction of Si that can be usefully exploited is restricted due to its poor cyclability arising from the large volume changes during charge/discharge. Si/SiO x nanocomposites have also shown promising behavior, such as better capacity retention than Si alone because the amorphous SiO x helps to accommodate the volume changes of the Si. Here, we demonstrate a new electrode architecture for further advancing the performance of Si/SiO x nanocomposite anodes using a scalable layer-by-layer atomization spray deposition technique. We show that particulate C interlayers between the current collector and the Si/SiO x layer and between the separator and the Si/SiO x layer improved electrical contact and reduced irreversible pulverization of the Si/SiO x significantly. Overall, the multiscale approach based on microstructuring at the electrode level combined with nanoengineering at the material level improved the capacity, rate capability, and cycling stability compared to that of an anode comprising a random mixture of the same materials.

  13. Photoelectrochemical Water Splitting Properties of Ti-Ni-Si-O Nanostructures on Ti-Ni-Si Alloy.

    Science.gov (United States)

    Li, Ting; Ding, Dongyan; Dong, Zhenbiao; Ning, Congqin

    2017-10-31

    Ti-Ni-Si-O nanostructures were successfully prepared on Ti-1Ni-5Si alloy foils via electrochemical anodization in ethylene glycol/glycerol solutions containing a small amount of water. The Ti-Ni-Si-O nanostructures were characterized by field-emission scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), and diffuse reflectance absorption spectra. Furthermore, the photoelectrochemical water splitting properties of the Ti-Ni-Si-O nanostructure films were investigated. It was found that, after anodization, three different kinds of Ti-Ni-Si-O nanostructures formed in the α-Ti phase region, Ti₂Ni phase region, and Ti₅Si₃ phase region of the alloy surface. Both the anatase and rutile phases of Ti-Ni-Si-O oxide appeared after annealing at 500 °C for 2 h. The photocurrent density obtained from the Ti-Ni-Si-O nanostructure photoanodes was 0.45 mA/cm² at 0 V (vs. Ag/AgCl) in 1 M KOH solution. The above findings make it feasible to further explore excellent photoelectrochemical properties of the nanostructure-modified surface of Ti-Ni-Si ternary alloys.

  14. Synthesis, characterization and thermal analysis of urea-formaldehyde/nanoSiO{sub 2} resins

    Energy Technology Data Exchange (ETDEWEB)

    Roumeli, E. [Solid State Physics Dept., School of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece); Papadopoulou, E. [Chimar Hellas S.A., Sofouli 88, 55131 Thessaloniki (Greece); Pavlidou, E.; Vourlias, G. [Solid State Physics Dept., School of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece); Bikiaris, D. [Department of Chemistry, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece); Paraskevopoulos, K.M. [Solid State Physics Dept., School of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece); Chrissafis, K., E-mail: hrisafis@physics.auth.gr [Solid State Physics Dept., School of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2012-01-10

    Highlights: Black-Right-Pointing-Pointer UF/nanosilica resins have been produced using the minimum cost method. Black-Right-Pointing-Pointer The new resins had good dispersion and enhanced properties. Black-Right-Pointing-Pointer Nanosilica interacts with polymer chains as was proved by FTIR and DSC. Black-Right-Pointing-Pointer Nanosilica does not affect the resin's thermal stability but enhances its mechanical properties. - Abstract: In the present work urea-formaldehyde resins (UF) containing different amounts of SiO{sub 2} nanoparticles were synthesized and studied in depth. All the hybrids were characterized with Fourier transform infrared spectroscopy (FTIR) and powder X-ray diffractometry (XRD), while the dispersion of nanoparticles was studied with scanning electron microscopy with associated energy dispersive X-ray spectrometer (SEM/EDS). It was found that even though silanol groups of SiO{sub 2} can interact with UF resin and form hydrogen bonds, aggregates of SiO{sub 2} nanoparticles can still be formed in UF resin. Their size increases as SiO{sub 2} content is increased. The curing reactions were examined with differential scanning calorimetry (DSC) and it was revealed that curing temperature of UF resin is slightly affected by the addition of nanoparticles. Furthermore, the activation energy of the curing reactions, for every hybrid, was calculated using the Kissinger's method, which implied the existence of interactions between the nanoparticles and the polymer chain. Thermogravimetric analysis (TGA) revealed that SiO{sub 2} nanoparticles do not have an effect in the thermal stability of the resin. From the application of the prepared UF/SiO{sub 2} resins in wood panels it was found that the mechanical properties of the panels, like the internal bond and the modulus of rapture, are enhanced with increasing nanoSiO{sub 2} concentration.

  15. Preparation and oxidation protection of CVD SiC/a-BC/SiC coatings for 3D C/SiC composites

    International Nuclear Information System (INIS)

    Liu Yongsheng; Zhang Litong; Cheng Laifei; Yang Wenbin; Zhang Weihua; Xu Yongdong

    2009-01-01

    An amorphous boron carbide (a-BC) coating was prepared by LPCVD process from BCl 3 -CH 4 -H 2 -Ar system. XPS result showed that the boron concentration was 15.0 at.%, and carbon was 82.0 at.%. One third of boron was distributed to a bonding with carbon and 37.0 at.% was dissolved in graphite lattice. A multiple-layered structure of CVD SiC/a-BC/SiC was coated on 3D C/SiC composites. Oxidation tests were conducted at 700, 1000, and 1200 deg. C in 14 vol.% H 2 O/8 vol.% O 2 /78 vol.% Ar atmosphere up to 100 h. The 3D C/SiC composites with the modified coating system had a good oxidation resistance. This resulted in the high strength retained ratio of the composites even after the oxidation.

  16. Joining of SiC ceramics and SiC/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Rabin, B.H. [Idaho National Engineering Lab., Idaho Falls, ID (United States)

    1996-08-01

    This project has successfully developed a practical and reliable method for fabricating SiC ceramic-ceramic joints. This joining method will permit the use of SiC-based ceramics in a variety of elevated temperature fossil energy applications. The technique is based on a reaction bonding approach that provides joint interlayers compatible with SiC, and excellent joint mechanical properties at temperatures exceeding 1000{degrees}C. Recent emphasis has been given to technology transfer activities, and several collaborative research efforts are in progress. Investigations are focusing on applying the joining method to sintered {alpha}-SiC and fiber-reinforced SiC/SiC composites for use in applications such as heat exchangers, radiant burners and gas turbine components.

  17. Sum Frequency Generation Vibrational Spectroscopy of 1,3-Butadiene Hydrogenation on 4 nm Pt@SiO 2 , Pd@SiO 2 , and Rh@SiO 2 Core–Shell Catalysts

    KAUST Repository

    Krier, James M.

    2015-01-14

    © 2014 American Chemical Society. 1,3-Butadiene (1,3-BD) hydrogenation was performed on 4 nm Pt, Pd, and Rh nanoparticles (NPs) encapsulated in SiO2 shells at 20, 60, and 100 °C. The core-shells were grown around polyvinylpyrrolidone (PVP) coated NPs (Stöber encapsulation) prepared by colloidal synthesis. Sum frequency generation (SFG) vibrational spectroscopy was performed to correlate surface intermediates observed in situ with reaction selectivity. It is shown that calcination is effective in removing PVP, and the SFG signal can be generated from the metal surface. Using SFG, it is possible to compare the surface vibrational spectrum of Pt@SiO2 (1,3-BD is hydrogenated through multiple paths and produces butane, 1-butene, and cis/trans-2-butene) to Pd@SiO2 (1,3-BD favors one path and produces 1-butene and cis/trans-2-butene). In contrast to Pt@SiO2 and Pd@SiO2, SFG and kinetic experiments of Rh@SiO2 show a permanent accumulation of organic material.

  18. Microstructure and bonding mechanism of Al/Ti bonded joint using Al-10Si-1Mg filler metal

    International Nuclear Information System (INIS)

    Sohn, Woong H.; Bong, Ha H.; Hong, Soon H.

    2003-01-01

    The microstructures and liquid state diffusion bonding mechanism of cp-Ti to 1050 Al using an Al-10.0wt.%Si-1.0wt.%Mg filler metal with 100 μm in thickness have been investigated at 620 deg. C under 1x10 -4 Torr. The effects of bonding process parameters on microstructure of bonded joint have been analyzed by using an optical microscope, AES, scanning electron microscopy and EDS. The interfacial bond strength of Al/Ti bonded joints was measured by the single lap shear test. The results show that the bonding at the interface between Al and filler metal proceeds by wetting the Al with molten filler metal, and followed by removal of oxide layer on surface of Al. The interface between Al and filler metal moved during the isothermal solidification of filler metal by the diffusion of Si from filler metal into Al layer. The interface between Al and filler metal became curved in shape with increasing bonding time due to capillary force at grain boundaries. The bonding at the interface between Ti and filler metal proceeds by the formation of two different intermetallic compound layers, identified as Al 5 Si 12 Ti 7 and Al 12 Si 3 Ti 5 , followed by the growth of the intermetallic compound layers. The interfacial bond strength at Al/Ti joint increased with increasing bonding time up to 25 min at 620 deg. C. However, the interfacial bond strength of Al/Ti joint decreased after bonding time of 25 min at 620 deg. C due to formation of cavities in Al near Al/intermetallic interfaces

  19. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    Science.gov (United States)

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  20. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  1. Effect of strain on bond-specific reaction kinetics during the oxidation of H-terminated (111) Si

    International Nuclear Information System (INIS)

    Gokce, Bilal; Aspnes, David E.; Gundogdu, Kenan

    2011-01-01

    Although strain is used in semiconductor technology for manipulating optical, electronic, and chemical properties of semiconductors, the understanding of the microscopic phenomena that are affected or influenced by strain is still incomplete. Second-harmonic generation data obtained during the air oxidation of H-terminated (111) Si reveal the effect of compressive strain on this chemical reaction. Even small amounts of strain manipulate the reaction kinetics of surface bonds significantly, with tensile strain enhancing oxidation and compressive strain retarding it. This dramatic change suggests a strain-driven charge transfer mechanism between Si-H up bonds and Si-Si back bonds in the outer layer of Si atoms.

  2. Effect of different parameters on machining of SiC/SiC composites via pico-second laser

    Energy Technology Data Exchange (ETDEWEB)

    Li, Weinan; Zhang, Ruoheng [State Key Laboratory of Transient Optics and Photonics, Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences, Xi’an, Shaanxi 10068 (China); Liu, Yongsheng, E-mail: yongshengliu@nwpu.edu.cn [Science and technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an, Shaanxi 710072 (China); Wang, Chunhui; Wang, Jing [Science and technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an, Shaanxi 710072 (China); Yang, Xiaojun [State Key Laboratory of Transient Optics and Photonics, Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences, Xi’an, Shaanxi 10068 (China); Cheng, Laifei [Science and technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an, Shaanxi 710072 (China)

    2016-02-28

    Graphical abstract: - Highlights: • The highlights of the manuscript include the following two aspects. • First, we found that the different machining modes (helical line scanning and single ring line scanning) and processing power of machining have remarkable effect on the surface morphology of the machined area, such as the shape, depth and the formation of different surface structures. • Secondly, we investigated that the debris consisted of C, Si and O was observed on the machined surface. • Some of the Si–C bonds of the SiC matrix and fibers would be transformed into Si–O bonds after machined, depending on the processing power. - Abstract: Pico-second laser plays an important role in modern machining technology, especially in machining high hardness materials. In this article, pico-second laser was utilized for irradiation on SiC/SiC composites, and effects of different processing parameters including the machining modes and laser power were discussed in detail. The results indicated that the machining modes and laser power had great effect on machining of SiC/SiC composites. Different types of surface morphology and structure were observed under helical line scanning and single ring line scanning, and the analysis of their formulation was discussed in detail. It was believed that the machining modes would be responsible to the different shapes of machining results at the same parameters. The processing power shall also influence the surface morphology and quality of machining results. In micro-hole drilling process, large amount of debris and fragments were observed within the micro-holes, and XPS analysis showed that there existed Si–O bonds and Si–C bonds, indicating that the oxidation during processing was incomplete. Other surface morphology, such as pores and pits were discussed as well.

  3. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  4. High-efficient production of SiC/SiO2 core-shell nanowires for effective microwave absorption

    KAUST Repository

    Zhong, Bo; Sai, Tianqi; Xia, Long; Yu, Yuanlie; Wen, Guangwu

    2017-01-01

    In the current report, we have demonstrated that the high-efficient production of SiC/SiO2 core-shell nanowires can be achieved through the introduction of trace of water vapor during the chemical vapor deposition process. The yield of the SiC/SiO2 core-shell nanowires is dramatically improved due to the introduction of water vapor. The SiC/SiO2 core-shell nanowires exhibit an excellent microwave absorption property in the frequency range of 2.0–18.0GHz with a very low weight percentage of 0.50wt.% in the absorbers. A minimum reflection loss value of −32.72dB (>99.99% attenuation) at 13.84GHz has been observed with the absorber thickness of 3.0mm. Moreover, the SiC/SiO2 core-shell nanowires based absorber can reach an effective absorption bandwidth (<−10dB) of 5.32GHz with the absorber thickness of 3.5mm. Furthermore, a possible absorption mechanism is also proposed in detail for such effective attenuation of microwave which can be attributed to the dielectric loss and magnetic loss of SiC/SiO2 core-shell nanowires.

  5. High-efficient production of SiC/SiO2 core-shell nanowires for effective microwave absorption

    KAUST Repository

    Zhong, Bo

    2017-02-21

    In the current report, we have demonstrated that the high-efficient production of SiC/SiO2 core-shell nanowires can be achieved through the introduction of trace of water vapor during the chemical vapor deposition process. The yield of the SiC/SiO2 core-shell nanowires is dramatically improved due to the introduction of water vapor. The SiC/SiO2 core-shell nanowires exhibit an excellent microwave absorption property in the frequency range of 2.0–18.0GHz with a very low weight percentage of 0.50wt.% in the absorbers. A minimum reflection loss value of −32.72dB (>99.99% attenuation) at 13.84GHz has been observed with the absorber thickness of 3.0mm. Moreover, the SiC/SiO2 core-shell nanowires based absorber can reach an effective absorption bandwidth (<−10dB) of 5.32GHz with the absorber thickness of 3.5mm. Furthermore, a possible absorption mechanism is also proposed in detail for such effective attenuation of microwave which can be attributed to the dielectric loss and magnetic loss of SiC/SiO2 core-shell nanowires.

  6. Conversion of wood flour/SiO2/phenolic composite to porous SiC ceramic containing SiC whiskers

    Directory of Open Access Journals (Sweden)

    Li Zhong

    2013-01-01

    Full Text Available A novel wood flour/SiO2/phenolic composite was chosen to be converted into porous SiC ceramic containing SiC whiskers via carbothermal reduction. At 1550°C the composite is converted into porous SiC ceramic with pore diameters of 10~40μm, and consisting of β-SiC located at the position of former wood cell walls. β-SiC wire-like whiskers of less than 50 nm in diameter and several tens to over 100 μm in length form within the pores. The surface of the resulting ceramic is coated with β-SiC necklace-like whiskers with diameters of 1~2μm.

  7. Hybrid Integrated Si/SiN Platforms for Wideband Optical Processing

    Science.gov (United States)

    2017-05-08

    annealing process, makes the process prone to dopant redistribution, that hinderers the SiN deposition after full Si device fabrication. To resolve...with 220 nm of crystalline Si. In parallel, a Si die goes through a wet oxidation process to grow 5 μm of thermal oxide. In the next step, 400 nm of... annealing methods. As a figure of merit in hydrophilic bonding, we monitored the surface roughness and bonding strength of a thin oxide layer to

  8. White photoluminescence from Si/SiO{sub 2} nanostructured film

    Energy Technology Data Exchange (ETDEWEB)

    Duong, P.H.; Ngan, N.T.T.; Tuan, C.A. [Institute of Materials Science, Vietnamese Academy of Science and Technology, 18 Hoang Quoc Viet, Hanoi (Viet Nam); Huy, P.T. [International Training Institute of Materials Science, Hanoi University of Technology, 1 Dai Co Viet, Hanoi (Viet Nam); Itoh, T. [Graduate School of Engineering Science, Osaka University, Toyonaka (Japan)

    2008-12-15

    We present in this work the results of PL measurement of Si-NC embedded in Si/SiO{sub 2} multilayer system. A very intense broad luminescence band was observed in the sample under illumination in vacuum by UV laser line. The PL intensity enhancement and quenching effect observed in different ambients can be attributed to the energy exchange from NC to MO. The storage of the annealed sample in vacuum for a long time drastically changed the PL properties of Si-NC. The origin of these phenomena will be discussed. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Structural variations and dielectric properties of (Bi1-xL ax ) 2Si O5 (0 ≤x ≤0.1 ): Polycrystallines synthesized by crystallization of Bi-Si-O and Bi-La-Si-O glasses

    Science.gov (United States)

    Taniguchi, Hiroki; Tatewaki, Shingo; Yasui, Shintaro; Fujii, Yasuhiro; Yamaura, Jun-ichi; Terasaki, Ichiro

    2018-04-01

    This paper focuses on effects of isovalent La substitution on the crystal structure and dielectric properties of ferroelectric B i2Si O5 . Polycrystalline samples of (Bi1-xL ax ) 2Si O5 are synthesized by crystallization of Bi-Si-O and Bi-La-Si-O glasses with a composition range of 0 ≤x ≤0.1 . The crystal structure changes from monoclinic to tetragonal with increasing La-substitution rate x at room temperature. This structural variation stems from the change in orientation of Si O4 tetrahedra that form one-dimensional chains when they are in the ordered configuration, thus suggesting that lone-pair electrons play an important role in sustaining one-dimensional chains of Si O4 tetrahedra. Synchronizing with the disordering of Si O4 chains, ferroelectric phase transition temperature of (Bi1-xL ax ) 2Si O5 sharply decreases as x increases, and ferroelectricity finally vanishes at around x =0.03 . The present results demonstrate that lone-pair electrons of Bi play an important role in the ferroelectricity of B i2Si O5 through propping the ordered structure of one-dimensional Si O4 chains with stereochemical activity. Furthermore, an additional phase transition has been first discovered in the low-temperature region of (Bi1-xL ax ) 2Si O5 with x ≤0.01 , where the ordered one-dimensional Si O4 chains remain.

  10. Si-H bond dynamics in hydrogenated amorphous silicon

    Science.gov (United States)

    Scharff, R. Jason; McGrane, Shawn D.

    2007-08-01

    The ultrafast structural dynamics of the Si-H bond in the rigid solvent environment of an amorphous silicon thin film is investigated using two-dimensional infrared four-wave mixing techniques. The two-dimensional infrared (2DIR) vibrational correlation spectrum resolves the homogeneous line shapes ( 4ps waiting times. The Si-H stretching mode anharmonic shift is determined to be 84cm-1 and decreases slightly with vibrational frequency. The 1→2 linewidth increases with vibrational frequency. Frequency dependent vibrational population times measured by transient grating spectroscopy are also reported. The narrow homogeneous line shape, large inhomogeneous broadening, and lack of spectral diffusion reported here present the ideal backdrop for using a 2DIR probe following electronic pumping to measure the transient structural dynamics implicated in the Staebler-Wronski degradation [Appl. Phys. Lett. 31, 292 (1977)] in a-Si:H based solar cells.

  11. Heterogeneous integration of thin film compound semiconductor lasers and SU8 waveguides on SiO2/Si

    Science.gov (United States)

    Palit, Sabarni; Kirch, Jeremy; Mawst, Luke; Kuech, Thomas; Jokerst, Nan Marie

    2010-02-01

    We present the heterogeneous integration of a 3.8 μm thick InGaAs/GaAs edge emitting laser that was metal-metal bonded to SiO2/Si and end-fire coupled into a 2.8 μm thick tapered SU8 polymer waveguide integrated on the same substrate. The system was driven in pulsed mode and the waveguide output was captured on an IR imaging array to characterize the mode. The waveguide output was also coupled into a multimode fiber, and into an optical head and spectrum analyzer, indicating lasing at ~997 nm and a threshold current density of 250 A/cm2.

  12. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  13. Photoelectron Diffraction Imaging for C2H2 and C2H4 Chemisorbed on Si(100) Reveals a New Bonding Configuration

    International Nuclear Information System (INIS)

    Xu, S. H.; Keeffe, M.; Yang, Y.; Chen, C.; Yu, M.; Lapeyre, G. J.; Rotenberg, E.; Denlinger, J.; Yates, J. T. Jr.

    2000-01-01

    A new adsorption site for adsorbed acetylene on Si(100) is observed by photoelectron imaging based on the holographic principle. The diffraction effects in the carbon 1s angle-resolved photoemission are inverted (including the small-cone method) to obtain an image of the atom's neighboring carbon. The chemisorbed acetylene molecule is bonded to four silicon surface atoms. In contrast to the C 2 H 2 case, the image for adsorbed C 2 H 4 shows it bonded to two Si surface atoms. (c) 2000 The American Physical Society

  14. Formation of light-emitting nanostructures in layers of stoichiometric SiO2 irradiated with swift heavy ions

    International Nuclear Information System (INIS)

    Kachurin, G. A.; Cherkova, S. G.; Skuratov, V. A.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.

    2011-01-01

    Thermally grown SiO 2 layers have been irradiated with 700-MeV Bi ions with doses of (3–10) × 10 12 cm −2 . It is found that, even after a dose of 3 × 10 12 cm −2 , a photoluminescence band in the region of 600 nm appears. Its intensity levels off at a dose of ∼5 × 10 12 cm −2 . The nature of the emission centers is studied by the methods of infrared transmission, Raman scattering, X-ray photoelectron spectroscopy, ellipsometry, and the reaction to passivating low-temperature anneals. It is established that irradiation brings about a decrease in the number of Si-O bonds with a relevant increase in the Si-Si bonds. It is assumed that the photoluminescence is caused by nanostructures containing an excess Si and/or having a deficit of O. The reaction of reduction of SiO 2 proceeds in ion tracks due to high levels of ionization and heating within these tracks. The dose dependence is used to estimate the diameter of a track at 8–9 nm.

  15. Corroboration of Raman and AFM mapping to study Si nanocrystals embedded in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Rani, Ekta, E-mail: ades.ekta@gmail.com [Laser Physics Applications Section, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Ingale, Alka A. [Laser Physics Applications Section, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Chaturvedi, A. [Laser Material Processing Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Joshi, M.P.; Kukreja, L.M. [Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Laser Material Processing Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India)

    2016-07-05

    Raman and atomic force microscopy (AFM) mapping on the same selected area are used to get unique information about the morphology of Si nanocrystals (NCs) embedded in SiO{sub 2}, which is difficult to obtain by any other conventional technique. The sensitivity of Raman spectroscopy to surface/interface and confinement effects in NCs is effectively used to correlate the Raman intensity profile in Raman mapping with the topography obtained from AFM to understand that Si NCs are clustered in i) smaller clusters (∼100 nm) organized closely in two dimensions (2D) and ii) big (∼2 μm) three dimensional (3D) isolated clusters, although the growth is carried out to be multilayer (Si/SiO{sub 2}). Raman mapping performed by varying the focal spot along the depth shows stacking of larger (>∼60 Å) to smaller sizes (<∼40 Å) Si NCs from bottom to top for some clusters. To understand the observed morphologies, further study of specially grown Si–SiO{sub 2} nanocomposites is performed, which suggest formation of smaller Si NCs at the top due to annealing at 800 °C in Si rich SiO{sub 2} and possible existence of thermal gradient in an insulating matrix of SiO{sub 2.} Larger Si NCs are formed in the laser induced plume (plasma) itself. - Graphical abstract: a) Schematic showing the expected stacking of Si NCs obtained from Raman mapping, performed by changing focal spot along the depth, b) top, c) middle and d) bottom region of the cluster. - Highlights: • Methodology is developed to obtain Raman and AFM mapping at same selected area. • To get unique information, difficult to obtain using other conventional techniques. • Clusters (∼100 nm–2 μm) of Si nanocrystals embedded in SiO{sub 2} matrix are formed. • Stacking of Si nanocrystals from bottom to top (10–1 nm) is observed in some clusters. • Stacking of Si nanocrystals is understood as due to annealing and thermal gradient.

  16. Bond-specific reaction kinetics during the oxidation of (111) Si: Effect of n-type doping

    International Nuclear Information System (INIS)

    Gokce, B.; Aspnes, D. E.; Lucovsky, G.; Gundogdu, K.

    2011-01-01

    It is known that a higher concentration of free carriers leads to a higher oxide growth rate in the thermal oxidation of silicon. However, the role of electrons and holes in oxidation chemistry is not clear. Here, we report real-time second-harmonic-generation data on the oxidation of H-terminated (111)Si that reveal that high concentrations of electrons increase the chemical reactivity of the outer-layer Si-Si back bonds relative to the Si-H up bonds. However, the thicknesses of the natural oxides of all samples stabilize near 1 nm at room temperature, regardless of the chemical kinetics of the different bonds.

  17. Microstructure, mechanical and tribological properties of CrSiC coatings sliding against SiC and Al{sub 2}O{sub 3} balls in water

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Zhiwei [State Key Laboratory of Mechanics and Control of Mechanical Structures, Nanjing University of Aeronautics and Astronautics, Nanjing 210016 (China); College of Mechanical and Electrical Engineering, Nanjing University of Aeronautics and Astronautics and Jiangsu Key Laboratory of Precision and Micro-Manufacturing Technology, Nanjing 210016 (China); College of Mechanical and Electrical Engineering, Nanjing Forestry University, Nanjing 210037 (China); Zhou, Fei, E-mail: fzhou@nuaa.edu.cn [State Key Laboratory of Mechanics and Control of Mechanical Structures, Nanjing University of Aeronautics and Astronautics, Nanjing 210016 (China); College of Mechanical and Electrical Engineering, Nanjing University of Aeronautics and Astronautics and Jiangsu Key Laboratory of Precision and Micro-Manufacturing Technology, Nanjing 210016 (China); Chen, Kangmin [Center of Analysis, Jiangsu University, Zhenjiang 212013 (China); Wang, Qianzhi [Department of Mechanical Engineering, Keio University, Yokohama 2238522 (Japan); Zhou, Zhifeng [Advanced Coatings Applied Research Laboratory, Department of Mechanical and Biomedical Engineering, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong (China); Yan, Jiwang [Department of Mechanical Engineering, Keio University, Yokohama 2238522 (Japan); Li, Lawrence Kwok-Yan [Advanced Coatings Applied Research Laboratory, Department of Mechanical and Biomedical Engineering, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong (China)

    2016-04-15

    Graphical abstract: CrSiC coatings were speculated to be X-ray amorphous (A). Although the hardness of coatings fluctuated slightly (13.2–13.8 GPa), the CrSiC coatings showed poor wear resistance due to the decline of the crack resistance and toughness. Moreover, the friction coefficient (0.24–0.31) and the wear rate (2.97–7.66 × 10{sup −6} mm{sup 3}/Nm) of CrSiC/SiC trobopairs were lower than those of CrSiC/Al{sub 2}O{sub 3} tribopairs (B and C). - Highlights: • CrSiC coatings with Si content of 2.0–7.4 at.% were deposited via adjusting the TMS flow. • The amorphous structure in the CrSiC coatings was presented. • No obvious fluctuations of hardness (about 13 GPa) were observed with TMS flow. • CrSiC/SiC tribopairs showed better tribological performance than CrSiC/Al{sub 2}O{sub 3} tribopairs. - Abstract: CrSiC coatings with different silicon contents were prepared using unbalanced magnetron sputtering via adjusting trimethylsilane (Si(CH{sub 3}){sub 3}H) flows. Their phase structure, bonding structure, microstructure and hardness were characterized by X-ray diffraction (XRD), X-ray photoelectrons spectroscopy (XPS), a field emission scanning electron microscope (FESEM) and nano-indenter, respectively. The tribological properties of CrSiC coatings sliding against SiC and Al{sub 2}O{sub 3} balls were investigated in water. The results showed that the CrSiC coatings were speculated to be X-ray amorphous. Although the hardness of coatings fluctuated slightly (13.2–13.8 GPa), the coatings showed poor wear resistance due to the decline of the crack resistance and toughness. Moreover, the friction coefficient (0.24–0.31) and the wear rate (2.97–7.66 × 10{sup −6} mm{sup 3}/Nm) of CrSiC/SiC trobopairs were lower than those of CrSiC/Al{sub 2}O{sub 3} tribopairs.

  18. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    Science.gov (United States)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  19. Influence of H on the composition and atomic concentrations of 'N-rich' plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bohne, W.; Roehrich, J.; Selle, B.

    2004-01-01

    The influence of H on the composition and atomic concentrations of Si, O, and N of plasma deposited SiO x N y H z films was investigated. The bonding scheme of H was analyzed by Fourier-transform infrared spectroscopy. The composition and absolute concentrations of all the species present in the SiO x N y H z , including H, was measured by heavy-ion elastic recoil detection analysis (HI-ERDA). Samples were deposited from SiH 4 , O 2 , and N 2 gas mixtures, with different gas flow ratios in order to obtain compositions ranging from SiN y H z to SiO 2 . Those samples deposited at higher SiH 4 partial pressures show both Si-H and N-H bonds, while those deposited at lower SiH 4 partial pressures show N-H bonds only. The Si-H and N-H bond concentrations were found to be proportional to the N concentration. The concentration of H was evaluated from the Si-H and N-H stretching absorption bands and compared to the HI-ERDA results, finding good agreement between both measurements. The deviation from H-free stoichiometric SiO x N y composition due to the presence of N-H bonds results in an effective coordination number of N to produce Si-N bonds lower than 3. By fitting the experimental composition data to a theoretical model taking into account the influence of N-H bonds, the actual concentration of N-H bonds was obtained, making evident the presence of nonbonded H. The presence of Si-H and Si-Si bonds was found to partially compensate the effect of N-H bonds, from the point of view of the relative N and Si contents. Finally, the presence of N-H bonds results in a lower Si atom concentration with respect to the stoichiometric film, due to a replacement of Si atoms by H atoms. This decrease of the Si concentration is lower in those films containing Si-H and Si-Si bonds. A model was developed to calculate the Si, O, and N atom concentrations taking into account the influence of N-H, Si-H, and Si-Si bonds, and was found to be in perfect agreement with the experimental data

  20. Spectroscopic and calorimetric investigation of short and intermediate-range structures and energetics of amorphous SiCO, SiCN, and SiBCN polymer-derived ceramics

    Science.gov (United States)

    Widgeon, Scarlett J.

    transport properties such as electrical conductivity and viscosity may show power-law dependence on composition near and above the percolation threshold of the SiOC network or that of the free-carbon phase. Si(B)CN PDCs with different carbon contents were synthesized by pyrolysis of poly(boro)silylcarbodiimides and poly(boro)silazane precursors and their structure and energetics were studied using multi-nuclear, one- and two- dimensional NMR spectroscopy and oxide melt solution calorimetry. The structure of the polysilylcarbodiimide-derived SiCN PDCs at lower carbon content and pyrolysis temperatures (800 oC) consists of amorphous nanodomains of sp2 carbon and silicon nitride with an interfacial bonding between N, C and Si atoms that is stabilized by the presence of hydrogen. The interfacial Si-C and N-C bonds are destroyed with concomitant hydrogen loss upon increasing the pyrolysis temperature to 1100 oC. Calorimetry results demonstrate that the mixed bonding in the interfacial regions play a key role in the thermodynamic stabilization of these PDCs. The size of the carbon domains increases with increasing carbon content until a continuous amorphous carbon matrix is formed with 55-60 wt % C. The polyborosilylcarbodiimide-derived SiBCN ceramics contain carbon and silicon nitride nanodomains with the BN domains being present predominantly at the interface. In contrast, the structure of the polyborosilazane-derived ceramics consists of significant amount of mixed bonding in the nearest-neighbor coordination environments of Si and B atoms leading to the formation of SiC xN4-x tetrahedral units and BCN2 triangular units. The interfacial region between the SiCN and C nanodomains is occupied by the BCN phase. These results demonstrate that the chemistry of the polymeric precursors exerts major influence on the microstructure and bonding in their derived ceramics.

  1. An EPR investigation of SiO{sub x} films with columnar structure

    Energy Technology Data Exchange (ETDEWEB)

    Bratus' , V., E-mail: v_bratus@isp.kiev.ua [V. Lashkaryov Institute of Semiconductor Physics, NAS of Ukraine, Kyiv 03680 (Ukraine); Indutnyi, I.; Shepeliavyi, P. [V. Lashkaryov Institute of Semiconductor Physics, NAS of Ukraine, Kyiv 03680 (Ukraine); Torchynska, T. [ESFM-Instituto Politécnico Nacional, México D.F. 07738 (Mexico)

    2014-11-15

    The results of electron paramagnetic resonance (EPR) study of obliquely deposited porous SiO{sub x} films before and after thermal annealing in vacuum at 950 °C are presented. The low intensity slightly asymmetrical and featureless EPR line with a g-value of 2.0044 and a linewidth of 0.77 mT has been detected in as-sputtered films and attributed to dangling bonds of silicon atoms in amorphous SiO{sub x} domains with x=0.8. Successive annealing results in decreasing this line and the appearance of an intense EPR line with g=2.0025, linewidth of 0.11 mT and a hyperfine doublet with 1.6 mT splitting. According to the parameters this spectrum has been attributed to the EX center, a hole delocalized over four non-bridging oxygen atoms grouped around a Si vacancy in SiO{sub 2}. The impact of chemical treatment before annealing and duration of anneals on the defect system is discussed.

  2. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    Science.gov (United States)

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  3. Realization of Colored Multicrystalline Silicon Solar Cells with SiO2/SiNx:H Double Layer Antireflection Coatings

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2013-01-01

    Full Text Available We presented a method to use SiO2/SiNx:H double layer antireflection coatings (DARC on acid textures to fabricate colored multicrystalline silicon (mc-Si solar cells. Firstly, we modeled the perceived colors and short-circuit current density (Jsc as a function of SiNx:H thickness for single layer SiNx:H, and as a function of SiO2 thickness for the case of SiO2/SiNx:H (DARC with fixed SiNx:H (refractive index n=2.1 at 633 nm, and thickness = 80 nm. The simulation results show that it is possible to achieve various colors by adjusting the thickness of SiO2 to avoid significant optical losses. Therefore, we carried out the experiments by using electron beam (e-beam evaporation to deposit a layer of SiO2 over the standard SiNx:H for 156×156 mm2 mc-Si solar cells which were fabricated by a conventional process. Semisphere reflectivity over 300 nm to 1100 nm and I-V measurements were performed for grey yellow, purple, deep blue, and green cells. The efficiency of colored SiO2/SiNx:H DARC cells is comparable to that of standard SiNx:H light blue cells, which shows the potential of colored cells in industrial applications.

  4. Electric properties of La2O3/SiO2/4H-SiC MOS capacitors with different annealing temperatures

    Directory of Open Access Journals (Sweden)

    Yucheng Wang

    2015-08-01

    Full Text Available In this work, we describe a rapid thermal annealing (RTA process for the La2O3/SiO2/4H-SiC interface and investigate its effect on the material’s electrical properties. Our results indicate that the trap charge density and interface state density (Dit are reduced as the RTA temperature increases due to the termination of residual carbon and dangling bonds. We demonstrate that the sample obtained after RTA at 500 °C has the highest breakdown electric field (Efb (7 MV/cm due to a decrease in the trap charge density and an improvement in the interfacial properties. However, when the RTA temperature reaches 600 °C or higher, a lower Efb value (1.2 MV/cm is obtained due to leakage routes generated by the crystallization of La2O3. Based on our results, we conclude that the ideal choice for the RTA temperature is 500 °C.

  5. Influence of etching process parameters on the antireflection property of Si SWSs by thermally dewetted Ag and Ag/SiO{sub 2} nanopatterns

    Energy Technology Data Exchange (ETDEWEB)

    Leem, Jung Woo; Yu, Jae Su [Department of Electronics and Radio Engineering, Kyung Hee University, 446-701 Yongin (Korea, Republic of); Song, Young Min; Lee, Yong Tak [Department of Information and Communications, Gwangju Institute of Science and Technology, 500-712 Gwangju (Korea, Republic of)

    2011-08-15

    The etching parameter dependent antireflection characteristics of disordered Si subwavelength structures (SWSs) by inductively coupled plasma (ICP) etching in a mixture gas of SiCl{sub 4}/Ar using thermally dewetted Ag and Ag/SiO{sub 2} nanopatterns are investigated. The average size and period of Si SWSs are closely correlated with thermal dewetting conditions. For desirable Ag nanoparticle patterns, the profile of Si SWSs is optimized by changing the ICP etching process parameters to obtain the lowest reflectance spectrum. The most tapered SWS with the highest height leads to a relatively low reflectance. The Ag nanopatterns result in more tapered and rough surface SWSs compared to the Ag/SiO{sub 2} nanopatterns, indicating a slightly reduced reflectance. The Si SWS etched using Ag nanopatterns by SiCl{sub 4}/Ar of 5 sccm/10 sccm at 50 W RF power, 200 W ICP power, and 10 mTorr process pressure exhibits a very low total reflectance of <{proportional_to}2.4% in the wavelength range of 400-1000 nm, maintaining a specular reflectance of <16% at 350-1100 nm up to the incident angle of {theta}{sub i} = 50 . (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. SiO mass spectrometry and Si-2p photoemission spectroscopy for the study of oxidation reaction dynamics of Si(001) surface by supersonic O sub 2 molecular beams under 1000K

    CERN Document Server

    Teraoka, Y; Moritani, K

    2003-01-01

    The Si sup 1 sup 8 O desorption yield was measured in the Si(001) surface temperature region from 900K to 1300K at the sup 1 sup 8 O sub 2 incident energies of 0.7eV, 2.2eV and 3.3eV. The Si sup 1 sup 8 O desorption yield in a surface temperature region higher than 1000K increased with increasing incident energy, indicating the incident-energy-induced oxidation and the variation of angular distribution of Si sup 1 sup 8 O desorption. Inversely, the Si sup 1 sup 8 O desorption yield decreased with increasing incident energy in the region from 900K to 1000K, indicating the coexistence of the passive and the active oxidation. In order to clarify the reaction mechanisms of the later phenomenon, real-time in-situ Si-2p photoemission spectroscopy has been performed. The obtained Si-2p spectra showed the variation of the oxide-nuclei quality from the sub-oxide-rich structure to the SiO sub 2 -rich structure. The formation of the SiO sub 2 structure suppresses the SiO desorption due to the enhanced O sub 2 sticking a...

  7. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  8. Stability of trapped electrons in SiO2

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Flament, O.; Leray, J.L.

    1998-01-01

    Electron trapping near the Si/SiO 2 interface plays a crucial role in mitigating the response of MOS devices to ionizing radiation or high-field stress. These electrons offset positive charge due to trapped holes, and can be present at densities exceeding 10 12 cm -2 in the presence of a similar density of trapped positive charge. The nature of the defects that serve as hosts for trapped electrons in the near-interfacial SiO 2 is presently unknown, although there is compelling evidence that these defects are often intimately associated with trapped holes. This association is depicted most directly in the model of Lelis et al., which suggests that trapped electrons and holes occupy opposite sides of a compensated E center in SiO 2 . Charge exchange between electron traps and the Si can occur over a wide range of time scales, depending on the trap depth and location relative to the Si/SiO 2 interface. Here the authors report a detailed study of the stability of electron traps associated with trapped holes near the Si/SiO 2 interface

  9. Morphology of SiO2 films as a key factor in alignment of liquid crystals with negative dielectric anisotropy

    Directory of Open Access Journals (Sweden)

    Volodymyr Tkachenko

    2016-11-01

    Full Text Available Control of liquid crystal (LC orientation using a proper SiO2 alignment layer is essential for the optimization of vertically aligned nematic (VAN displays. With this aim, we studied the optical anisotropy of thin SiO2 films by generalized ellipsometry as a function of deposition angle. The columnar SiO2 structure orientation measured by a noninvasive ellipsometry technique is reported for the first time, and its morphology influence on the LC alignment is demonstrated for large deposition angles.

  10. Locally measuring the adhesion of InP directly bonded on sub-100 nm patterned Si

    International Nuclear Information System (INIS)

    Pantzas, K; Patriarche, G; Beaudoin, G; Itawi, A; Sagnes, I; Talneau, A; Bourhis, E Le; Troadec, D

    2016-01-01

    A nano-scale analogue to the double cantilever experiment that combines instrumented nano-indentation and atomic force microscopy is used to precisely and locally measure the adhesion of InP bonded on sub-100 nm patterned Si using oxide-free or oxide-mediated bonding. Surface-bonding energies of 0.548 and 0.628 J m"−"2, respectively, are reported. These energies correspond in turn to 51% and 57% of the surface bonding energy measured in unpatterned regions on the same samples, i.e. the proportion of unetched Si surface in the patterned areas. The results show that bonding on patterned surfaces can be as robust as on unpatterned surfaces, provided care is taken with the post-patterning surface preparation process and, therefore, open the path towards innovative designs that include patterns embedded in the Si guiding layer of hybrid III-V/Si photonic integrated circuits. (paper)

  11. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  12. Investigation of SiO{sub 2} film growth on 4H-SiC by direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3} and H{sub 2}O vapor at varied process durations

    Energy Technology Data Exchange (ETDEWEB)

    Poobalan, Banu [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Moon, Jeong Hyun; Kim, Sang-Cheol; Joo, Sung-Jae; Bahng, Wook; Kang, In Ho; Kim, Nam-Kyun [Power Semiconductor Research Centre, Korea Electrotechnology Research Institute, PO Box 20, Changwon, Gyungnam 641120 (Korea, Republic of); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2014-11-03

    This study has revealed that HNO{sub 3} and H{sub 2}O vapors can be utilized as direct thermal oxidation or postoxidation annealing agents at a temperature above 1000 °C; as they play a major role in simultaneous oxidation/nitridation/hydrogenation processes at the bulk oxide and SiO{sub 2}/SiC interface. The varied process durations of the above-mentioned techniques contribute to the development of thicker gate oxides for high power device applications with improved electrical properties, lower interface-state density and higher breakdown voltage as compared to oxides grown through a more conventional wet (H{sub 2}O vapor only) oxidation technique. The study highlights the effects of hydrogen and nitrogen species on the passivation of structural defects at the bulk oxide and the SiO{sub 2}/SiC interface, which are revealed through the use of Time-of-Flight Secondary Ion Mass Spectroscopy and X-ray Photoelectron Spectroscopy. The physical properties of the substrate after oxide removal show that the surface roughness decreases as the process durations increase with longer hours of H{sub 2}O and HNO{sub 3} vapor exposures on the samples, which is mainly due to the significant reduction of carbon content at the SiO{sub 2}/SiC interface. - Highlights: • Direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3}/H{sub 2}O vapor • SiO{sub 2} film growth in H{sub 2}O/HNO{sub 3}vapor at varied process durations • Thicker SiO{sub 2} film growth via annealing than direct growth in HNO{sub 3}/H{sub 2}O vapor • Nitrogen and hydrogen as passivation elements in SiO{sub 2}/SiC interface and SiO{sub 2} bulk • Significant reduction of carbon and Si-dangling bonds at the SiC/SiO{sub 2} interface.

  13. Magnetic SiO2/Fe3O4 colloidal crystals

    International Nuclear Information System (INIS)

    Huang, C-K; Hou, C-H; Chen, C-C; Tsai, Y-L; Chang, L-M; Wei, H-S; Hsieh, K-H; Chan, C-H

    2008-01-01

    We proposed a novel technique to fabricate colloidal crystals by using monodisperse SiO 2 coated magnetic Fe 3 O 4 (SiO 2 /Fe 3 O 4 ) microspheres. The magnetic SiO 2 /Fe 3 O 4 microspheres with a diameter of 700 nm were synthesized in the basic condition with ferric sulfate, ferrous sulfate, tartaric acid and tetraethyl orthosilicate (TEOS) in the reaction system. Monodisperse SiO 2 /Fe 3 O 4 superparamagnetic microspheres have been successfully used to fabricate colloidal crystals under the existing magnetic field

  14. Ion beam analysis of the dry thermal oxidation of thin polycrystalline SiGe films

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Nanoparticles of Ge embedded in a formed dielectric matrix appear as very promising systems for electronic and photonic applications. We present here an exhaustive characterization of the oxidation process of polycrystalline SiGe layers from the starting of its oxidation process to the total oxidation of it. We have characterized the process by RBS, FTIR and Raman spectroscopy, showing the necessity to use different techniques in order to get a full view of the process. First the Si-Si and Si-Ge bonds are oxidized growing SiO 2 , and Ge segregates from the SiO 2 . As soon as all Si is oxidized GeO 2 is growing gradually. RBS has demonstrated to be very useful to characterize the SiO 2 and the remaining non-oxidized poly-SiGe layer thickness, as well as for the determination of the Ge fraction, where the high sensitivity of this technique allows to explore its whole range. On the other hand, for the reliable determination of the GeO 2 thickness, information on the amount of Ge-O bonding had to be obtained from FTIR spectra. Raman spectroscopy yields detailed information about the oxidation processes for different bonds (Si-Si, Si-Ge, Ge-Ge)

  15. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  16. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  17. Effective gene silencing activity of prodrug-type 2'-O-methyldithiomethyl siRNA compared with non-prodrug-type 2'-O-methyl siRNA.

    Science.gov (United States)

    Hayashi, Junsuke; Nishigaki, Misa; Ochi, Yosuke; Wada, Shun-Ichi; Wada, Fumito; Nakagawa, Osamu; Obika, Satoshi; Harada-Shiba, Mariko; Urata, Hidehito

    2018-07-01

    Small interfering RNAs (siRNAs) are an active agent to induce gene silencing and they have been studied for becoming a biological and therapeutic tool. Various 2'-O-modified RNAs have been extensively studied to improve the nuclease resistance. However, the 2'-O-modified siRNA activities were often decreased by modification, since the bulky 2'-O-modifications inhibit to form a RNA-induced silencing complex (RISC). We developed novel prodrug-type 2'-O-methyldithiomethyl (MDTM) siRNA, which is converted into natural siRNA in an intracellular reducing environment. Prodrug-type 2'-O-MDTM siRNAs modified at the 5'-end side including 5'-end nucleotide and the seed region of the antisense strand exhibited much stronger gene silencing effect than non-prodrug-type 2'-O-methyl (2'-O-Me) siRNAs. Furthermore, the resistances for nuclease digestion of siRNAs were actually enhanced by 2'-O-MDTM modifications. Our results indicate that 2'-O-MDTM modifications improve the stability of siRNA in serum and they are able to be introduced at any positions of siRNA. Copyright © 2018 Elsevier Ltd. All rights reserved.

  18. Self-organization of nanocluster δ-layers at ion-beam-mixed Si-SiO2 interfaces

    International Nuclear Information System (INIS)

    Roentzsch, L.

    2003-11-01

    This diploma thesis presents experimental evidence of a theoretical concept which predicts the self-organization of δ-layers of silicon nanoclusters in the buried oxide of a MOS-like structure. This approach of ''bottom-up'' structuring might be of eminent importance in view of future semiconductor memory devices. Unconventionally, a 15 nm thin SiO 2 layer, which is enclosed by a 50 nm poly-Si capping layer and the Si substrate, is irradiated with Si + ions. Ion impact drives the system to a state far from thermodynamic equilibrium, i.e. the local composition of the target is modified to a degree unattainable in common processes. A region of SiO x (x 2 matrix at a distance of ∼3 nm from the Si substrate. The physical mechanisms of ion mixing of the two Si-SiO 2 interfaces and subsequent phase separation, which result in the desired sample structure, are elucidated from the viewpoint of computer simulations. In addition, experimental evidence is presented based on various methods, including TEM, RBS, and SIMS. A novel method of Si nanocluster decoration is of particular importance which applies Ge as contrast enhancing element in TEM studies of tiny Si nanoclusters. (orig.)

  19. Thickness and composition of ultrathin SiO2 layers on Si

    International Nuclear Information System (INIS)

    Marel, C. van der; Verheijen, M.A.; Tamminga, Y.; Pijnenburg, R.H.W.; Tombros, N.; Cubaynes, F.

    2004-01-01

    Ultrathin SiO 2 layers are of importance for the semiconductor industry. One of the techniques that can be used to determine the chemical composition and thickness of this type of layers is x-ray photoelectron spectroscopy (XPS). As shown by Seah and Spencer [Surf. Interface Anal. 33, 640 (2002)], it is not trivial to characterize this type of layer by means of XPS in a reliable way. We have investigated a series of ultrathin layers of SiO 2 on Si (in the range from 0.3 to 3 nm) using XPS. The samples were also analyzed by means of transmission electron microscopy (TEM), Rutherford backscattering (RBS), and ellipsometry. The thickness of the SiO 2 layers (d) was determined from the XPS results using three different approaches: the 'standard' equation (Seah and Spencer) for d, an overlayer-substrate model calculation, and the QUASES-Tougaard [Surf. Interface Anal. 26, 249 (1998), QUASES-Tougaard: Software package for Quantitative Analysis of Surfaces by Electron Spectroscopy, version 4.4 (2000); http://www.quases.com] method. Good agreement was obtained between the results of XPS analyses using the 'standard' equation, the overlayer-substrate model calculation, and RBS results. The QUASES-Tougaard results were approximately 62% above the other XPS results. The optical values for the thickness were always slightly higher than the thickness according to XPS or RBS. Using the model calculation, these (relatively small) deviations from the optical results could be explained as being a consequence of surface contaminations with hydrocarbons. For a thickness above 2.5 nm, the TEM results were in good agreement with the results obtained from the other techniques (apart from QUASES-Tougaard). Below 2.5 nm, significant deviations were found between RBS, XPS, and optical data on the one hand and TEM results on the other hand; the deviations became larger as the thickness of the SiO 2 decreased. This effect may be related to interface states of oxygen, which have been

  20. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  1. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    Science.gov (United States)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  2. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    International Nuclear Information System (INIS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-01-01

    Sponge-like Si nanostructures embedded in SiO 2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO 2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations

  3. Coordination-resolved local bond contraction and electron binding-energy entrapment of Si atomic clusters and solid skins

    Energy Technology Data Exchange (ETDEWEB)

    Bo, Maolin; Huang, Yongli; Zhang, Ting [Key Laboratory of Low-Dimensional Materials and Application Technologies, Xiangtan University, Hunan 411105 (China); Wang, Yan, E-mail: ywang8@hnust.edu.cn, E-mail: ecqsun@ntu.edu.sg [Key Laboratory of Low-Dimensional Materials and Application Technologies, Xiangtan University, Hunan 411105 (China); School of Information and Electronic Engineering, Hunan University of Science and Technology, Hunan 411201 (China); Zhang, Xi [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Li, Can [Center for Coordination Bond Engineering, School of Materials Science and Engineering, China Jiliang University, Hangzhou 330018 (China); Sun, Chang Q., E-mail: ywang8@hnust.edu.cn, E-mail: ecqsun@ntu.edu.sg [Key Laboratory of Low-Dimensional Materials and Application Technologies, Xiangtan University, Hunan 411105 (China); School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Center for Coordination Bond Engineering, School of Materials Science and Engineering, China Jiliang University, Hangzhou 330018 (China)

    2014-04-14

    Consistency between x-ray photoelectron spectroscopy measurements and density-function theory calculations confirms our bond order-length-strength notation-incorporated tight-binding theory predictions on the quantum entrapment of Si solid skin and atomic clusters. It has been revealed that bond-order deficiency shortens and strengthens the Si-Si bond, which results in the local densification and quantum entrapment of the core and valence electrons. Unifying Si clusters and Si(001) and (111) skins, this mechanism has led to quantification of the 2p binding energy of 96.089 eV for an isolated Si atom, and their bulk shifts of 2.461 eV. Findings evidence the significance of atomic undercoordination that is of great importance to device performance.

  4. UV-durable superhydrophobic textiles with UV-shielding properties by coating fibers with ZnO/SiO2 core/shell particles

    Science.gov (United States)

    Xue, Chao-Hua; Yin, Wei; Jia, Shun-Tian; Ma, Jian-Zhong

    2011-10-01

    ZnO/SiO2 core/shell particles were fabricated by successive coating of multilayer polyelectrolytes and then a SiO2 shell onto ZnO particles. The as-prepared ZnO/SiO2 core/shell particles were coated on poly(ethylene terephthalate) (PET) textiles, followed by hydrophobization with hexadecyltrimethoxysilane, to fabricate superhydrophobic surfaces with UV-shielding properties. Transmission electron microscopy and ζ potential analysis were employed to evidence the fabrication of ZnO/SiO2 core/shell particles. Scanning electron microscopy and thermal gravimetric analysis were conducted to investigate the surface morphologies of the textile and the coating of the fibers. Ultraviolet-visible spectrophotometry and contact angle measurement indicated that the incorporation of ZnO onto fibers imparted UV-blocking properties to the textile surface, while the coating of SiO2 shell on ZnO prohibited the photocatalytic degradation of hexadecyltrimethoxysilane by ZnO, making the as-treated PET textile surface show stable superhydrophobicity with good UV-shielding properties.

  5. Formation of light-emitting nanostructures in layers of stoichiometric SiO{sub 2} irradiated with swift heavy ions

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G. A., E-mail: kachurin@isp.nsc.ru; Cherkova, S. G. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics (Russian Federation); Skuratov, V. A. [Joint Institute for Nuclear Research (Russian Federation); Marin, D. V.; Kesler, V. G.; Volodin, V. A. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics (Russian Federation)

    2011-10-15

    Thermally grown SiO{sub 2} layers have been irradiated with 700-MeV Bi ions with doses of (3-10) Multiplication-Sign 10{sup 12} cm{sup -2}. It is found that, even after a dose of 3 Multiplication-Sign 10{sup 12} cm{sup -2}, a photoluminescence band in the region of 600 nm appears. Its intensity levels off at a dose of {approx}5 Multiplication-Sign 10{sup 12} cm{sup -2}. The nature of the emission centers is studied by the methods of infrared transmission, Raman scattering, X-ray photoelectron spectroscopy, ellipsometry, and the reaction to passivating low-temperature anneals. It is established that irradiation brings about a decrease in the number of Si-O bonds with a relevant increase in the Si-Si bonds. It is assumed that the photoluminescence is caused by nanostructures containing an excess Si and/or having a deficit of O. The reaction of reduction of SiO{sub 2} proceeds in ion tracks due to high levels of ionization and heating within these tracks. The dose dependence is used to estimate the diameter of a track at 8-9 nm.

  6. The characteristics of photo-CVD SiO{sub 2} and its application on SiC MIS UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.H.; Chang, C.S.; Chang, S.J.; Su, Y.K.; Chiou, Y.Z.; Liu, S.H.; Huang, B.R

    2003-07-15

    SiO{sub 2} layers were deposited onto SiC by photo-chemical vapor deposition (photo-CVD) using deuterium (D{sub 2}) lamp as the excitation source. For the photo-SiO{sub 2} deposited 500 deg. C, interface state density (D{sub it}) was estimated to be 5.66x10{sup 11} cm{sup -2} eV{sup -1}. With an applied electric field of 4 MV cm{sup -1}, it was found that the leakage current was only 3.15x10{sup -8} A cm{sup -2} for the photo-CVD SiO{sub 2} layer prepared at 500 deg. C. It was also found that photo-SiO{sub 2} could effectively suppress dark current of SiC-based photodetectors (PDs). It was found that we could reduce dark current of SiC-based PDs by about three orders of magnitude by the insertion of a 5 nm-thick photo-CVD SiO{sub 2} film in between Indium-tin-oxide (ITO) contact and the underneath SiC. Photocurrent to dark current ratio of ITO/SiO{sub 2}/SiC MIS PDs was also found to be much larger than that of conventional ITO/SiC Schottky barrier PDs.

  7. Structural and optical properties of glancing angle deposited In{sub 2}O{sub 3} columnar arrays and Si/In{sub 2}O{sub 3} photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Mondal, A.; Shougaijam, B.; Goswami, T.; Dhar, J.C.; Singh, N.K. [National Institute of Technology, Department of Electronics and Communication Engineering, Agartala (India); Choudhury, S. [North Eastern Hill University, Department of Electronics and Communication Engineering, Shillong (India); Chattopadhay, K.K. [Jadavpur University, Department of Physics, Kolkata (India)

    2014-04-15

    Ordered and perpendicular columnar arrays of In{sub 2}O{sub 3} were synthesized on conducting ITO electrode by a simple glancing angle deposition (GLAD) technique. The as-deposited In{sub 2}O{sub 3} columns were investigated by field emission gun-scanning electron microscope (FEG-SEM). The average length and diameter of the columns were estimated ∝400 nm and ∝100 nm, respectively. The morphology of the structure was examined by transmission electron microscopy (TEM). X-ray diffraction (XRD) analysis shows the polycrystalline nature of the sample which was verified by selective area electron diffraction (SAED) analysis. The growth mechanism and optical properties of the columns were also discussed. Optical absorption shows that In{sub 2}O{sub 3} columns have a high band to band transition at ∝3.75 eV. The ultraviolet and green emissions were obtained from the In{sub 2}O{sub 3} columnar arrays. The P-N junction was formed between In{sub 2}O{sub 3} and P-type Si substrate. The GLAD synthesized In{sub 2}O{sub 3} film exhibits low current conduction compared to In{sub 2}O{sub 3} TF. However, the Si/GLAD-In{sub 2}O{sub 3} detector shows ∝1.5 times enhanced photoresponsivity than that of Si/In{sub 2}O{sub 3} TF. (orig.)

  8. Bonding temperature dependence of GaInAsP/InP laser diode grown on hydrophilically directly bonded InP/Si substrate

    Science.gov (United States)

    Aikawa, Masaki; Onuki, Yuya; Hayasaka, Natsuki; Nishiyama, Tetsuo; Kamada, Naoki; Han, Xu; Kallarasan Periyanayagam, Gandhi; Uchida, Kazuki; Sugiyama, Hirokazu; Shimomura, Kazuhiko

    2018-02-01

    The bonding-temperature-dependent lasing characteristics of 1.5 a µm GaInAsP laser diode (LD) grown on a directly bonded InP/Si substrate were successfully obtained. We have fabricated the InP/Si substrate using a direct hydrophilic wafer bonding technique at bonding temperatures of 350, 400, and 450 °C, and deposited GaInAsP/InP double heterostructure layers on this InP/Si substrate. The surface conditions, X-ray diffraction (XRD) analysis, photoluminescence (PL) spectra, and electrical characteristics after the growth were compared at these bonding temperatures. No significant differences were confirmed in X-ray diffraction analysis and PL spectra at these bonding temperatures. We realized the room-temperature lasing of the GaInAsP LD on the InP/Si substrate bonded at 350 and 400 °C. The threshold current densities were 4.65 kA/cm2 at 350 °C and 4.38 kA/cm2 at 400 °C. The electrical resistance was found to increase with annealing temperature.

  9. Microstructure and properties of an Al-Ti-Cu-Si brazing alloy for SiC-metal joining

    Science.gov (United States)

    Dai, Chun-duo; Ma, Rui-na; Wang, Wei; Cao, Xiao-ming; Yu, Yan

    2017-05-01

    An Al-Ti-Cu-Si solid-liquid dual-phase alloy that exhibits good wettability and appropriate interfacial reaction with SiC at 500-600°C was designed for SiC-metal joining. The microstructure, phases, differential thermal curves, and high-temperature wetting behavior of the alloy were analyzed using scanning electron microscopy, X-ray diffraction analysis, differential scanning calorimetry, and the sessile drop method. The experimental results show that the 76.5Al-8.5Ti-5Cu-10Si alloy is mainly composed of Al-Al2Cu and Al-Si hypoeutectic low-melting-point microstructures (493-586°C) and the high-melting-point intermetallic compound AlTiSi (840°C). The contact angle, determined by high-temperature wetting experiments, is approximately 54°. Furthermore, the wetting interface is smooth and contains no obvious defects. Metallurgical bonding at the interface is attributable to the reaction between Al and Si in the alloy and ceramic, respectively. The formation of the brittle Al4C3 phase at the interface is suppressed by the addition of 10wt% Si to the alloy.

  10. Effect of Pressurizing during Compaction and Sintering on the Formation of Reaction-Bonded SiC–Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun-Han; Jung, Yang-Il; Rhee, Young-Woo; Park, Dong-Jun; Park, Jung-Hwan; Park, Jeong-Yong; Kim, Hyun-Gil; Koo, Yang-Hyun [LWR Fuel Technology Division, KAERI, Daejeon (Korea, Republic of)

    2016-05-15

    A reaction-bonded SiC-Ti{sub 3}SiC{sub 2} ceramic composite was produced for use in a ceramic-metal composite cladding tube. The diffusion reaction between TiC and Si was investigated with respect to process pressure. The mole-fraction of TiC and Si was controlled to be 3:2 to obtain a Ti{sub 3}SiC{sub 2} phase in the ceramic composite. Sintering was conducted at 1450 °C where TiC particles could react with melted Si. SiC ceramic composites consisting of Ti{sub 3}SiC{sub 2} and TiSi{sub 2} matrix phases were obtained. The formation of the constituent phases was strongly related to the processing pressure. The number of second phases in the SiC-Ti{sub 3}SiC{sub 2} composite was controlled by adjusting the processing pressure. When the powder compacts were not pressurized, no Ti{sub 3}SiC{sub 2} phase was formed. However, the Ti{sub 3}SiC{sub 2} phase was formed under pressurizing during compaction and/or sintering. The higher the pressure the higher the purity of SiC-Ti{sub 3}SiC{sub 2}. The dual-phased SiC-Ti{sub 3}SiC{sub 2} composite, however, revealed the decreased resistance to high-temperature oxidation. It is suggested that the incorporation of TiSi{sub 2} in the composite increases the oxidation resistance as well as mechanical property.

  11. Characterization of heterogeneous SiO2 materials by scanning electron microscope and micro fluorescence XAS techniques

    International Nuclear Information System (INIS)

    Khouchaf, L.; Boinski, F.; Tuilier, M.H.; Flank, A.M.

    2006-01-01

    Micro X-ray absorption near edge structure XANES and micro fluorescence experiments have been carried out using X-ray microbeam from synchrotron radiation source with high brightness to investigate the local structural evolutions of heterogeneous and natural SiO 2 submitted to alkali-silica reaction ASR process. Compared to elemental maps obtained by Environmental Scanning Electron Microscope ESEM, micro fluorescence X maps showed the diffusion of potassium cations inside the grains with higher accuracy. Si K-edge spectra show the disorder induced by the dissolution of the grain from the outside to the inside. Potassium K-edge spectra do not show significant changes around K cations. The breaking of Si-O-Si bonds and the disorder of the (SiO 4 ) n network may be affected to potassium cations

  12. Thermodynamics of Bi2O3-SiO2 system

    Directory of Open Access Journals (Sweden)

    Onderka B.

    2017-01-01

    Full Text Available Thermodynamic properties of the liquid Bi2O3-SiO2 solutions were determined from the results of the electrochemical measurements by use of the solid oxide galvanic cells with YSZ (Yttria-Stabilized-Zirconia electrolyte. Activities of Bi2O3 in the solutions were determined for 0.2, 0.3, 0.4, and 0.5 SiO2 mole fractions in the temperature range 1073-1293 K from measured electromotive force (e.m.f of the solid electrolyte galvanic cell: Bi, Bi2O3-SiO2 | YSZ | air (pO2 = 0.213 bar Additionally, heat capacity data obtained for two solid phases 6Bi2O3•SiO2 and 2Bi2O3•3SiO2 were included into optimization of thermodynamic properties of the system. Optimization procedure was supported by differential thermal analysis (DTA data obtained in this work as well as those accepted from the literature. Using the data obtained in this work, and the information about phase equilibria found in the literature, binary system Bi2O3-SiO2 was assessed with the ThermoCalc software.

  13. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    Science.gov (United States)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  14. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  15. Study of Stress Migration Failure in SiLKTM/SiO2 Hybrid Cu Interconnects

    International Nuclear Information System (INIS)

    Tsuchikawa, Haruo; Nakamura, Tomoji; Suzuki, Takashi; Mori, Hiroko; Shono, Ken

    2004-01-01

    Stress migration (SM) behavior is studied for a 130nm-node SiLK TM /SiO2 hybrid structure in which the interlevel dielectrics (ILD) consist of SiLK TM for trench levels and SiO2 for via levels. The failure rate dependence on the temperature, line width and circuit is examined in detail. Furthermore, an effect of dielectric deposition process on the reliability of the hybrid interconnects is investigated. It has been found that SM behavior is essentially similar to that reported in Cu/SiO2 systems. It has also been clarified that SiO2 PVD conditions at via level had a large impact on the failure rate. Therefore, the control of ILD deposition conditions is found to be one of the key factors in suppressing the SM failure. In order to examine the effect of the PVD conditions, the residual stress in vias were measured by using X-ray diffraction method. The results show that σx (the stress component parallel to the surface) in vias greatly depends on the PVD conditions. Then, the relationship between the PVD conditions and the SM failure rate is clarified

  16. Tribology of Si/SiO2 in humid air: transition from severe chemical wear to wearless behavior at nanoscale.

    Science.gov (United States)

    Chen, Lei; He, Hongtu; Wang, Xiaodong; Kim, Seong H; Qian, Linmao

    2015-01-13

    Wear at sliding interfaces of silicon is a main cause for material loss in nanomanufacturing and device failure in microelectromechanical system (MEMS) applications. However, a comprehensive understanding of the nanoscale wear mechanisms of silicon in ambient conditions is still lacking. Here, we report the chemical wear of single crystalline silicon, a material used for micro/nanoscale devices, in humid air under the contact pressure lower than the material hardness. A transmission electron microscopy (TEM) analysis of the wear track confirmed that the wear of silicon in humid conditions originates from surface reactions without significant subsurface damages such as plastic deformation or fracture. When rubbed with a SiO2 ball, the single crystalline silicon surface exhibited transitions from severe wear in intermediate humidity to nearly wearless states at two opposite extremes: (a) low humidity and high sliding speed conditions and (b) high humidity and low speed conditions. These transitions suggested that at the sliding interfaces of Si/SiO2 at least two different tribochemical reactions play important roles. One would be the formation of a strong "hydrogen bonding bridge" between hydroxyl groups of two sliding interfaces and the other the removal of hydroxyl groups from the SiO2 surface. The experimental data indicated that the dominance of each reaction varies with the ambient humidity and sliding speed.

  17. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment

    Science.gov (United States)

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-01

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (1019 cm‑3) are distributed in the initial state, which exist in the forms of Si2N≡Si·, SiO2≡Si·, O3≡Si·, and N3≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H+ and O2‑. Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  18. Association behaviour of 241Am(III) on SiO2(amorphous) and SiO2(quartz) colloids

    International Nuclear Information System (INIS)

    Degueldre, C.; Wernli, B.

    1993-01-01

    SiO 2 colloids have been identified as a potential vector for enhancing radionuclide transport in granitic groundwater and in concrete pore water. The sorption behaviour of 241 Am(III) on SiO 2 colloids was studied as a function of americium concentration pH (5-12), colloid concentration, ionic strength, temperature and SiO 2 allotropic species. The Am(III) sorption mechanism on amorphous silica is different from that on quartz. For SiO 2(amorphous) solution, the variation of log K p (ml g -1 ) with pH is linear (pH=5-9) with a slope of +1 indicating a one proton exchange mechanism. The colloid concentration (ppm) affects the sorption and log K p 3.7-0.67 log [SiO 2 ] (pH = 6). K p increases insignificantly when the ionic strength decreases. It shows no significant variation, however, with the Am concentration. On amorphous silica, the Am(III) sorption is driven by proton exchange from the silanol groups. For SiO 2 (quartz), log K p is constant over a large range of quartz concentration in suspension and the variation of log K p with pH is about linear (pH = 5-12), with a slope of 0.28, indicating a more complex exchange mechanism. Reactions taking into account the interaction of positive Am(OH) w (3-w)+ species on to the negatively charged quartz surface are suggested. (author)

  19. Graphene-bonded and -encapsulated si nanoparticles for lithium ion battery anodes.

    Science.gov (United States)

    Wen, Yang; Zhu, Yujie; Langrock, Alex; Manivannan, Ayyakkannu; Ehrman, Sheryl H; Wang, Chunsheng

    2013-08-26

    Silicon (Si) has been considered a very promising anode material for lithium ion batteries due to its high theoretical capacity. However, high-capacity Si nanoparticles usually suffer from low electronic conductivity, large volume change, and severe aggregation problems during lithiation and delithiation. In this paper, a unique nanostructured anode with Si nanoparticles bonded and wrapped by graphene is synthesized by a one-step aerosol spraying of surface-modified Si nanoparticles and graphene oxide suspension. The functional groups on the surface of Si nanoparticles (50-100 nm) not only react with graphene oxide and bind Si nanoparticles to the graphene oxide shell, but also prevent Si nanoparticles from aggregation, thus contributing to a uniform Si suspension. A homogeneous graphene-encapsulated Si nanoparticle morphology forms during the aerosol spraying process. The open-ended graphene shell with defects allows fast electrochemical lithiation/delithiation, and the void space inside the graphene shell accompanied by its strong mechanical strength can effectively accommodate the volume expansion of Si upon lithiation. The graphene shell provides good electronic conductivity for Si nanoparticles and prevents them from aggregating during charge/discharge cycles. The functionalized Si encapsulated by graphene sample exhibits a capacity of 2250 mAh g⁻¹ (based on the total mass of graphene and Si) at 0.1C and 1000 mAh g⁻¹ at 10C, and retains 85% of its initial capacity even after 120 charge/discharge cycles. The exceptional performance of graphene-encapsulated Si anodes combined with the scalable and one-step aerosol synthesis technique makes this material very promising for lithium ion batteries. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. XPS and EELS characterization of Mn{sub 2}SiO{sub 4}, MnSiO{sub 3} and MnAl{sub 2}O{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Grosvenor, A.P., E-mail: andrew.grosvenor@usask.ca [Department of Chemistry, University of Saskatchewan, Saskatoon, SK S7N 5C9 (Canada); Bellhouse, E.M., E-mail: erika.bellhouse@arcelormittal.com [Global R & D—Hamilton, ArcelorMittal Dofasco, 1330 Burlington St. E, Hamilton, ON L8N 3J5 (Canada); Korinek, A., E-mail: korinek@mcmaster.ca [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4M1 (Canada); Bugnet, M., E-mail: bugnetm@mcmaster.ca [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4M1 (Canada); McDermid, J.R., E-mail: mcdermid@mcmaster.ca [Steel Research Centre, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4M1 (Canada)

    2016-08-30

    Graphical abstract: XPS and EELS spectra were acquired from Mn2Al2O4, MnSiO3 and Mn2SiO4 standards and unique features identified that will allow unambiguous identification of these compounds when studying the selective oxidation of advanced steels. - Highlights: • Mn2Al2O4, MnSiO3 and Mn2SiO4 standards were synthesized and characterized using both XPS and EELS. • Unique features in both the XPS high resolution and EELS spectra were identified for all compounds. • The spectra can be used to identify these compounds when studying the selective oxidation of steels. - Abstract: X-ray Photoelectron Spectroscopy (XPS) and Electron Energy Loss Spectroscopy (EELS) are strong candidate techniques for characterizing steel surfaces and substrate-coating interfaces when investigating the selective oxidation and reactive wetting of advanced high strength steels (AHSS) during the continuous galvanizing process. However, unambiguous identification of ternary oxides such as Mn{sub 2}SiO{sub 4}, MnSiO{sub 3}, and MnAl{sub 2}O{sub 4} by XPS or EELS, which can play a significant role in substrate reactive wetting, is difficult due to the lack of fully characterized standards in the literature. To resolve this issue, samples of Mn{sub 2}SiO{sub 4}, MnSiO{sub 3} and MnAl{sub 2}O{sub 4} were synthesized and characterized by XPS and EELS. The unique features of the XPS and EELS spectra for the Mn{sub 2}SiO{sub 4}, MnSiO{sub 3} and MnAl{sub 2}O{sub 4} standards were successfully derived, thereby allowing investigators to fully differentiate and identify these oxides at the surface and subsurface of Mn, Si and Al alloyed AHSS using these techniques.

  1. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  2. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  3. Al-Si/B{sub 4}C composite coatings on Al-Si substrate by plasma spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya, Ozkan [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Anik, Selahaddin [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Aslanlar, Salim [Sakarya University, Faculty of Technical Education, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Cem Okumus, S. [Sakarya University, Faculty of Engineering, Department of Metallurgical and Materials Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Celik, Erdal [Dokuz Eylul University, Engineering Faculty, Department of Metallurgical and Materials Engineering, Buca, Izmir 35160 (Turkey)]. E-mail: erdal.celik@deu.edu.tr

    2007-07-01

    Plasma-sprayed coatings of Al-Si/B{sub 4}C have been prepared on Al-Si piston alloys for diesel engine motors. The Al-Si/B{sub 4}C composite powders including 5-25 wt% B{sub 4}C were prepared by mixing and ball-milling processes. These powders were deposited on Al-Si substrate using an atmospheric plasma spray technique. The coatings have been characterised with respect to phase composition, microstructure, microhardness, bond strength and thermal expansion. It was found that Al, Si, B{sub 4}C and Al{sub 2}O{sub 3} phases were determined in the coatings with approximately 600 {mu}m thick by using X-ray diffraction analysis. Scanning electron microscope observation revealed that boron carbide particles were uniformly distributed in composite coatings and B{sub 4}C particles were fully wetted by Al-Si alloy. Also, no reaction products were observed in Al-Si/B{sub 4}C composite coatings. It was found that surface roughness, porosity, bond strength and thermal expansion coefficient of composite coatings decreased with increasing fraction of the boron carbide particle. It was demonstrated that the higher the B{sub 4}C content, the higher the hardness of coatings because the hardness of B{sub 4}C is higher than that of Al-Si.

  4. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  5. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  6. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  7. Hydrogen and chlorine detection at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Wintenberg, A.L.; Miller, P.D.; Moak, C.D.

    1981-01-01

    Hydrogen and chlorine depth profiles were obtained on a series of silicon oxides thermally grown in HCl/O 2 and Cl 2 /O 2 ambients at 1100 0 C for 15 minutes using the 19 F nuclear reaction and SIMS techniques. The data show close correlation between the H and Cl profiles in both the HCl/O 2 and Cl 2 /O 2 oxides. While the H and Cl appear to be enriched at the SiO 2 /Si interface of the HCl/O 2 oxides, they are higher in concentration and more evenly distributed in the oxide bulk of the Cl 2 /O 2 oxides

  8. Effect of TiO2 addition on reaction between SiC and Ni in SiC-Ni cermet spray coatings. Part 2. ; Development of SiC-based cermet spray coatings. SiC-Ni yosha himakuchu no SiC-Ni kaimen hanno ni oyobosu TiO2 tenka no koka. 2. ; SiC-ki sametto yosha himaku no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, T [Kumano Technical College, Mie (Japan); Oki, S; Goda, S [Kinki Univ., Higashi-Osaka, Osaka (Japan). Faculty of Science and Technology

    1992-09-30

    The depression of the reaction between SiC and Ni, by adding TiO2 powder in spraying powder which has caused uniform dispersion in spray coating and reduction of TiO2 by the reaction during spraying, was studied. The mass ratio of the mixed components has been, SiC:Ni:TiO2=3:2:1. The spray coating was examined by electron prove microanalysis as well as X-ray diffractometry, centering mainly to the SiC-metal interface reaction. The formation of Ni-Si compounds have been depressed by the addition of TiO2 to spraying powder and by using plasma gas containing H2. Reason for this has been that the TiC formed in the SiC-Ni interface has depressed the reaction at the SiC-Ni interface. Further, TiO2 is reduced during spraying, and TiC is thought to be formed by the reaction between Ti and SiC or reaction between TiO2 and SiC. 8 refs., 6 figs., 1 tab.

  9. Low-temperature conducting channel switching in hybrid Fe{sub 3}O{sub 4}/SiO{sub 2}/n-Si structures

    Energy Technology Data Exchange (ETDEWEB)

    Vikulov, V.A., E-mail: vikulov@iacp.dvo.ru [Institute of Automation and Control Processes, FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Dimitriev, A.A.; Balashev, V.V.; Pisarenko, T.A.; Korobtsov, V.V. [Institute of Automation and Control Processes, FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2016-09-15

    Highlights: • Conducting channel switching between the polycrystalline Fe{sub 3}O{sub 4} film and the n-Si substrate takes place in the Fe{sub 3}O{sub 4}/SiO{sub 2}/n-Si structure at temperature below 125 K. • This effect occurs via the field-assisted tunneling through the composite insulating layer that consists of the highly resistive Fe{sub 3}O{sub 4} and the tunnel SiO{sub 2}. • The switching is attended by a change in the shape of the current-voltage characteristics from the linear at 300 K to the S-type at 80 K. - Abstract: The carrier transport properties of the polycrystalline magnetite (Fe{sub 3}O{sub 4}) films grown on an n-type Si substrate with 5 nm-thick SiO{sub 2} have been investigated between 80 and 300 K in current-in-plane geometry. It was established that at temperature decrease to about 120 K, the resistivity of thin Fe{sub 3}O{sub 4} films increases up to a peak value and then abruptly drops. This process is attended by a change in the shape of the current-voltage characteristics from the linear at 300 K to the S-type at 80 K. The observed peculiarities are explained by conducting channel switching from the Fe{sub 3}O{sub 4} film to the Si substrate via the field-assisted tunneling of carriers through the composite insulating layer consisting of highly resistive Fe{sub 3}O{sub 4} and tunnel SiO{sub 2}.

  10. Thermal phase separation of ZrSiO4 thin films and frequency- dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Yilmaz, E.

    2018-05-01

    In this work, the thermal phase separation and annealing optimization of ZrSiO4 thin films have been carried out. Following annealing optimization, the frequency-dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors were investigated in detail. The chemical evolution of the films under various annealing temperatures was determined by Fourier transform infrared spectroscopy (FTIR) measurements. The phase separation was determined by x-ray diffraction (XRD) measurements. The electrical parameters were determined via the capacitance–voltage (C–V), conductance–voltage (G/ω) and leakage-current–voltage (Ig–Vg ). The results demonstrate that zirconium silicate formations are present at 1000 °C annealing with the SiO2 interfacial layer. The film was in amorphous form after annealing at 250 °C. The tetragonal phases of ZrO2 were obtained after annealing at 500 °C. When the temperature approaches 750 °C, transitions from the tetragonal phase to the monoclinic phase were observed. The obtained XRD peaks after 1000 °C annealing matched the crystalline peaks of ZrSiO4. This means that the crystalline zirconium dioxide in the structure has been converted into a crystalline silicate phase. The interface states increased to 5.71 × 1010 and the number of border traps decreased to 7.18 × 1010 cm‑2 with the increasing temperature. These results indicate that an excellent ZrSiO4/Si interface has been fabricated. The order of the leakage current varied from 10‑9 Acm‑2 to 10‑6 Acm‑2. The MOS capacitor fabricated with the films annealed at 1000 °C shows better behavior in terms of its structural, chemical and electrical properties. Hence, detailed frequency-dependent electrical characteristics were performed for the ZrSiO4 thin film annealed at 1000 °C. Very slight capacitance variations were observed under the frequency variations. This shows that the density of frequency-dependent charges is very low at the ZrSiO4/Si interface. The

  11. Phase relations in the SiC-Al2O3-Pr2O3 system

    International Nuclear Information System (INIS)

    Pan, W.; Wu, L.; Jiang, Y.; Huang, Z.

    2016-01-01

    Phase relations in the Si-Al-Pr-O-C system, including the SiC-Al 2 O 3 -Pr 2 O 3 , the Al 2 O 3 -Pr 2 O 3 -SiO 2 and the SiC-Al 2 O 3 -Pr 2 O 3 -SiO 2 subsystems, were determined by means of XRD phase analysis of solid-state-reacted samples fabricated by using SiC, Al 2 O 3 , Pr 2 O 3 and SiO 2 powders as the starting materials. Subsolidus phase diagrams of the systems were presented. Two Pr-aluminates, namely PrAlO 3 (PrAP) and PrAl 11 O 18 (β(Pr) β-Al 2 O 3 type) were formed in the SiC-Al 2 O 3 -Pr 2 O 3 system. SiC was compatible with both of them. Pr-silicates of Pr 2 SiO 5 , Pr 2 Si 2 O 7 and Pr 9.33 Si 6 O 26 (H(Pr) apatite type) were formed owing to presence of SiO 2 impurity in the SiC powder. The presence of the SiO 2 extended the ternary system of SiC-Al 2 O 3 -Pr 2 O 3 into a quaternary system of SiC-Al 2 O 3 -SiO 2 -Pr 2 O 3 (Si-Al-Pr-O-C). SiC was compatible with Al 2 O 3 , Pr 2 O 3 and the Pr-silicates. The effect of SiO 2 on the phase relations and liquid phase sintering of SiC ceramics was discussed.

  12. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  13. Sintering behaviour and phase relationships of Si[sub 3]N[sub 4] ceramics in the Si[sub 3]N[sub 4]-SiO[sub 2]-MgO-Y[sub 2]O[sub 3] system. Sinterverhalten und Phasenbeziehungen von Si[sub 3]N[sub 4]-Keramiken im System Si[sub 3]N[sub 4]-SiO[sub 2]-MgO-Y[sub 2]O[sub 3

    Energy Technology Data Exchange (ETDEWEB)

    Mahoney, F.M.

    1992-10-12

    The aim of this work is the investigation of the sintering or crystallisation behaviour of Si[sub 3]N[sub 4] ceramics depending on the additive composition in the Si[sub 3]N[sub 4]-SiO[sub 2]-MgO-Y[sub 2]O[sub 3] system. With regard to the complicated manufacturing process of sintered and heat-treated Si[sub 3]N[sub 4] ceramics, one should first determine which additive compositions make complete compression possible. The effect of the composition on the volume and the viscosity of the melting phase should be cleared up, where determining the Si[sub 3]N[sub 4] solubility relative to the additive composition is of special importance. The phase relationships between Si[sub 3]N[sub 4] and the possible crystalline secondary phases should be determined for the crystallisation behaviour. Due to the very fine distribution of only a 5-15% proportion of additive in conventional Si[sub 3]N[sub 4] samples, a characterisation of the secondary phases is difficult to carry out with X-ray or REM/EDX analysis. Therefore, experiments with oxy-nitridic model samples were carried out in this work, which have the same phase relationships as conventional Si[sub 3]N[sub 4] compositions, but with an appreciably higher proportion of additive. The possibility of transferring the results of the model samples were tested on examples of three Si[sub 3]N[sub 4] ceramics. (orig.)

  14. Evaluation of liquid-phase sintering SiC using as additive the system Al2O3/DyO3

    International Nuclear Information System (INIS)

    Oliveira, M.R.; Atilio, I.; Garcia, G.C.R.; Ribeiro, S.

    2012-01-01

    The objective of this work was to study the liquid-phase sintering SiC with additives that has not been studied yet, Al 2 O 3 /Dy 2 O 3 , with 10% in volume. The powders were mixed, dried, and pressed in uniaxial and isostatic pressing. It was studied the melting temperature of the additives and bars were sintered at temperatures of 1900, 1950 e 2000 deg C, with averaged linear shrinkage of 17%, phase transformations of β-SiC into α-SiC and formation of Dy 3 Al 5 O 12 at all temperatures. The results showed that for further densification, the temperature of 1950 deg C is enough for a higher densification, with a low wetting angle, transformations of SiC and formation of Dy 3 Al 5 O 12 . (author)

  15. Effect of fiber surface state on mechanical properties of Cf/Si-O-C composites

    International Nuclear Information System (INIS)

    Wang Song; Chen Zhaohui; Ma Qingsong; Hu Haifeng; Zheng Wenwei

    2005-01-01

    Three-dimensional braided carbon fiber reinforced silicon oxycarbide composites (3D-B C f /Si-O-C) were fabricated via a polysiloxane infiltration and pyrolysis route. The effects of fiber surface state on microstructure and mechanical properties of C f /Si-O-C composites were investigated. The change of carbon fiber surface state was achieved via heat treatment in vacuum. The results showed that heat treatment decreased carbon fiber surface activity due to the decrease of the amount of oxygen and nitrogen atoms. The C f /Si-O-C composites fabricated from the carbon fiber with low surface activity had excellent mechanical properties, which resulted from perfect interfacial bonding and good in situ fiber strength. The flexural strength and fracture toughness of the C f /Si-O-C composites from the treated fiber were 534 MPa and 23.4 MPa m 1/2 , respectively, which were about 7 and 11 times more than those of the composites from the as-received carbon fiber, respectively

  16. Preparation of orthophosphate glasses in the MgO-CaO-SiO2-Nb2O5-P2O5 system.

    Science.gov (United States)

    Lee, Sungho; Ueda, Kyosuke; Narushima, Takayuki; Nakano, Takayoshi; Kasuga, Toshihiro

    2017-01-01

    Niobia/magnesia-containing orthophosphate invert glasses were successfully prepared in our earlier work. Orthophosphate groups in the glasses were cross-linked by tetrahedral niobia (NbO4) and magnesia. The aim of this work is to prepare calcium orthophosphate invert glasses containing magnesia and niobia, incorporating silica, and to evaluate their structures and releasing behaviors. The glasses were prepared by melt-quenching, and their structures and ion-releasing behaviors were evaluated. 31P solid-state nuclear magnetic resonance (NMR) and Raman spectroscopies showed the glasses consist of orthophosphate (PO4), orthosilicate (SiO4), and NbO4 tetrahedra. NbO4 and MgO in the glasses act as network formers. By incorporating SiO2 into the glasses, the chemical durability of the glasses was slightly improved. The glasses reheated at 800°C formed the orthophosphate crystalline phases, such as β-Ca3(PO4)2, Mg3(PO4)2 and Mg3Ca3(PO4)4 in the glasses. The chemical durability of the crystallized glasses was slightly improved. Orthosilicate groups and NbO4 in the glasses coordinated with each other to form Si-O-Nb bonds. The chemical durability of the glasses was slightly improved by addition of SiO2, since the field strength of Si is larger than that of Ca or Mg.

  17. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  18. Effects of ultraviolet irradiation treatment on low-k SiOC(-H) ultra-thin films deposited by using TMS/O2 PEALD

    International Nuclear Information System (INIS)

    Kim, Changyoung; Woo, Jongkwan; Choi, Chikyu; Navamathavan, R.

    2012-01-01

    We report on the electrical characteristics for the metal-insulator-semiconductor (MIS) structure of low-dielectric-constant SiOC(-H) films. The SiOC(-H) thin films were deposited on p-Si(100) substrates by using a plasma-enhanced atomic layer deposition (PEALD) system. To improve the structural and the electrical characteristics, we post-treated the SiOC(-H) films deposited using PEALD with ultraviolet (UV) irradiation for various time intervals. The radical intensities in the bulk plasma were observed to be influenced strongly by the radio-frequency (rf) power. A complete dissociation of the trimethylsilane (TMS) precursor took place for rf powers greater than 300 W. As the UV treatment time was increased, the bonding structure of the SiOC(-H) film clearly separated to Si-O-Si and Si-O-C bonds. Also, the fixed charge density and the interface state density on the SiOC(-H)/p-Si(100) interface decreased as the UV treatment time was increased to 6 min. Therefore, we were able to minimize the defects and to reduce the interface charge by adjusting the UV dose.

  19. Silver nanoparticles decorated carboxylate functionalized SiO2, New nanocomposites for non-enzymatic detection of glucose and hydrogen peroxide

    International Nuclear Information System (INIS)

    Ensafi, Ali A.; Zandi-Atashbar, N.; Rezaei, B.; Ghiaci, M.; Taghizadeh, M.

    2016-01-01

    Highlights: • Silver nanoparticles decorated carboxylates functionalized SiO 2 were prepared. • Ligands are bonding to silica support as SiO 2 –pro–NH 2 and SiO 2 –pro–NH–cyanuric–NH 2 . • These nanomaterials were used as electrochemical sensors for H 2 O 2 and glucose detection. • H 2 O 2 and glucose could be detected as low as 0.094 and 0.33 μmol L −1 , respectively. - Abstract: Here, two different nanocomposites are prepared based on the decoration of silver nanoparticles (AgNPs) on carboxylated SiO 2 . SiO 2 was functionalized with two different carboxylate ligands to stabilize silver nanoparticles on them, using two different organic bondings. Then, AgNPs were decorated successfully on the surface of the functionalized SiO 2 . The functionalized SiO 2 and AgNPs-functionalized SiO 2 were characterized using Fourier transform infrared spectroscopy, elemental analyses, and Transmission electron microscopy (TEM). For further characterization, the generated nanoparticles were employed as modifiers in the preparation of carbon paste electrodes (CPEs). Then, cyclic voltammetry and electrochemical impedance spectroscopy were used to study their electrochemical behavior. The electrochemical data exhibited that the modifications of CPE led to easier electron transfers rather than the bare and unmodified SiO 2 /CPE due to the presence of functionalized SiO 2 in accompany with electrocatalytic effects of the decorated silver nanoparticles. Furthermore, the modified–CPEs were examined as non–enzymatic H 2 O 2 and glucose sensors using electrochemical techniques including cyclic voltammetry and hydrodynamic chronoamperometric. Electrochemical results represented that the modified-CPE is efficient and sensitive for H 2 O 2 and glucose detection as low as 0.094 and 0.33 μmol L −1 , respectively. At last, the practical applications of these sensors were also evaluated by analyzing H 2 O 2 and glucose in the presence of common interfering species

  20. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  1. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  2. Non-condensed (oxo)nitridosilicates: La{sub 3}-[SiN{sub 4}]F and the polymorph o-La{sub 3}-[SiN{sub 3}O]O

    Energy Technology Data Exchange (ETDEWEB)

    Durach, Dajana; Schnick, Wolfgang [Department of Chemistry, Chair in Inorganic Solid-State Chemistry, University of Munich (LMU) (Germany)

    2015-08-15

    The isotypic compounds La{sub 3}[SiN{sub 4}]F and La{sub 3}[SiN{sub 3}O]O were synthesized in a radio-frequency furnace at 1600 C. The crystal structures [Pnma (no. 62), Z = 4; La{sub 3}(SiN{sub 4})F: a = 9.970(3), b = 7.697(2), c = 6.897(2) Aa, V = 529.3(3) Aa{sup 3}; La{sub 3}(SiON{sub 3})O: a = 9.950(2), b = 7.6160(15), c = 6.9080(14) Aa, V = 523.48(18) Aa{sup 3}] were elucidated from single-crystal X-ray diffraction data and corroborated by Rietveld refinement, lattice-energy calculations (Madelung part of lattice energy, MAPLE) and Raman/FTIR spectroscopy. Both compounds are homeotypic with Na{sub 2}Pr[GeO{sub 4}]OH forming a network of vertex-sharing FLa{sub 6}/OLa{sub 6} octahedra, whose voids are filled with non-condensed SiN{sub 4}/SiN{sub 3}O tetrahedra. o-La{sub 3}[SiON{sub 3}]O is the orthorhombic polymorph of this compound, which probably represents the high-temperature modification, whereas the tetragonal polymorph t-La{sub 3}[SiON{sub 3}]O represents the low-temperature modification. While the space group of the t-polymorph [I4/mcm (no. 140)] differs from the new La{sub 3}[SiN{sub 4}]F and o-La{sub 3}[SiN{sub 3}O]O, the crystal structure contains the same linking pattern. (Copyright copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Interfacial microstructure of partial transient liquid phase bonded Si3N4-to-Inconel 718 joints

    International Nuclear Information System (INIS)

    Kim, Jae Joong; Park, Jin-Woo; Eagar, Thomas W.

    2003-01-01

    This work presents transmission electron microscopy (TEM) analysis of the interfacial microstructure in Si 3 N 4 -to-Inconel 718 joints with Ni interlayers produced by partial transient liquid phase bonding (PTLPB). Ti and Cu microfoils have been inserted between Si 3 N 4 and the Ni interlayer and joining has been performed at lower temperatures than previous PTLPBs of Si 3 N 4 with the same insert metals. The TEM work is focused on phase identification of the reaction layers between the Si 3 N 4 and the Ni interlayer. According to the TEM analysis, most of the Cu precipitates without reacting with Ti and Ni. Si diffused in the filler metal and thin reaction layer formed at the interface between Si 3 N 4 and the filler metal producing good bond-formation and hence, high interfacial strength. No interfacial fractures occurred after cooling from the bonding temperature of 900 deg. C, which supports the results observed in the TEM analysis. This work confirms that this joining process can produce a more heat resistant Si 3 N 4 -to-Inconel 718 joint than active brazing using Ag-Cu-Ti alloys

  4. X-ray absorption spectroscopy study on SiC-side interface structure of SiO2–SiC formed by thermal oxidation in dry oxygen

    Science.gov (United States)

    Isomura, Noritake; Kosaka, Satoru; Kataoka, Keita; Watanabe, Yukihiko; Kimoto, Yasuji

    2018-06-01

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is demonstrated to measure the fine atomic structure of SiO2–SiC interfaces. The SiC-side of the interface can be measured by fabricating thin SiO2 films and using SiC-selective EXAFS measurements. Fourier transforms of the oscillations of the EXAFS spectra correspond to radial-structure functions and reveal a new peak of the first nearest neighbor of Si for m-face SiC, which does not appear in measurements of the Si-face. This finding suggests that the m-face interface could include a structure with shorter Si–C distances. Numerical calculations provide additional support for this finding.

  5. Trapping time of excitons in Si nanocrystals embedded in a SiO2 matrix

    Science.gov (United States)

    de Jong, E. M. L. D.; de Boer, W. D. A. M.; Yassievich, I. N.; Gregorkiewicz, T.

    2017-05-01

    Silicon (Si) nanocrystals (NCs) are of great interest for many applications, ranging from photovoltaics to optoelectonics. The photoluminescence quantum yield of Si NCs dispersed in SiO2 is limited, suggesting the existence of very efficient processes of nonradiative recombination, among which the formation of a self-trapped exciton state on the surface of the NC. In order to improve the external quantum efficiency of these systems, the carrier relaxation and recombination need to be understood more thoroughly. For that purpose, we perform transient-induced absorption spectroscopy on Si NCs embedded in a SiO2 matrix over a broad probe range for NCs of average sizes from 2.5 to 5.5 nm. The self-trapping of free excitons on surface-related states is experimentally and theoretically discussed and found to be dependent on the NC size. These results offer more insight into the self-trapped exciton state and are important to increase the optical performance of Si NCs.

  6. Characterization of heterogeneous SiO{sub 2} materials by scanning electron microscope and micro fluorescence XAS techniques

    Energy Technology Data Exchange (ETDEWEB)

    Khouchaf, L. [Centre de Recherche de l' Ecole des Mines deDouai, 941, rue Charles Bourseul, BP. 10838, 59508 Douai (France)]. E-mail: khouchaf@ensm-douai.fr; Boinski, F. [Centre de Recherche de l' Ecole des Mines deDouai, 941, rue Charles Bourseul, BP. 10838, 59508 Douai (France); Tuilier, M.H. [GMP Equipe de recherche: MMPF, Universite de Haute-Alsace, 61 rue Albert Camus, F-68093, Mulhouse Cedex (France); Flank, A.M. [SOLEIL and Swiss Light Source SLS CH-5232 Villigen PSI (Switzerland)

    2006-11-15

    Micro X-ray absorption near edge structure XANES and micro fluorescence experiments have been carried out using X-ray microbeam from synchrotron radiation source with high brightness to investigate the local structural evolutions of heterogeneous and natural SiO{sub 2} submitted to alkali-silica reaction ASR process. Compared to elemental maps obtained by Environmental Scanning Electron Microscope ESEM, micro fluorescence X maps showed the diffusion of potassium cations inside the grains with higher accuracy. Si K-edge spectra show the disorder induced by the dissolution of the grain from the outside to the inside. Potassium K-edge spectra do not show significant changes around K cations. The breaking of Si-O-Si bonds and the disorder of the (SiO{sub 4}) {sub n} network may be affected to potassium cations.

  7. Synthesis of PANi-SiO2 Nanocomposite with In-Situ Polymerization Method: Nanoparticle Silica (NPS) Amorphous and Crystalline Phase

    Science.gov (United States)

    Munasir; Luvita, N. R. D.; Kusumawati, D. H.; Putri, N. P.; Triwikantoro; Supardi, Z. A. I.

    2018-03-01

    Silica which is synthesized from natural materials such as Bancar Tuban’s sand composited with Polyaniline (PANi), where the silica used are silica has an amorphous phase and cristobalite phase. In this research, the composite method used is in- situ polymerization, which is silica entered during the fabrication of PANi, then automatically silica will be substitute into the chain bonding of PANi. The aim of this research is to find out the results of a composite process using in-situ methods as well as differences in the morphology of PANi/a- SiO2 and PANi/c-SiO2. For the characterization of samples tested in the form of FTIR to determine the functional groups of the composite and SEM to determine the morphology of the sample. From the test results of FTIR are known composite possibility has occurred because there are several functional groups belonging to silica also functional groups belonging polyaniline, functional group that’s happened in wave numbers were almost identical between PANi/a-SiO2 and PANi/c-SiO2, but there are little differences were seen in the form of a graph generated from the peak and intensity that occurred charts for PANi/c-SiO2 has peak more pointed or sharp compared to PANi/a-SiO2 because that bond of crystal is strong, stiff and has a larger particle size than the amorphous composite. Then from the data of SEM seen clearly their morphological differences between PANi/a-SiO2 and PANi/c-SiO2 where polyaniline is composited with amorphous silica will have a fault that is not uniform or irregular different from PANi/c -SiO2 has a regular fault and this is corresponding with the nature of the typical structure of amorphous and crystalline.

  8. Fe/Ni thin films temperature investigation with MgO and SiO2 interfaces by ferromagnetic resonance

    International Nuclear Information System (INIS)

    Zyubin, A; Orlova, A; Astashonok, A; Kupriyanova, G; Nevolin, V

    2011-01-01

    In this work the temperature study of magnetic – resonance properties of the structures such as Fe/MgO/Ni, Fe/SiO2/Ni differing thickness of spacer and of method of preparation was carried out by FMR. These systems are investigated to estimate their applicability in model creation experiments for a spintronics devices research [1–4]. The special attention was given to the temperature dependence research of three layer films linewidths. The out-of-plane temperature dependences of FMR signal position and line widths have been measured for Fe/Ni samples with MgO and Si/SiO2 interfaces in static position of 0 and 90 degrees rotation angle to the external static magnetic field. The extracted magnetic parameters such as linewidths and resonance field position were studied.

  9. Electrical properties of SiO{sub 2}/SiC interfaces on 2°-off axis 4H-SiC epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Vivona, M., E-mail: marilena.vivona@imm.cnr.it [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Fiorenza, P. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Sledziewski, T.; Krieger, M. [Friedrich-Alexander-University (FAU) Erlangen-Nuremberg, Department of Physics, Staudtstrasse 7/Bld. A3, D-91058 Erlangen (Germany); Chassagne, T.; Zielinski, M. [NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex (France); Roccaforte, F. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy)

    2016-02-28

    Graphical abstract: - Highlights: • Processing and electrical characterization of MOS capacitors fabricated on 4H-SiC epilayers grown on 2°-off axis heavily doped substrates. • Excellent characteristics of the SiO{sub 2}/4H-SiC interface in terms of flatness, interface state density and oxide reliability. • Electrical behavior of the MOS devices comparable with that obtained for the state-of-the-art of 4°-off axis 4H-SiC material. • Demonstration of the maturity of the 2°-off axis material for application in 4H-SiC MOSFET device technology. - Abstract: In this paper, the electrical properties of the SiO{sub 2}/SiC interface on silicon carbide (4H-SiC) epilayers grown on 2°-off axis substrates were studied. After epilayer growth, chemical mechanical polishing (CMP) allowed to obtain an atomically flat surface with a roughness of 0.14 nm. Metal-oxide-semiconductor (MOS) capacitors, fabricated on this surface, showed an interface state density of ∼1 × 10{sup 12} eV{sup −1} cm{sup −2} below the conduction band, a value which is comparable to the standard 4°-off-axis material commonly used for 4H-SiC MOS-based device fabrication. Moreover, the Fowler–Nordheim and time-zero-dielectric breakdown analyses confirmed an almost ideal behavior of the interface. The results demonstrate the maturity of the 2°-off axis material for 4H-SiC MOSFET device fabrication.

  10. Fabrication and Surface Properties of Composite Films of SAM/Pt/ZnO/SiO 2

    KAUST Repository

    Yao, Ke Xin

    2008-12-16

    Through synthetic architecture and functionalization with self-assembled monolayers (SAMs), complex nanocomposite films of SAM/Pt/ZnO/SiO2 have been facilely prepared in this work. The nanostructured films are highly uniform and porous, showing a wide range of tunable wettabilities from superhydrophilicity to superhydrophobicity (water contact angles: 0° to 170°). Our approach offers synthetic flexibility in controlling film architecture, surface topography, coating texture, crystallite size, and chemical composition of modifiers (e.g., SAMs derived from alkanethiols). For example, wettability properties of the nanocomposite films can be finely tuned with both inorganic phase (i.e., ZnO/SiO2 and Pt/ZnO/SiO2) and organic phase (i.e., SAMs on Pt/ZnO/SiO2). Due to the presence of catalytic components Pt/ZnO within the nanocomposites, surface reactions of the organic modifiers can further take place at room temperature and elevated temperatures, which provides a means for SAM formation and elimination. Because the Pt/ZnO forms an excellent pair of metal-semiconductors for photocatalysis, the anchored SAMs can also be modified or depleted by UV irradiation (i.e., the films possess self-cleaning ability). Potential applications of these nanocomposite films have been addressed. Our durability tests also confirm that the films are thermally stable and structurally robust in modification- regeneration cycles. © 2008 American Chemical Society.

  11. Obtenção de substratos cerâmicos no sistema Si-Al-O-N-C empregando polissiloxanos e carga de Si e Al2O3 Ceramic tapes of Si-Al-O-N-C compounds using mixtures of polyssiloxane and Si-Al2O3 fillers

    Directory of Open Access Journals (Sweden)

    R. M. Rocha

    2005-03-01

    Full Text Available A técnica de processamento de colagem por fita (tape casting tem sido amplamente utilizada na obtenção de cerâmicas para diferentes aplicações: substratos cerâmicos e estruturas planares em multicamadas para circuitos integrados e capacitores; eletrólitos sólidos para células a combustível e sensores; cerâmicas piezoelétricas para atuadores e transdutores; membranas de separação para micro-filtragem; compósitos estruturais e trocadores de calor. Neste trabalho, a técnica convencional de colagem por fita foi adaptada com a utilização do processo de pirólise controlada de misturas de polímeros e carga, empregando-se polissiloxanos e cargas de silício e alumina nas suspensões. Foram preparadas suspensões com 60% vol. de fase polimérica (polissiloxanos e metil-trietoxi-silano e 40% vol. de carga (Si e Al2O3, com diferentes concentrações dos polímeros e das cargas. As amostras na forma de substratos foram pirolisadas em atmosfera de nitrogênio a 1400 °C/2 h e 1500 °C/2 h, sendo convertidas em materiais cerâmicos no sistema Si-Al-O-N-C. O processo de pirólise foi caracterizado até a temperatura de 1000 ºC por análise termogravimétrica. As cerâmicas foram caracterizadas quanto às fases formadas, microestrutura, massa específica aparente e condutividade térmica. Os substratos cerâmicos apresentaram porosidade relativamente alta (entre 12 e 22% e baixa condutividade térmica (entre 3 e 8 W/m.K, sendo constituídos por fases cristalinas de beta-SiC, Si2ON2, O'-SiAlON, Al2O3, mulita e fase amorfa de SiOC; o Si foi observado nas amostras pirolisadas a 1400 ºC.The tape casting technique has been widely used to prepare ceramic tapes for different applications: ceramic substrates and multilayer planar structures for integrated circuits and capacitors, solid electrolytes for fuel cells and sensors, piezoelectric ceramics for actuators and transducers, membrane systems for micro-filtration, structural composites and

  12. Preparation of Raspberry-like Superhydrophobic SiO2 Particles by Sol-gel Method and Its Potential Applications

    Directory of Open Access Journals (Sweden)

    Xu Gui-Long

    2011-12-01

    Full Text Available Raspberry‐like SiO2 particles with a nano‐micro‐binary structure were prepared by a simple sol‐gel method using tetraethoxysilane (TEOS and methyltriethoxysilane (MTES as precursors. The chemical components and morphology of the SiO2 particles were characterized by Fourier transform infrared spectroscopy (FT‐IR and a Transmission electron microscope (TEM. The surface topography and wetting behaviour of the raspberry‐like SiO2 surface were observed with a Scanning electron microscope (SEM and studied by the water/oil contact angle (CA, respectively. The thermal stability of the prepared SiO2 particles was characterized by TGA analysis. The results show that the highly dispersed SiO2 particles initially prepared by the sol‐gel method turn into raspberry‐like particles with during the aging process. The raspberry‐like SiO2 particles show superhydrophobicity and superoleophilicity across a wide range of pH values. The SiO2 particles were thermally stable up to 475°C, while above this temperature the hydrophobicity decreases and finally becomes superhydrophobic when the temperature reaches 600°C. The raspberry‐like SiO2 particles which were prepared have potential applications in the fields of superhydrophobic surfaces, water‐oil separation, anti‐corrosion and fluid transportation.

  13. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  14. Enhanced electrochemical properties of F-doped Li2MnSiO4/C for lithium ion batteries

    Science.gov (United States)

    Wang, Chao; Xu, Youlong; Sun, Xiaofei; Zhang, Baofeng; Chen, Yanjun; He, Shengnan

    2018-02-01

    The Li2MnSiO4 as a novel cathode material for lithium ion batteries, performs high specific capacity, high thermal stability, low cost and etc. However, it suffers from relatively low electronic conductivity and lithium ion diffusion rate. Herein, we successfully introduce fluorine to Li2MnSiO4 (Li2MnSiO4-xFx, x = 0.00, 0.01, 0.03 and 0.05) to overcome these obstacles. The results show that F doping not only enlarges the lattice parameters but also decreases the particle size, synergistically improving the lithium ion diffusion of Li2MnSiO4. Moreover, F doping increase electronic conductivity of Li2MnSiO4/C by inhibiting the formation of C-O bonds in the carbon layers. Meanwhile, F doping improves the crystallinity and stabilizes the crystal structure of Li2MnSiO4. Finally, the Li2MnSiO3.97F0.03/C with the best electrochemical performances delivers the initial specific discharge capacity of 279 mA h g-1 at 25mA g-1 current density from 1.5 V to 4.8 V. Also, it maintains a higher capacity (201 mA h g-1) than F-free Li2MnSiO4 (145 mA h g-1) after 50 cycles.

  15. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  16. Synthesis and characterization of nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Jianhua, E-mail: zhoujianh@21cn.com [College of Resource and Environment, Shaanxi University of Science and Technology, Xi’an 710021 (China); Shaanxi Research Institute of Agricultural Products Processing Technology, Xi’an 710021 (China); Chen, Xin; Duan, Hao; Ma, Jianzhong; Ma, Yurong [College of Resource and Environment, Shaanxi University of Science and Technology, Xi’an 710021 (China)

    2015-03-15

    Graphical abstract: Nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion was synthesized by emulsifier-free emulsion polymerization and sol–gel process using ethyl silicate as precursor for nano-SiO{sub 2}. - Highlights: • Nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion was successfully synthesized by emulsion polymerization using surfmer and sol–gel process. • The contact angle results showed that the finished fabric had an excellent water and oil repellency. • The nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion proved to be highly solvent-resistant and water-resistant. • The transmission electron microscopy (TEM) indicated that the nano-SiO{sub 2} presented on the surface of latex particles. • The atomic force microscope (AFM) and energy dispersive X-ray spectrometer (EDX) confirmed that the hybrid film had a rough surface and the organic fluorine segment could migrate onto the film–air interface. - Abstract: Nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion, consisting of methyl methacrylate, butyl acrylate, dodecafluoroheptyl methacrylate and ethyl silicate, was successfully synthesized by emulsion polymerization using surfmer and sol–gel process. When increasing ethyl silicate content, the latex centrifugal stability decreased, and the latex particle size increased. The contact angle results showed that the finished fabric had an excellent water and oil repellency. Furthermore, compared with fluorine-containing polyacrylate emulsifier-free emulsion, the obtained nano-SiO{sub 2} modified fluorine-containing polyacrylate emulsifier-free emulsion proved to be highly solvent-resistant and water-resistant. In addition, the transmission electron microscopy (TEM) indicated that the nano-SiO{sub 2} presented on the surface of latex particles. The atomic force microscope (AFM) and energy dispersive X-ray spectrometer

  17. Magnetic and optical properties of Ag@SiO{sub 2}-FITC-Fe{sub 3}O{sub 4} hybrid nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Sui, Ning [Université de Lyon, Institut des Nanotechnologies de Lyon–INL, UMR CNRS 5270, Site Ecole Centrale de Lyon, 36 Avenue Guy de Collongue, F-69134 Ecully Cedex (France); College of Material Science and Engineering, Qingdao University of Science and Technology, Qingdao 266042 (China); Monnier, Virginie, E-mail: virginie.monnier@ec-lyon.fr [Université de Lyon, Institut des Nanotechnologies de Lyon–INL, UMR CNRS 5270, Site Ecole Centrale de Lyon, 36 Avenue Guy de Collongue, F-69134 Ecully Cedex (France); Salvia, Marie-Virginie; Chevolot, Yann; Souteyrand, Eliane [Université de Lyon, Institut des Nanotechnologies de Lyon–INL, UMR CNRS 5270, Site Ecole Centrale de Lyon, 36 Avenue Guy de Collongue, F-69134 Ecully Cedex (France)

    2014-03-15

    Highlights: • New magnetic/fluorescent nanoparticles were synthesized. • The silver core led to a maximum 4-fold enhanced fluorescence of fluorophore. • Maximum enhancement factor was obtained when metal-fluorophore distance is 5 nm. • Magnetism and fluorescence appeared simultaneously for nanoparticles in solution. -- Abstract: Nanoparticles composed of a silver core coated with a silica shell (Ag@SiO{sub 2}) were prepared. A dye, fluorescein isothiocyanate (FITC), was further encapsulated during the growth of a second silica shell onto Ag@SiO{sub 2} nanoparticles. The proximity of silver nanoparticles led to a 4-fold maximal enhancement in the fluorescence of FITC when the first silica shell thickness was set at 5 nm. After amino-functionalization of Ag@SiO{sub 2}-FITC nanoparticles, iron oxide nanoparticles were bonded to their surface. The magnetic and metal-enhanced fluorescence properties appeared simultaneously when Ag@SiO{sub 2}-FITC-Fe{sub 3}O{sub 4} hybrid nanoparticles were dispersed in a solution.

  18. Bonding mechanism of a yttrium iron garnet film on Si without the use of an intermediate layer

    International Nuclear Information System (INIS)

    Pantzas, Konstantinos; Patriarche, Gilles; Talneau, Anne; Youssef, Jamal Ben

    2014-01-01

    Direct bonding of yttrium iron garnet (YIG) on silicon without the use of an intermediate bonding layer is demonstrated and characterized using scanning transmission electron microscopy and energy-dispersive x-ray spectroscopy. During the bonding experiment, the garnet is reduced in the presence of oxide-free silicon. As a result, a 5 nm thick SiO 2 /amorphous-YIG bilayer is formed and welds the garnet to silicon.

  19. The structure of MgO-SiO2 glasses at elevated pressure.

    Science.gov (United States)

    Wilding, Martin; Guthrie, Malcolm; Kohara, Shinji; Bull, Craig L; Akola, Jaakko; Tucker, Matt G

    2012-06-06

    The magnesium silicate system is an important geophysical analogue and neutron diffraction data from glasses formed in this system may also provide an initial framework for understanding the structure-dependent properties of related liquids that are important during planetary formation. Neutron diffraction data collected in situ for a single composition (38 mol% SiO(2)) magnesium silicate glass sample shows local changes in structure as pressure is increased from ambient conditions to 8.6 GPa at ambient temperature. A method for obtaining the fully corrected, total structure factor, S(Q), has been developed that allows accurate structural characterization as this weakly scattering glass sample is compressed. The measured S(Q) data indicate changes in chemical ordering with pressure and the real-space transforms show an increase in Mg-O coordination number and a distortion of the local environment around magnesium ions. We have used reverse Monte Carlo methods to compare the high pressure and ambient pressure structures and also compare the high pressure form with a more silica-poor glass (Mg(2)SiO(4)) that represents the approach to a more dense, void-free and topologically ordered structure. The Mg-O coordination number increases with pressure and we also find that the degree of continuous connectivity of Si-O bonds increases via a collapse of interstices.

  20. Tracer diffusion studies of 26Mg, 30Si and 18O in single crystal forsterite (Mg2SiO4) and of 18O in single crystal SiO2

    International Nuclear Information System (INIS)

    Schachtner, R.

    1981-01-01

    Tracer diffusion coefficients of Mg, Si and O in monocrystalline forsterite were determined by Sims as a function of temperature and crystal orientation. Former results on oxygen diffusion in SiO 2 single crystals using nuclear activation methods were confirmed by Sims data. The influence of crystal defects and impurities is discussed. (TW)

  1. SiO 2/SiC interface proved by positron annihilation

    Science.gov (United States)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-06-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2/SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage ( C- V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method.

  2. SiO2/SiC interface proved by positron annihilation

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-01-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2 /SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage (C-V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method

  3. Crystallization in Y-Si-Al-O-N glasses

    Energy Technology Data Exchange (ETDEWEB)

    Leng-Ward, G; Lewis, M H

    1985-05-01

    The development of crystallization in oxynitride glasses has been characterized using transmission electron microscopy and scanning electron microscopy, X-ray (energy-dispersive) microanalysis, and powder X-ray diffraction techniques. A series of glasses was prepared while maintaining the ratio of yttrium-to-silicon-to-aluminium, but replacing oxygen with nitrogen up to the nitrogen solubility limit. On annealing at 1250 C, the oxide glass fully crystallized into yttrium disilicate (Y2Si2O7). Al2O3 and mullite (Al6Si2O13) while, with increasing nitrogen content, the disilicate phase was progressively replaced by yttrium aluminium garnet (Y3Al5O12) and nitrogen was mainly incorporated into Si2N2O. Annealing of the nitrogen glasses at 1100 C produced partial crystallization involving an intermediate phase related to nitrogen-wollastonite. Phase separation in an as-quenched SiO2-rich Y-Si-Al-O composition glass is illustrated. 9 references.

  4. Bonding of Si wafers by surface activation method for the development of high efficiency high counting rate radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Onabe, Hideaki

    2006-01-01

    Si wafers with two different resistivities ranging over two orders of magnitude were bonded by the surface activation method. The resistivities of bonded Si wafers were measured as a function of annealing temperature. Using calculations based on a model, the interface resistivities of bonded Si wafers were estimated as a function of the measured resistivities of bonded Si wafers. With thermal treatment from 500degC to 900degC, all interfaces showed high resistivity, with behavior that was close to that of an insulator. Annealing at 1000degC decreased the interface resistivity and showed close to ideal bonding after thermal treatment at 1100degC. (author)

  5. Fabrication and characterization of Al2O3 /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    Directory of Open Access Journals (Sweden)

    Ruiying Zhang

    2015-12-01

    Full Text Available We report on our fabrication and characterization of Al2O3/Si composite nanodome (CND structures, which is composed of Si nanodome structures with a conformal cladding Al2O3 layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al2O3thin film coating using atomic layer deposition (ALD to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0° to 45° is achieved when the Al2O3 film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al2O3 film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10−9 A/cm2 over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiOx layer formed between the interface of Si and the Al2O3 film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al2O3 coated CND structures is a truly viable approach to achieving higher device efficiency.

  6. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    Science.gov (United States)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/2→2FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  7. Fate of half-metallicity near interfaces: The case of NiMnSb/MgO and NiMnSi/MgO

    KAUST Repository

    Zhang, Ruijing

    2014-08-27

    The electronic and magnetic properties of the interfaces between the half-metallic Heusler alloys NiMnSb, NiMnSi, and MgO have been investigated using first-principles density-functional calculations with projector augmented wave potentials generated in the generalized gradient approximation. In the case of the NiMnSb/MgO (100) interface, the half-metallicity is lost, whereas the MnSb/MgO contact in the NiMnSb/MgO (100) interface maintains a substantial degree of spin polarization at the Fermi level (∼60%). Remarkably, the NiMnSi/MgO (111) interface shows 100% spin polarization at the Fermi level, despite considerable distortions at the interface, as well as rather short Si/O bonds after full structural optimization. This behavior markedly distinguishes NiMnSi/MgO (111) from the corresponding NiMnSb/CdS and NiMnSb/InP interfaces. © 2014 American Chemical Society.

  8. Structure and magnetic properties of SiO{sub 2}/PCL novel sol–gel organic–inorganic hybrid materials

    Energy Technology Data Exchange (ETDEWEB)

    Catauro, Michelina, E-mail: michelina.catauro@unina2.it [Department of Industrial and Information Engineering, Second University of Naples, Via Roma 29, 81031 Aversa (Italy); Bollino, Flavia [Department of Industrial and Information Engineering, Second University of Naples, Via Roma 29, 81031 Aversa (Italy); Cristina Mozzati, Maria [Department of Physics, CNISM and INSTM, University of Pavia, Via Bassi 6, 27100 Pavia (Italy); Ferrara, Chiara; Mustarelli, Piercarlo [Department of Chemistry, Section of Physical Chemistry, University of Pavia and INSTM, Via Taramelli 16, 27100 Pavia (Italy)

    2013-07-15

    Organic–inorganic nanocomposite materials have been synthesized via sol–gel. They consist of an inorganic SiO{sub 2} matrix, in which different percentages of poly(ε-caprolactone) (PCL) have been incorporated. The formation of H-bonds among the carbonyl groups of the polymer chains and Si–OH group of the inorganic matrix has been proved by means of Fourier transform infrared spectroscopy (FT-IR) analysis and has been confirmed by solid-state nuclear magnetic resonance (NMR). X-Ray diffraction (XRD) analysis highlighted the amorphous nature of the synthesized materials. Scanning electron microscope (SEM) micrograph and atomic force microscope (AFM) topography showed their homogeneous morphology and nanostructure nature. Considering the opportunity to synthesize these hybrid materials under microgravity conditions by means of magnetic levitation, superconducting quantum interference device (SQUID) magnetometry has been used to quantify their magnetic susceptibility. This measure has shown that the SiO{sub 2}/PCL hybrid materials are diamagnetic and that their diamagnetic susceptibility is independent of temperature and increases with the PCL amount. - Graphical abstract: Characterization and magnetic properties of SiO{sub 2}/PCL organic–inorganic hybrid materials synthesized via sol–gel. FT-IR, Fourier transform infrared spectroscopy; solid-state NMR: solid-state nuclear magnetic resonance; SQUID: superconducting quantum interference device. - Highlights: • Sol–gel synthesis of SiO{sub 2}/PCL amorphous class I organic–inorganic hybrid materials. • FT-IR and NMR analyses show the hydrogen bonds formation between SiO{sub 2} and PCL. • AFM and SEM analyses confirm that the SiO{sub 2}/PCL are homogenous hybrid materials. • The SQUID measures show that the simples are diamagnetic. • Diamagnetic susceptibility of SiO{sub 2}/PCL materials increases with the PCL amount.

  9. THE THERMODYNAMIC PROPERTIES OF MELTS OF DOUBLE SYSTEM MgO – Al2O3, MgOSiO2, MgO – CaF2, Al2O3 – SiO2, Al2O3 – CaF2, SiO2 – CaF2

    Directory of Open Access Journals (Sweden)

    В. Судавцова

    2012-04-01

    Full Text Available Methodology of prognostication of thermodynamics properties of melts is presented from the coordinatesof liquidus of diagram of the state in area of equilibria a hard component is solution, on which energies ofmixing of Gibbs are expected in the double border systems of MgO – Al2O3, MgOSiO2, MgO – CaF2,Al2O3 – SiO2, Al2O3 - CaF2, SiO2 - CaF2. For the areas of equilibrium there is quasibinary connection(MgAl2O4, Mg2SiO4, Al6Si2O13 – a grout at calculations was used equalization of Hauffe-Wagner. Theobtained data comport with literary

  10. Effect of Ti and Si interlayer materials on the joining of SiC ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Yang Il; Park, Jung Hwan; Kim, Hyun Gil; Park, Dong Jun; Park, Jeong Yong; Kim, Weon Ju [LWR Fuel Technology Division, Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-08-15

    SiC-based ceramic composites are currently being considered for use in fuel cladding tubes in light-water reactors. The joining of SiC ceramics in a hermetic seal is required for the development of ceramic-based fuel cladding tubes. In this study, SiC monoliths were diffusion bonded using a Ti foil interlayer and additional Si powder. In the joining process, a very low uniaxial pressure of ∼0.1 MPa was applied, so the process is applicable for joining thin-walled long tubes. The joining strength depended strongly on the type of SiC material. Reaction-bonded SiC (RB-SiC) showed a higher joining strength than sintered SiC because the diffusion reaction of Si was promoted in the former. The joining strength of sintered SiC was increased by the addition of Si at the Ti interlayer to play the role of the free Si in RB-SiC. The maximum joint strength obtained under torsional stress was ∼100 MPa. The joint interface consisted of TiSi{sub 2}, Ti{sub 3}SiC{sub 2}, and SiC phases formed by a diffusion reaction of Ti and Si.

  11. Probing Metal Carbonation Reactions of CO2 in a Model System Containing Forsterite and H2O Using Si-29, C-13 Magic Angle Sample Spinning NMR Spectroscopy

    Science.gov (United States)

    Hu, J.; Kwak, J.; Hoyt, D. W.; Sears, J. A.; Rosso, K. M.; Felmy, A. R.

    2009-12-01

    Ex situ solid state NMR have been used for the first time to study fundamental mineral carbonation processes and reaction extent relevant to geologic carbon sequestration using a model silicate mineral forsterite (Mg2SiO4)+scCO2 with and without H2O. Run conditions were 80C and 96 bar. Si-29 NMR clearly shows that in the absence of CO2, the role of H2O is to hydrolyze surface Mg-O-Si bonds to produce Mg2+, and mono- and oligomeric hydroxylated silica species. The surface hydrolysis products contain only Q0 (Si(OH)4) and Q1 (Si(OH)3OSi) species. An equilibrium between Q0, Q1 and Mg2+ with a saturated concentration equivalent to less than 3.2% of the Mg2SiO4 conversion is obtained at a reaction time of up to 7 days. Using scCO2 without H2O, no reaction is observed within 7 days. Using both scCO2 and H2O, the surface reaction products for silica are mainly Q3 (SiOH(OSi)3) species accompanied by a lesser amount of Q2 (Si(OH)2(OSi)2) and Q4 (Si(OSi)4). However, no Q0 and Q1 were detected, indicating the carbonic acid formation/deprotonation and magnesite (MgCO3) precipitation reactions are faster than the forsterite hydrolysis process. Thus it can be concluded that the Mg2SiO4 hydrolysis process is the rate limiting step of the overall mineral carbonation process. Si-29 NMR combined with XRD, TEM, SAED and EDX further reveal that the reaction is a surface reaction with the Mg2SiO4 crystallite in the core and with condensed Q2-Q4 species forming amorphous surface layers. C-13 MAS NMR identified a possible reaction intermediates as (MgCO3)4*Mg(OH)2*5H2O. However, at long reaction times only crystallite magnesite MgCO3 products are observed. This research is part of a broader effort at PNNL to develop experimental tools and fundamental insights into chemical transformations affecting subsurface CO2 reactive transport. Si-29 (left) and C-13 (right) MAS NMR spectra of Mg2SiO4 under various reaction conditions. Si-29 NMR reveals that in scCO2 without H2O, no reaction is

  12. Synergistic effect of displacement damage, helium and hydrogen on microstructural change of SiC/SiC composites fabricated by reaction bonding process

    Energy Technology Data Exchange (ETDEWEB)

    Taguchi, T.; Igawa, N.; Wakai, E.; Jitsukawa, S. [Japan Atomic Energy Agency, Naga-gun, Ibaraki-ken (Japan); Hasegawa, A. [Tohoku Univ., Dept. of Quantum Science and Energy Engr., Sendai (Japan)

    2007-07-01

    Full text of publication follows: Continuous silicon carbide (SiC) fiber reinforced SiC matrix (SiC/SiC) composites are known to be attractive candidate materials for first wall and blanket components in fusion reactors. In the fusion environment, helium and hydrogen are produced and helium bubbles can be formed in the SiC by irradiation of 14-MeV neutrons. Authors reported the synergistic effect of helium and hydrogen as transmutation products on swelling behavior and microstructural change of the SiC/SiC composites fabricated by chemical vapor infiltration (CVI) process. Authors also reported about the fabrication of high thermal conductive SiC/SiC composites by reaction bonding (RB) process. The matrix fabricated by RB process has different microstructures such as bigger grain size of SiC and including Si phase as second phase from that by CVI process. It is, therefore, investigated the synergistic effect of displacement damage, helium and hydrogen as transmutation products on the microstructure of SiC/SiC composite by RB process in this study. The SiC/SiC composites by RB process were irradiated by the simultaneous triple ion irradiation (Si{sup 2+}, He{sup +} and H{sup +}) at 800 and 1000 deg. C. The displacement damage was induced by 6.0 MeV Si{sup 2+} ion irradiation up to 10 dpa. The microstructures of irradiated SiC/SiC composites by RB process were observed by TEM. The double layer of carbon and SiC as interphase between fiber and matrix by a chemical vapor deposition (CVD) was coated on SiC fibers in the SiC/SiC composites by RB process. The TEM observation revealed that He bubbles were formed both in the matrix by RB and SiC interphase by CVD process. Almost all He bubbles were formed at the grain boundary in SiC interphase by CVD process. On the other hand, He bubbles were formed both at the grain boundary and in Si grain of the matrix by RB process. The average size of He bubbles in the matrix by RB was smaller than that in SiC interphase by CVD

  13. Probing properties of the interfacial perimeter sites in TiO x /Au/SiO 2 with 2-propanol decomposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Yi Y.; Kung, Harold H. (NWU)

    2017-11-01

    The decomposition of 2-propanol was studied over SiO2, SiO2 with an overlayer of TiO2 (Ti/SiO2), Au/SiO2, and Au/SiO2 with an overlayer of TiO2 (Ti/[Au/SiO2]) at 170–190 °C. There was no reaction on SiO2. Propene was the only product on Ti/SiO2, and its rate of formation increased proportionally with the Ti content. Acetone was the major product (selectivity 65–99%) on all Au-containing catalysts. Its rate of formation also increased with Ti loading. In addition, small amounts of propene were also formed on Ti/[Au/SiO2] the rate of which increased with Ti loading. Characterization of the catalysts with N2 adsorption, STEM, DR-UV-vis spectroscopy, XPS, XANES and EXAFS suggested that the Ti formed an amorphous TiO2 overlayer on the catalyst. At high Ti loadings (4–5 wt.%), there were patches of thick porous TiO2 layer, and some microdomains of crystalline TiO2 could be detected. Au was present as 1–3 nm nanoparticles on all catalysts, before and after used in reaction. Only Lewis acid sites were detected based on results from pyridine adsorption, and their quantities increased with Ti loading. Based on the comparison of reaction rates, the dependence of the kinetics on 2-propanol partial pressure, the apparent activation energies, and the effect of co-feeding O2 among different catalysts, it was concluded that propene was formed on the TiO2 overlayer, acetone was formed primarily at the Au-TiO2 interfacial perimeter sites, and α-C-H bond breaking preceding acetone formation was more facile on Au at the interfacial site than other surface Au atoms. Implication of these results to the selective acetone formation in the oxidation of propane in the presence of a O2/H2 mixture was discussed.

  14. Cathodoluminescence properties of SiO2:Pr3+and ZnO.SiO2:Pr3+ phosphor nanopowders

    CSIR Research Space (South Africa)

    Mhlongo, GH

    2010-10-01

    Full Text Available regardless of the incorporation of Pr3+ and nanocrystalline ZnO or annealing at 600 °C. The particles were mostly spherical and agglomerated as confirmed by Field Emission Scanning Electron Microscopy. Thermogravimetric analysis of dried gels performed... Science, vol. 45(19): 5228-5236 Cathodoluminescence properties of SiO2:Pr 3+and ZnO·SiO2:Pr 3+ phosphor nanopowders G. H. Mhlongo, O. M. Ntwaeaborwa, M. S. Dhlamini, H. C. Swart, K. T. Hillie ABSTRACT: The successful incorporation of Zn...

  15. Mechanics of patterned helical Si springs on Si substrate.

    Science.gov (United States)

    Liu, D L; Ye, D X; Khan, F; Tang, F; Lim, B K; Picu, R C; Wang, G C; Lu, T M

    2003-12-01

    The elastic response, including the spring constant, of individual Si helical-shape submicron springs, was measured using a tip-cantilever assembly attached to a conventional atomic force microscope. The isolated, four-turn Si springs were fabricated using oblique angle deposition with substrate rotation, also known as the glancing angle deposition, on a templated Si substrate. The response of the structures was modeled using finite elements, and it was shown that the conventional formulae for the spring constant required modifications before they could be used for the loading scheme used in the present experiment.

  16. Structural and electronic properties of the transition layer at the SiO2/4H-SiC interface

    Directory of Open Access Journals (Sweden)

    Wenbo Li

    2015-01-01

    Full Text Available Using first-principles methods, we generate an amorphous SiO2/4H-SiC interface with a transition layer. Based this interface model, we investigate the structural and electronic properties of the interfacial transition layer. The calculated Si 2p core-level shifts for this interface are comparable to the experimental data, indicating that various SiCxOy species should be present in this interface transition layer. The analysis of the electronic structures reveals that the tetrahedral SiCxOy structures cannot introduce any of the defect states at the interface. Interestingly, our transition layer also includes a C-C=C trimer and SiO5 configurations, which lead to the generation of interface states. The accurate positions of Kohn-Sham energy levels associated with these defects are further calculated within the hybrid functional scheme. The Kohn-Sham energy levels of the carbon trimer and SiO5 configurations are located near the conduction and valence band of bulk 4H-SiC, respectively. The result indicates that the carbon trimer occurred in the transition layer may be a possible origin of near interface traps. These findings provide novel insight into the structural and electronic properties of the realistic SiO2/SiC interface.

  17. Development of Readout Interconnections for the Si-W Calorimeter of SiD

    Energy Technology Data Exchange (ETDEWEB)

    Woods, M.; Fields, R.G.; Holbrook, B.; Lander, R.L.; Moskaleva, A.; Neher, C.; Pasner, J.; Tripathi, M.; /UC, Davis; Brau, J.E.; Frey, R.E.; Strom, D.; /Oregon U.; Breidenbach, M.; Freytag, D.; Haller, G.; Herbst, R.; Nelson, T.; /SLAC; Schier, S.; Schumm, B.; /UC, Santa Cruz

    2012-09-14

    The SiD collaboration is developing a Si-W sampling electromagnetic calorimeter, with anticipated application for the International Linear Collider. Assembling the modules for such a detector will involve special bonding technologies for the interconnections, especially for attaching a silicon detector wafer to a flex cable readout bus. We review the interconnect technologies involved, including oxidation removal processes, pad surface preparation, solder ball selection and placement, and bond quality assurance. Our results show that solder ball bonding is a promising technique for the Si-W ECAL, and unresolved issues are being addressed.

  18. Synthesis, structure and chemical bonding of CaFe2−xRhxSi2 (x=0, 1.32, and 2) and SrCo2Si2

    International Nuclear Information System (INIS)

    Hlukhyy, Viktor; Hoffmann, Andrea V.; Fässler, Thomas F.

    2013-01-01

    The finding of superconductivity in Ba 0.6 K 0.4 Fe 2 As 2 put the attention on the investigation of compounds that crystallize with ThCr 2 Si 2 structure type such as AT 2 X 2 (A=alkali/alkaline earth/rare earth element; T=transition metal and X=element of the 13–15th group). In this context the silicides CaFe 2 Si 2 , CaFe 0.68(6) Rh 1.32(6) Si 2 , CaRh 2 Si 2 and SrCo 2 Si 2 have been synthesized by reaction of the elements under an argon atmosphere. Single crystals were obtained by special heat treatment in welded niobium/tantalum ampoules. The compounds were investigated by means of powder and single crystal X-ray diffraction. All compounds crystallize in the ThCr 2 Si 2 -type structure with space group I4/mmm (No. 139): a=3.939(1) Å, c=10.185(1) Å, R 1 =0.045, 85 F 2 values, 8 variable parameters for CaFe 2 Si 2 ; a=4.0590(2) Å, c=9.9390(8) Å, R 1 =0.030, 90 F 2 values, 10 variable parameters for CaFe 0.68(6) Rh 1.32(6) Si 2 ; a=4.0695(1) Å, c=9.9841(3) Å, R 1 =0.031, 114 F 2 values, 9 variable parameters for CaRh 2 Si 2 ; and a=3.974(1) Å, c=10.395(1) Å, R 1 =0.036, 95 F 2 values, 8 variable parameters for SrCo 2 Si 2 . The structure of SrCo 2 Si 2 contains isolated [Co 2 Si 2 ] 2− 2D-layers in the ab-plane whereas in CaFe 2−x Rh x Si 2 the [T 2 Si 2 ] layers (T=Fe and Rh) are interconnected along the c-axis via Si3Si bonds resulting in a three-dimentional (3D) [T 2 Si 2 ] 2− polyanions and therefore belong to the so-called collapsed form of the ThCr 2 Si 2 -type structure. The SrCo 2 Si 2 and CaRh 2 Si 2 are isoelectronic to the parent 122 iron–pnictide superconductors AeFe 2 As 2 (Ae=alkaline earth elements), whereas CaFe 2 Si 2 is a full substituted variant (As/Si) of CaFe 2 As 2 . The crystal chemistry and chemical bonding in the title compounds are discussed in terms of LMTO band structure calculations and a topological analysis using the Electron Localization Function (ELF). - Graphical abstract: The SrCo 2 Si 2 and CaFe 2−x Rh x Si

  19. Thermal evolution of the morphology, structure, and optical properties of multilayer nanoperiodic systems produced by the vacuum evaporation of SiO and SiO2

    International Nuclear Information System (INIS)

    Ershov, A. V.; Chugrov, I. A.; Tetelbaum, D. I.; Mashin, A. I.; Pavlov, D. A.; Nezhdanov, A. V.; Bobrov, A. I.; Grachev, D. A.

    2013-01-01

    The alternate vacuum evaporation of SiO and SiO 2 from separate sources is used to produce amorphous a-SiO x /SiO 2 multilayer nanoperiodic structures with periods of 5–10 nm and a number of layers of up to 64. The effect of annealing at temperatures T a = 500–1100°C on the structural and optical properties of the nanostructures is studied. The results of transmission electron microscopy of the samples annealed at 1100°C indicate the annealing-induced formation of vertically ordered quasiperiodic arrays of Si nanocrystals, whose dimensions are comparable to the a-SiO x -layer thickness in the initial nanostructures. The nanostructures annealed at 1100°C exhibit size-dependent photoluminescence in the wavelength range 750–830 nm corresponding to Si nanocrystals. The data on infrared absorption and Raman scattering show that the thermal evolution of structural and phase state of the SiO x layers with increasing annealing temperature proceeds through the formation of amorphous Si nanoinclusions with the subsequent formation and growth of Si nanocrystals.

  20. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  1. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  2. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  3. A sensitive optical sensor based on DNA-labelled Si@SiO2 core ...

    Indian Academy of Sciences (India)

    2017-10-31

    Oct 31, 2017 ... Si@SiO2 core–shell nanoparticles were proposed for the development of fluorescent mercury ... orophores, due to their unique optical properties, such as .... were made by evaporating one drop of the sample solution on.

  4. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  5. Synthesis, microstructure and magnetic properties of Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jian, E-mail: snove418562@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Fan, Xi’an, E-mail: groupfxa@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Wu, Zhaoyang, E-mail: wustwuzhaoyang@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Li, Guangqiang [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China)

    2015-11-15

    Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core have been synthesized via a modified stöber method combined with following high temperature sintering process. Most of conductive Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by insulating SiO{sub 2} using the modified stöber method. The Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles exhibited good soft magnetic properties with low coercivity and high saturation magnetization. The reaction 4Al+3SiO{sub 2}=2α-Al{sub 2}O{sub 3}+3Si took place during the sintering process. As a result the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{sub 3} composite core displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher electrical resistivity and lower core loss than the pure Fe{sub 3}Si{sub 0.7}Al{sub 0.3} core. The method of introducing insulating layers surrounding magnetic particles provides a promising route to develop new and high compact soft magnetic materials with good magnetic and electric properties. - Graphical abstract: In Fe{sub 3}Si/Al{sub 2}O{sub 3} composite, Fe{sub 3}Si phases are separated by Al{sub 2}O{sub 3} layers and the eddy currents are confined in Fe{sub 3}Si phases, thus increasing resistivity and reducing core loss. - Highlights: • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores were prepared. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by nano-sized SiO{sub 2} clusters. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores showed good soft magnetic properties. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than Fe{sub 3}Si{sub 0.7}Al{sub 0.3} cores.

  6. A new high-pressure phase of Fe2SiO4 and the relationship between spin and structural transitions

    Science.gov (United States)

    Yamanaka, T.; Kyono, A.; Nakamoto, Y.; Kharlamova, S. A.; Struzhkin, V. V.; Gramsch, S.; Mao, H.; Hemley, R. J.

    2013-12-01

    Structure transformation of Fe2SiO4 Angle-dispersive powder x-ray diffraction was carried out at beam line 16-BMD APS. Structure of a new high-pressure phase of I-Fe2SiO4 spinel was determined by Rietveld profile fitting of x-ray diffraction data up to 64GPa at ambient temperature. A structural transition from the cubic spinel to the new structure was observed at 34GPa. Diffraction patterns taken at 44.6GPa and 54.6GPa indicate a two-phase mixture of spinel and new high-pressure phase. Reversible transition from I-Fe2SiO4 to spinel was confirmed. Laser heating experiment at 1500K proved the decomposition of Fe2SiO4 spinel to two oxides of FeO and SiO2. Spin transition X-ray emission measurements of Fe2SiO4 were carried out up to 65GPa at ambient temperature at beam line 16-IDD APS. The spin transition exerts an influence to Fe2SiO4 spinel structure and triggers two distinct curves of the lattice constant in the spinel phase. Although the compression curve of the spinel is discontinuous at approximately 20 GPa, Fe Kβ emission measurements show that the transition from a high spin (HS) to an intermediate spin (IS) state begins at 17GPa in the spinel phase. The IS electronic state is gradually enhanced with pressure, which results in an isostructural phase transition. HS-to-LS transition of iron bearing spinels starts from 15.6GPa in Fe3O4 and 19.6GPa in Fe2TiO4. The transition is more capable due to Fe2+ in the octahedral site. The extremely shortened octahedral bonds result in a distortion of 6-fold cation site. New structure of Fe2SiO4 Monte Carlo method was applied to find candidates for the high-pressure phase using the diffraction intensities with fixed lattice constants determined by DICVOL. Rietveld profile fitting was then performed using the initial model. The new structure is a body centered orthorhombic phase (I-Fe2SiO4) with space group Imma and Z=4, with two crystallographically distinct FeO6 octahedra. Silicon exists in six-fold coordination in I-Fe2SiO

  7. Site-specific forest-assembly of single-wall carbon nanotubes on electron-beam patterned SiOx/Si substrates

    International Nuclear Information System (INIS)

    Wei Haoyan; Kim, Sang Nyon; Kim, Sejong; Huey, Bryan D.; Papadimitrakopoulos, Fotios; Marcus, Harris L.

    2008-01-01

    Based on electron-beam direct writing on the SiO x /Si substrates, favorable absorption sites for ferric cations (Fe 3+ ions) were created on the surface oxide layer. This allowed Fe 3+ -assisted self-assembled arrays of single-wall carbon nanotube (SWNT) probes to be produced. Auger investigation indicated that the incident energetic electrons depleted oxygen, creating more dangling bonds around Si atoms at the surface of the SiO x layer. This resulted in a distinct difference in the friction forces from unexposed regions as measured by lateral force microscopy (LFM). Atomic force microscopy (AFM) affirmed that the irradiated domains absorbed considerably more Fe 3+ ions upon immersion into pH 2.2 aqueous FeCl 3 solution. This rendered a greater yield of FeO(OH)/FeOCl precipitates, primarily FeO(OH), upon subsequent washing with lightly basic dimethylformamide (DMF) solution. Such selective metal-functionalization established the basis for the subsequent patterned forest-assembly of SWNTs as demonstrated by resonance Raman spectroscopy

  8. Evidence for nano-Si clusters in amorphous SiO anode materials for rechargeable Li-ion batteries

    International Nuclear Information System (INIS)

    Sepehri-Amin, H.; Ohkubo, T.; Kodzuka, M.; Yamamura, H.; Saito, T.; Iba, H.; Hono, K.

    2013-01-01

    Atom probe tomography and high resolution transmission electron microscopy have shown the presence of nano-sized amorphous Si clusters in non-disproportionated amorphous SiO powders are under consideration for anode materials in Li-ion batteries. After Li insertion/extraction, no change was found in the chemistry and structure of the Si clusters. However, Li atoms were found to be trapped at the amorphous SiO phase after Li insertion/extraction, which may be attributed to the large capacity fade after the first charge/discharge cycle

  9. Apatite formation on organic polymers by biomimetic process using Na2O-SiO2 glasses as nucleating agent

    Energy Technology Data Exchange (ETDEWEB)

    Tanahashi, M; Yao, t; Kokubo, T [Kyoto University, Kyoto (Japan). Faculty of Engineering; Minoda, M; Miyamoto, T [Kyoto University, Kyoto (Japan). Institute for Chemical Research; Nakamura, T [Kyoto University, Kyoto (Japan). Research Center for Biomedical Engineering; Yamamuro, T [Kyoto University, Kyoto (Japan). Faculty of Medicine

    1994-09-01

    In this investigation, CaO-SiO2-based glass, which was previously used as the nucleating agent, was replaced by Na2O-SiO2 glasses, SiO2 glass, and SiO2 gel. The induction period for the apatite nucleation on various organic polymer substrates and the adhesive strength of the apatite layer to the substrates were examined. It was considered that the short induction period for the glasses with high Na2O contents was attributed to high dissolution rates of sodium and silicate ions from them. It was also considered that highly polar carboxyl or sulfinyl groups were formed on the polymer surfaces by the hydrolysis of their ester, amide or sulfonyl group in simulated body fluid with its pH increased by the Na{sup +} dissolution from the glass, and that these polar groups formed a strong bond with the apatite. It is suggested that thus formed apatite-organic polymer composites are useful as the bone-repairing as well as soft tissue-repairing materials. 11 refs., 13 figs., 3 tabs.

  10. Atomic-scale models of early-stage alkali depletion and SiO2-rich gel formation in bioactive glasses.

    Science.gov (United States)

    Tilocca, Antonio

    2015-01-28

    Molecular dynamics simulations of Na(+)/H(+)-exchanged 45S5 Bioglass® models reveal that a large fraction of the hydroxyl groups introduced into the proton-exchanged, hydrated glass structure do not initially form covalent bonds with Si and P network formers but remain free and stabilised by the modifier metal cations, whereas substantial Si-OH and P-OH bonding is observed only at higher Na(+)/H(+) exchange levels. The strong affinity between free OH groups and modifier cations in the highly fragmented 45S5 glass structure appears to represent the main driving force for this effect. This suggests an alternative direct route for the formation of a repolymerised silica-rich gel in the early stages of the bioactive mechanism, not considered before, which does not require sequential repeated breakings of Si-O-Si bonds and silanol condensations.

  11. Resonant tunneling with high peak to valley current ratio in SiO2/nc-Si/SiO2 multi-layers at room temperature

    International Nuclear Information System (INIS)

    Chen, D. Y.; Sun, Y.; He, Y. J.; Xu, L.; Xu, J.

    2014-01-01

    We have investigated carrier transport in SiO 2 /nc-Si/SiO 2 multi-layers by room temperature current-voltage measurements. Resonant tunneling signatures accompanied by current peaks are observed. Carrier transport in the multi-layers were analyzed by plots of ln(I/V 2 ) as a function of 1/V and ln(I) as a function of V 1/2 . Results suggest that besides films quality, nc-Si and barrier sub-layer thicknesses are important parameters that restrict carrier transport. When thicknesses are both small, direct tunneling dominates carrier transport, resonant tunneling occurs only at certain voltages and multi-resonant tunneling related current peaks can be observed but with peak to valley current ratio (PVCR) values smaller than 1.5. When barrier thickness is increased, trap-related and even high field related tunneling is excited, causing that multi-current peaks cannot be observed clearly, only one current peak with higher PVCR value of 7.7 can be observed. While if the thickness of nc-Si is large enough, quantum confinement is not so strong, a broad current peak with PVCR value as high as 60 can be measured, which may be due to small energy difference between the splitting energy levels in the quantum dots of nc-Si. Size distribution in a wide range may cause un-controllability of the peak voltages

  12. Ternary silicides ScIr{sub 4}Si{sub 2} and RERh{sub 4}Si{sub 2} (RE = Sc, Y, Tb-Lu) and quaternary derivatives RERh{sub 4}Si{sub 2-x}Sn{sub x} (RE = Y, Nd, Sm, Gd-Lu) - structure, chemical bonding, and solid state NMR spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Vosswinkel, Daniel; Benndorf, Christopher; Poettgen, Rainer [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie; Eckert, Hellmut [Muenster Univ. (Germany). Inst. fuer Physikalische Chemie; Sao Paulo Univ., Sao Carlos (Brazil). Inst. of Physics; Matar, Samir F. [Bordeaux Univ., CNRS, ICMCB, UPR 9048, Pessac (France)

    2016-11-01

    The silicides ScIr{sub 4}Si{sub 2} and RERh{sub 4}Si{sub 2} (RE = Sc, Y, Tb-Lu) and silicide stannides RERh{sub 4}Si{sub 2-x}Sn{sub x}(RE = Y, Nd, Sm, Gd-Lu) were synthesized from the elements by arc-melting and subsequent annealing. The new compounds crystallize with the orthorhombic YRh{sub 4}Ge{sub 2} type structure, space group Pnma. They were characterized by X-ray powder patterns and several structures were refined from single crystal X-ray diffractometer data. The main structural motifs of this series of silicides are tricapped trigonal prisms formed by the transition metal and rare earth atoms. One of the two crystallographically independent silicon sites allows for formation of solid solutions with tin, exemplarily studied for ErRh{sub 4}Si{sub 2-x}Sn{sub x}. Electronic structure calculations reveal strong covalent Rh-Si bonding as the main stability factor. Multinuclear ({sup 29}Si, {sup 45}Sc, and {sup 89}Y) magic-angle spinning (MAS) NMR spectra of the structure representatives with diamagnetic rare-earth elements (Sc, Y, Lu) are found to be consistent with the crystallographic data and specifically confirm the selective substitution of Sn in the Si2 sites in the quaternary compounds YRh{sub 4}SiSn and LuRh{sub 4}SiSn.

  13. Discrete impurity band from surface danging bonds in nitrogen and phosphorus doped SiC nanowires

    Science.gov (United States)

    Li, Yan-Jing; Li, Shu-Long; Gong, Pei; Li, Ya-Lin; Cao, Mao-Sheng; Fang, Xiao-Yong

    2018-04-01

    The electronic structure and optical properties of the nitrogen and phosphorus doped silicon carbide nanowires (SiCNWs) are investigated using first-principle calculations based on density functional theory. The results show doping can change the type of the band gap and improve the conductivity. However, the doped SiCNWs form a discrete impurity levels at the Fermi energy, and the dispersion degree decreases with the diameter increasing. In order to reveal the root of this phenomenon, we hydrogenated the doped SiCNWs, found that the surface dangling bonds were saturated, and the discrete impurity levels are degeneracy, which indicates that the discrete impurity band of the doped SiCNWs is derived from the dangling bonds. The surface passivation can degenerate the impurity levels. Therefore, both doping and surface passivation can better improve the photoelectric properties of the SiCNWs. The result can provide additional candidates in producing nano-optoelectronic devices.

  14. Electronic structures and Eu3+ photoluminescence behaviors in Y2Si2O7 and La2Si2O7

    International Nuclear Information System (INIS)

    Zhang Zhiya; Wang Yuhua; Zhang Feng; Cao Haining

    2011-01-01

    Research highlights: → Host excitation near the band gap of Y 2 Si 2 O 7 and La 2 Si 2 O 7 is analyzed. → The calculated result well explains Eu 3+ PL behaviors in Y 2 Si 2 O 7 and La 2 Si 2 O 7 . → The electronic structure and Eu 3+ VUV PL in La 2 Si 2 O 7 are first estimated. - Abstract: The electronic structures and linear optical properties of Y 2 Si 2 O 7 (YSO) and La 2 Si 2 O 7 (LSO) are calculated by LDA method based on the theory of DFT. Both YSO and LSO are direct-gap materials with the direct band gap of 5.89 and 6.06 eV, respectively. The calculated total and partial density of states indicate that in both YSO and LSO the valence band (VB) is mainly constructed from O 2p and the conduction band (CB) is mostly formed from Y 4d or La 5d. Both the calculated VB and CB of YSO exhibit relatively wider dispersion than that of LSO. In addition, the CB of YSO presents more electronic states. Meanwhile, the VB of LSO shows narrower energy distribution with higher electronic states density. The theoretical absorption of YSO shows larger bandwidth and higher intensity than that of LSO. The results are compared with the experimental host excitations and impurity photoluminescence in Eu 3+ -doped YSO and LSO.

  15. A spot laser modulated resistance switching effect observed on n-type Mn-doped ZnO/SiO2/Si structure.

    Science.gov (United States)

    Lu, Jing; Tu, Xinglong; Yin, Guilin; Wang, Hui; He, Dannong

    2017-11-09

    In this work, a spot laser modulated resistance switching (RS) effect is firstly observed on n-type Mn-doped ZnO/SiO 2 /Si structure by growing n-type Mn-doped ZnO film on Si wafer covered with a 1.2 nm native SiO 2 , which has a resistivity in the range of 50-80 Ω∙cm. The I-V curve obtained in dark condition evidences the structure a rectifying junction, which is further confirmed by placing external bias. Compared to the resistance state modulated by electric field only in dark (without illumination), the switching voltage driving the resistance state of the structure from one state to the other, shows clear shift under a spot laser illumination. Remarkably, the switching voltage shift shows a dual dependence on the illumination position and power of the spot laser. We ascribe this dual dependence to the electric filed produced by the redistribution of photo-generated carriers, which enhance the internal barrier of the hetero-junction. A complete theoretical analysis based on junction current and diffusion equation is presented. The dependence of the switching voltage on spot laser illumination makes the n-type Mn-doped ZnO/SiO 2 /Si structure sensitive to light, which thus allows for the integration of an extra functionality in the ZnO-based photoelectric device.

  16. The hydrophobic and omnidirectional antireflection coating of SiO2 nanospheres with C18-TEOS

    Science.gov (United States)

    Hsu, Cheng-Chih; Lan, Wen-Lin; Chen, Nien-Po; Wu, Chyan-Chyi

    2014-06-01

    This paper demonstrates the antireflection coating of SiO2 nanospheres applied to cover glass by using the optimal spin-coating method. Because of the hydrolysis and condensation reactions between the SiO2 nanosphere antireflection (AR) coating and n-octadecyltriethoxysilane solution (C18-TEOS), the contact angle of the AR coating with hydrophobic treatment is improved approximately 38%, and the moisture-resistance remains unchanged, which preserved similar transmittance for six weeks. Furthermore, the AR coating with hydrophobic treatment exhibits approximately 3% and 7% improvement in the transmittance at normal and oblique incidence, respectively. The hydrophobic and omnidirectional AR coating with nanoscale SiO2 particles can be fabricated using the proposed simple and economical method.

  17. Characterization of modified SiC@SiO2 nanocables/MnO2 and their potential application as hybrid electrodes for supercapacitors.

    Science.gov (United States)

    Zhang, Yujie; Chen, Junhong; Fan, Huili; Chou, Kuo-Chih; Hou, Xinmei

    2015-12-14

    In this research, we demonstrate a simple route for preparing SiC@SiO2 core-shell nanocables and furthermore obtain SiC@SiO2 nanocables/MnO2 as hybrid electrodes for supercapacitors using various modified methods. The modified procedure consists of mild modifications using sodium hydroxide as well as UV light irradiation and deposition of MnO2. The morphology and microstructural characteristics of the composites are investigated using XRD, XPS, FE-SEM with EDS and TEM. The results indicate that the surfaces of modified SiC@SiO2 nanocables are uniformly coated with a MnO2 thin layer. The electrochemical behaviors of the hybrid electrodes are systematically measured in a three-electrode system using cyclic voltammetry, galvanostatic charge/discharge and electrochemical impedance spectroscopy. The resultant electrode presents a superb charge storage characteristic with a large specific capacitance of 276.3 F g(-1) at the current density of 0.2 A g(-1). Moreover, the hybrid electrode also displays a long cycle life with a good capacitance retention (∼92.0%) after 1000 CV cycles, exhibiting a promising potential for supercapacitors.

  18. Contribution to the study of metal-oxide-semiconductor devices with optical access. In2O3-SiO2-Si structure

    International Nuclear Information System (INIS)

    Thenoz, Yves.

    1974-01-01

    A general study of the fabrication of the structure In 2 O 3 /SiO 2 /Si was made encompassing the problems posed during the realization of these structures. The sputtering study enabled the influence of the main parameters on layer properties to be determined. The decisive importance of clean conditions throughout fabrication (especially during sputtering) on the properties of In 2 O 3 layers and on those of the structure and its stability was revealed. However, the problem of ageing of the structure were not investigated. Finally, the construction of MOS capacitors and transistors showed that In 2 O 3 /SiO 2 /Si structures can be used in MOS circuits [fr

  19. Wafer-level integration of NiTi shape memory alloy on silicon using Au–Si eutectic bonding

    International Nuclear Information System (INIS)

    Gradin, Henrik; Bushra, Sobia; Braun, Stefan; Stemme, Göran; Van der Wijngaart, Wouter

    2013-01-01

    This paper reports on the wafer level integration of NiTi shape memory alloy (SMA) sheets with silicon substrates through Au–Si eutectic bonding. Different bond parameters, such as Au layer thicknesses and substrate surface treatments were evaluated. The amount of gold in the bond interface is the most important parameter to achieve a high bond yield; the amount can be determined by the barrier layers between the Au and Si or by the amount of Au deposition. Deposition of a gold layer of more than 1 μm thickness before bonding gives the most promising results. Through patterning of the SMA sheet and by limiting bonding to small areas, stresses created by the thermal mismatch between Si and NiTi are reduced. With a gold layer of 1 μm thickness and bond areas between 200 × 200 and 800 × 800 μm 2 a high bond strength and a yield above 90% is demonstrated. (paper)

  20. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  1. The Co-60 gamma-ray irradiation effects on the Al/HfSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Karacali, H.; Yilmaz, E.

    2017-12-01

    In this work, the initial interface trap density (Nit) to examine device compability for microelectronics and then the Co-60 gamma irradiation responses of Al/HfSiO4/p-Si/Al (MOS) capacitors were investigated in various dose ranges up to 70 Gy. Pre-irradiation response of the devices was evaluated from high frequency (HF) and low frequency (LF) capacitance method and the Nit was calculated as 9.91 × 1011 cm-2 which shows that the HfSiO4/p-Si interface quality is convenient for microelectronics applications. The irradiation responses of the devices were carried out from flat-band and mid-gap voltage shifts obtained from stretch of capacitance characteristics prior to and after irradiation. The results show that the flat band voltages very slightly shifted to positive voltage values demonstrating the enhancement of negative charge trapping in device structure. The sensitivity of the Al/HfSiO4/p-Si/Al MOS capacitors was found to be 4.41 mV/Gy for 300 nm-thick HfSiO4 gate dielectrics. This value approximately 6.5 times smaller compared to the same thickness conventional SiO2 based MOS devices. Therefore, HfSiO4 exhibits crucial irradiation tolerance in gamma irradiation environment. Consequently, HfSiO4 dielectrics may have significant usage for microelectronic technology as a radiation hard material where radiation field exists such as in space applications.

  2. A novel ITO/AZO/SiO2/p-Si frame SIS heterojunction fabricated by magnetron sputtering

    International Nuclear Information System (INIS)

    He, Bo; Wang, HongZhi; Li, YaoGang; Ma, ZhongQuan; Xu, Jing; Zhang, QingHong; Wang, ChunRui; Xing, HuaiZhong; Zhao, Lei; Rui, YiChuan

    2013-01-01

    Highlights: •Because the ITO/AZO double films lead to a great decrease of the lateral resistance. •The photon current can easily flow through top film entering the Cu front contact. •High photocurrent is obtained under a reverse bias. -- Abstract: The novel ITO/AZO/SiO 2 /p-Si SIS heterojunction has been fabricated by low temperature thermal oxidation an ultrathin silicon dioxide and RF sputtering deposition ITO/AZO double films on p-Si (1 0 0) polished substrate. The microstructural, optical and electrical properties of the ITO/AZO antireflection films were characterized by XRD, SEM, UV–VIS spectrophotometer, four point probe and Hall effect measurement, respectively. The results show that ITO/AZO films are of good quality. And XPS was carried out on the ultrathin SiO 2 film. The heterojunction shows strong rectifying behavior under a dark condition, which reveals that formation of a diode between AZO and p-Si. The ideality factor and the saturation current of this diode is 2.7 and 8.68 × 10 −5 A, respectively. High photocurrent is obtained under a reverse bias when the crystalline quality of ITO/AZO double films is good enough to transmit the light into p-Si. We can see that under reverse bias conditions the photocurrent of ITO/AZO/SiO 2 /p-Si SIS heterojunction is much higher than the photocurrent of AZO/SiO 2 /p-Si SIS heterojunction. Because the high quality crystallite and the good conductivity of ITO film which prepared by magnetron-sputtering on AZO film lead to a great decrease of the lateral resistance. The photon induced current can easily flow through ITO layer entering the Cu front contact. Thus, high photocurrent is obtained under a reverse bias

  3. Synthesis and photoluminescence of Ca-(Sn,Ti)-Si-O compounds

    International Nuclear Information System (INIS)

    Abe, Shunsuke; Yamane, Hisanori; Yoshida, Hisashi

    2010-01-01

    The phase relation of the compounds prepared in the CaO-SnO 2 -SiO 2 system at 1673 K and in the CaO-TiO 2 -SiO 2 system at 1573 K was investigated in order to explore new Ti 4+ -activated stannate phosphors. Solid solutions of Ca(Sn 1-x Ti x )SiO 5 and Ca 3 (Sn 1-y Ti y )Si 2 O 9 were synthesized at x = 0-1.0 and y = 0-0.10, respectively, and their crystal structures were analyzed by powder X-ray diffraction. Photoluminescence of these solid solutions was observed in a broad range of a visible light wavelength region under ultraviolet (UV) light excitation. The peaks of the emission band of Ca(Sn 0.97 Ti 0.03 )SiO 5 and Ca 3 (Sn 0.925 Ti 0.075 )Si 2 O 9 were at 510 nm under excitation of 252 nm and at 534 nm under excitation of 258 nm, respectively. The absorption edges estimated by the diffuse reflectance spectra were at 300 nm (4.1 eV) for CaSnSiO 5 and at 270 nm (4.6 eV) for Ca 3 SnSi 2 O 9 , suggesting that the excitation levels in Ca(Sn 1-x Ti x )SiO 5 were above the band gap of the host, although the levels in Ca 3 (Sn 1-y Ti y )Si 2 O 9 were within the band gap and near the conduction band edge.

  4. Combined RBS and TEM characterization of nano-SiGe layers embedded in SiO2

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Sangrador, J.; Rodriguez, A.; Rodriguez, T.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Grazing incidence RBS has been tested as a technique to detect and characterize SiGe nanoparticles embedded in a SiO 2 matrix. Suitable structures were deposited by low pressure chemical vapour deposition and characterized by TEM and RBS. The layers containing nanoparticles have been modelled by stacks of sublayers consisting of SiGeO layers with compositions calculated according to presumed shapes, sizes, Si/Ge ratios and particle area densities and used as input for RUMP. The nanoparticle parameters obtained by fitting the experimental RBS spectra agree well with the findings by TEM. This demonstrates that RBS is a useful and fast technique to characterize this kind of structures

  5. Preparation of Si and O co-solution strengthened Ti alloys by using rice husks as SiO2 resource and quantitative descriptions on their strengthening effects

    Science.gov (United States)

    Jia, Lei; Chen, Jiang-xian; Lu, Zhen-lin; Li, Shu-feng; Umeda, Junko; Kondoh, Katsuyoshi

    2018-04-01

    Ti alloys strengthened by both Si and O solutes were prepared by powder metallurgy method from pure Ti and amorphous SiO2 powder obtained by combusting rice husks. At the same time, Ti alloys singly strengthened by Si or O were also prepared for studying the strengthening effect of Si and O solutes. Results showed that amorphous SiO2 powder originated from rice husks could almost fully dissolve into pure Ti matrix when the content was not higher than 1.0 wt%, while higher content of SiO2 addition resulted in the formation of Ti5Si3 intermetallics. Si and O elements leaded to negative and positive distortion of Ti lattice, and the influencing degrees were ‑0.02 and +0.014 Å/wt% for lattice constant a, while ‑0.05 and +0.046 Å/wt% for constant c, respectively. Solid solution of Si and O would also result in the increase of hardness, which was 98.5 and 209.43 HV/wt%, respectively. When Si and O were co-exsited in Ti matrix, the negative and positive distortion cancelled each other, while the strengthening effect did not cancel but enhance each other.

  6. Facile fabrication and electrical investigations of nanostructured p-Si/n-TiO2 hetero-junction diode

    Science.gov (United States)

    Kumar, Arvind; Mondal, Sandip; Rao, K. S. R. Koteswara

    2018-05-01

    In this work, we have fabricated the nanostructured p-Si/n-TiO2 hetero-junction diode by using a facile spin-coating method. The XRD analysis suggests the presence of well crystalline anatase TiO2 film on Si with small grain size (˜16 nm). We have drawn the band alignment using Anderson model to understand the electrical transport across the junction. The current-voltage (J-V) characteristics analysis reveals the good rectification ratio (103 at ± 3 V) and slightly higher ideality factor (4.7) of our device. The interface states are responsible for the large ideality factor as Si/TiO2 form a dissimilar interface and possess a large number of dangling bonds. The study reveals the promises to be used Si/TiO2 diode as an alternative to the traditional p-n homo-junction diode, which typically require high budget.

  7. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  8. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  9. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)

  10. Si/C and H coadsorption at 4H-SiC{0001} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wachowicz, E., E-mail: elwira@ifd.uni.wroc.pl [Institute of Experimental Physics, University of Wrocław, Plac M. Borna 9, PL-50-204 Wrocław (Poland); Interdisciplinary Centre for Mathematical and Computational Modelling, University of Warsaw, Pawińskiego 5a, PL-02-106 Warsaw (Poland)

    2016-06-15

    Highlights: • Si on C-terminated and C on Si-terminated surface adsorb in the H{sub 3} hollow site. • The preferred adsorption site is in contrary to the stacking order of bulk crystal. • The presence of hydrogen increases the adsorption energy of Si/C. • Hydrogen weakens the bonds between the adsorbed Si or C and the surface. • Carbon adsorbs on top of the surface carbon on the C-terminated surface. • With both C and H on Si-terminated surface the surface state vanishes. - Abstract: Density functional theory (DFT) study of adsorption of 0.25 monolayer of either Si or C on 4H-SiC{0001} surfaces is presented. The adsorption in high-symmetry sites on both Si- and C-terminated surfaces was examined and the influence of the preadsorbed 0.25 ML of hydrogen on the Si/C adsorption was considered. It was found out that for Si on C-terminated surface and C on Si-terminated the most favourable is threefolded adsorption site on both clean and H-precovered surface. This is contrary to the bulk crystal stacking order which would require adsorption on top of the topmost surface atom. In those cases, the presence of hydrogen weakens the bonding of the adsorbate. Carbon on the C-terminated surface, only binds on-top of the surface atom. The C−C bond-length is almost the same for the clean surface and for one with H and equals to ∼1.33 Å which is shorter by ∼0.2 than in diamond. The analysis of the electronic structure changes under adsorption is also presented.

  11. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  12. Effect of hydrogen ion beam treatment on Si nanocrystal/SiO_2 superlattice-based memory devices

    International Nuclear Information System (INIS)

    Fu, Sheng-Wen; Chen, Hui-Ju; Wu, Hsuan-Ta; Chuang, Bing-Ru; Shih, Chuan-Feng

    2016-01-01

    Graphical abstract: - Highlights: • Memory window and retention properties are improved employing HIBAS technique. • The O/Si ratio and radiative recombination are changed by HIBAS. • Memory properties are affected not only by Si NCs and O/Si ratio but also the RDCs. • The mechanism of hydrogen ion beam alters the memory properties is investigated. - Abstract: This study presents a novel route for synthesizing silicon-rich oxide (SRO)/SiO_2 superlattice-based memory devices with an improved memory window and retention properties. The SiO_2 and SRO superlattices are deposited by reactive sputtering. Specifically, the hydrogen ion beam is used to irradiate the SRO layer immediately after its deposition in the vacuum chamber. The use of the hydrogen ion beam was determined to increase oxygen content and the density of the Si nanocrystals. The memory window increased from 16 to 25.6 V, and the leakage current decreased significantly by two orders, to under ±20 V, for the hydrogen ion beam-prepared devices. This study investigates the mechanism into how hydrogen ion beam treatment alters SRO films and influences memory properties.

  13. Effect of CHF3 Plasma Treatment on the Characteristics of SiCOH Low-k Film

    International Nuclear Information System (INIS)

    Xing Zhenyu; Ye Chao; Yuan Jing; Xu Yijun; Ning Zhaoyuan

    2009-01-01

    The characteristics of SiCOH low dielectric constant film treated by a trifluromethane (CHF 3 ) electron cyclotron resonance (ECR) plasma was investigated. The flat-band voltage V FB and leakage current of the Cu/SiCOH/Si structure, and the hydrophobic property of the SiCOH film were obtained by the measurements of capacitance-voltage, current-voltage and water contact angle. The structures of the SiCOH film were also analyzed by Fourier transform infrared spectroscopy and atomic force microscopy. The CHF 3 plasma treatment of the SiCOH film led to a reduction in both the flat-band voltage V FB shift and leakage current of the Cu/SiCOH/Si structure, a decrease in surface roughness, and a deterioration of the hydrophobic property. The changes in the film's characteristics were related to the formation of Si-F bond, the increase in Si-OH bond, and the C:F deposition at the surface of the SiCOH film.

  14. Research of the lime rich portions of the CaO-SiO2-P2O5 system

    Directory of Open Access Journals (Sweden)

    Puertas, F.

    1995-03-01

    Full Text Available A detailed study has been carried out to explain the influence of Pp^ in silicate phases and in industrial raw meals upon their mineralogical compositions. Some compositions in the lime rich portion of the CaO-SiO2-P2O5 system are studied using laboratory reactants. The results showed that the compositions lying in the range of CaO = 69,5-70,1; P2O5= 16,2-19,5; SiO2 = 11,1-13,6 (wt% give, at 1000 °C, the mineralogical composition (HAp, β-C2S, C5PS which can develop a high strength in chemically bonded ceramics. At high temperature (1400 ºC these compositions give a large amount of silicocarnotite (C5PS and 2C2.C3P phase. A selected composition of the industrial raw meal with added phosphate: CaO = 62,66; SiO2 -= 17,33; Al2O3 = 4,88; Fe2O3 = 4,29; P2O5 = 8,73; MgO = 2,09 (wt% forms at 1350 ºC a clinker containing high amount of α-C2S to replace C3S. Such a clinker may have good applications in the synthesis of active belite cement.Se estudia con detalle la influencia del P2O5 sobre las composiciones mineralógicas en fases silicato y en un crudo industrial. Asimismo se estudian algunas composiciones de la zona rica en cal del sistema CaO-SiO2-P2O5 utilizando reactivos químicos. Los resultados demuestran que, a presión atmosférica y recinto abierto, las composiciones dentro de los límites CaO = 69,5-70,1; P2O5= 16,2-19,5; SiO2 = 11,1-13,6 (% en peso dan a 1000ºC la composición mineralógica siguiente: HAp, β-C2S, C5PS; pueden desarrollar una alta resistencia química en "chemically bonded ceramics". A altas temperaturas (1400°C producen una elevada proporción de silicocarnotita (C5PS S y la fase 2C2.C3P. Con la adición de fosfato a una determinada dosificación de crudo industrial (CaO = 62,66; SiO2 = 17,33; Al2O3 = 4,88; Fe2O3 = 4,29; P2O5 = 8,73; MgO = 2,09 -% en peso- se forma, a 1350°C, un clinker que contiene una alta proporción de α-C2S que reemplaza al C3S. Este clinker puede tener buenas aplicaciones en la obtención de

  15. Superhydrophobic coatings fabricated with polytetrafluoroethylene and SiO2 nanoparticles by spraying process on carbon steel surfaces

    International Nuclear Information System (INIS)

    Wang, Haibin; Chen, Eryu; Jia, Xianbu; Liang, Lijun; Wang, Qi

    2015-01-01

    Graphical abstract: - Highlights: • The SiO 2 and PTFE NP-filled coatings exhibit excellent superhydrophobicity. • PTFE-filled coatings show denser structures and better liquid resistance than SiO 2 . • Air pocket of Wentzel model explains the difference in the superhydrophobicity. - Abstract: Superhydrophobicity is extensively investigated because of the numerous methods developed for water-repellant interface fabrication. Many suitable functional materials for the production of superhydrophobic surfaces on various substrates are still being explored. In this study, inorganic SiO 2 and organic polytetrafluoroethylene (PTFE) nanoparticles (NPs) are used for a comparative study on the performance of superhydrophobic coating on carbon steel surfaces. The NPs are added to PTFE coating emulsions by physical blending to form coating mixtures. Raw SiO 2 NPs are then hydrophobized using KH-570 and validated by Fourier transform-infrared spectroscopy (FT-IR) and Dynamic Laser Scattering (DLS) grain size analyses. The microstructures of the surfaces are characterized by contact angle (CA) measurements and field emission-scanning electron microscope (FE-SEM) images. The prepared surfaces are subjected to adhesion, hardness, water resistance, and acid/alkali erosion tests. Hydrophobized SiO 2 -filled coating surfaces are found to have better uniformity than raw SiO 2 regardless of their similar maximum static contact angles (SCAs) about 150°. A SCA of 163.1° is obtained on the PTFE NP-filled coating surfaces that have a considerably denser structure than SiO 2 . Thermogravimetric (TG) and differential scanning calorimetry (DSC) analyses reveal that all fabricated surfaces have good thermal stability and tolerate temperatures up to 550 °C. The PTFE NP-filled coating surfaces also exhibit excellent water and acid resistance. A possible mechanism concerning the amount of trapped air is proposed in relation to practical superhydrophobic surface fabrication

  16. Pd/CeO2/SiC Chemical Sensors

    Science.gov (United States)

    Lu, Weijie; Collins, W. Eugene

    2005-01-01

    The incorporation of nanostructured interfacial layers of CeO2 has been proposed to enhance the performances of Pd/SiC Schottky diodes used to sense hydrogen and hydrocarbons at high temperatures. If successful, this development could prove beneficial in numerous applications in which there are requirements to sense hydrogen and hydrocarbons at high temperatures: examples include monitoring of exhaust gases from engines and detecting fires. Sensitivity and thermal stability are major considerations affecting the development of high-temperature chemical sensors. In the case of a metal/SiC Schottky diode for a number of metals, the SiC becomes more chemically active in the presence of the thin metal film on the SiC surface at high temperature. This increase in chemical reactivity causes changes in chemical composition and structure of the metal/SiC interface. The practical effect of the changes is to alter the electronic and other properties of the device in such a manner as to degrade its performance as a chemical sensor. To delay or prevent these changes, it is necessary to limit operation to a temperature sensor structures. The present proposal to incorporate interfacial CeO2 films is based partly on the observation that nanostructured materials in general have potentially useful electrical properties, including an ability to enhance the transfer of electrons. In particular, nanostructured CeO2, that is CeO2 with nanosized grains, has shown promise for incorporation into hightemperature electronic devices. Nanostructured CeO2 films can be formed on SiC and have been shown to exhibit high thermal stability on SiC, characterized by the ability to withstand temperatures somewhat greater than 700 C for limited times. The exchanges of oxygen between CeO2 and SiC prevent the formation of carbon and other chemical species that are unfavorable for operation of a SiC-based Schottky diode as a chemical sensor. Consequently, it is anticipated that in a Pd/CeO2/SiC Schottky

  17. Adsorption and surface reaction of bis-diethylaminosilane as a Si precursor on an OH-terminated Si (0 0 1) surface

    International Nuclear Information System (INIS)

    Baek, Seung-Bin; Kim, Dae-Hee; Kim, Yeong-Cheol

    2012-01-01

    The adsorption and the surface reaction of bis-diethylaminosilane (SiH 2 [N(C 2 H 5 ) 2 ] 2 , BDEAS) as a Si precursor on an OH-terminated Si (0 0 1) surface were investigated to understand the initial reaction mechanism of the atomic layer deposition (ALD) process using density functional theory. The bond dissociation energies between two atoms in BDEAS increased in the order of Si-H, Si-N, and the rest of the bonds. Therefore, the relatively weak Si-H and Si-N bonds were considered for bond breaking during the surface reaction. Optimum locations of BDEAS for the Si-H and Si-N bond breaking were determined on the surface, and adsorption energies of 0.43 and 0.60 eV, respectively, were obtained. The Si-H bond dissociation energy of the adsorbed BDEAS on the surface did not decrease, so that a high reaction energy barrier of 1.60 eV was required. On the other hand, the Si-N bond dissociation energy did decrease, so that a relatively low reaction energy barrier of 0.52 eV was required. When the surface reaction energy barrier was higher than the adsorption energy, BDEAS would be desorbed from the surface instead of being reacted. Therefore, the Si-N bond breaking would be dominantly involved during the surface reaction, and the result is in good agreement with the experimental data in the literature.

  18. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  19. Non-LTE Analysis of Interstellar Line Spectra of SiO

    Science.gov (United States)

    Zhang, Ziwei; Stancil, Phillip C.

    2016-01-01

    SiO emission lines are important probes of chemical processes in diverse astrophysical environments. In circumstellar outflows of AGB stars, the production of silicate grains is preceded by SiO formation, making SiO a useful measure of Si depletion. SiO is also commonly observed in shocks associated with the outflows of young stellar objects, both low- and high-mass. To model SiO emission for non-LTE conditions requires collisional rate coefficients due to H2 impact which are currently unavailable. Unknown collisional rate coefficients are often estimated from known systems. For the case of SiO-H2, rate coefficients have previously been adapted from a different collider, He (Dayau & Balanca 2006), based on a reduced-mass scaling approach. Recently it has been suggested that scaling via the interaction potential well depth and the reduced masses of the collisional systems may be more reliable (Walker et al. 2014). Using the non-LTE spectral modeling package Radex (van der Tak et al. 2007), we construct diagnostic plots of SiO line ratios using SiO-H2 collisional rate coefficients based on (i) reduced-mass scaling from the LAMDA database, (ii) potential well-depth scaling, and (iii) a more comprehensive input with multiple colliders (H2, He and H). Our goal is to give a more rigorous approach to SiO line emission simulations to better understand Si chemistry, dust formation/destruction, and other astrophysical processes.This work was supported by NASA ATP grant NNX15AI61G.

  20. UV and IR laser induced ablation of Al2O3/SiN:H and a-Si:H/SiN:H

    Directory of Open Access Journals (Sweden)

    Schutz-Kuchly T.

    2014-01-01

    Full Text Available Experimental work on laser induced ablation of thin Al2O3(20 nm/SiN:H (70 nm and a-Si:H (20 nm/SiN:H (70 nm stacks acting, respectively, as p-type and n-type silicon surface passivation layers is reported. Results obtained using two different laser sources are compared. The stacks are efficiently removed using a femtosecond infra-red laser (1030 nm wavelength, 300 fs pulse duration but the underlying silicon surface is highly damaged in a ripple-like pattern. This collateral effect is almost completely avoided using a nanosecond ultra-violet laser (248 nm wavelength, 50 ns pulse duration, however a-Si:H flakes and Al2O3 lace remain after ablation process.

  1. Origin of temperature-induced low friction of sputtered Si-containing amorphous carbon coatings

    International Nuclear Information System (INIS)

    Jantschner, O.; Field, S.K.; Holec, D.; Fian, A.; Music, D.; Schneider, J.M.; Zorn, K.; Mitterer, C.

    2015-01-01

    This work reports on a tribological study of magnetron-sputtered silicon-containing amorphous carbon thin films vs. their alumina counterparts. Temperature cycling during ball-on-disk tests in humid air revealed a decrease in the coefficient of friction from 0.3 to <0.02 beyond 240 ± 15 °C. Systematic variation of the environment confirmed oxygen to be responsible for the low friction. X-ray photoelectron spectroscopy of the wear tracks indicates oxidation of Si-C bonds and formation of Si-O-C bonds, followed by further oxidation to SiO 2 above 450 °C. Ab initio molecular dynamics simulations of gas interactions with the a-C surface revealed dissociation of O 2 and the formation of oxides. Additional density functional theory calculations of Si incorporation into a graphene layer, resembling the surface of the film, showed preferential attraction of gaseous species (H, O, -OH, H 2 O), to Si-sites as compared to C-sites. Hence, the temperature- and atmosphere-induced changes in friction coefficient can be understood based on correlative X-ray photoelectron spectroscopy and ab initio data: the formation of Si-O-C bonds stemming from a reaction of the as-deposited coating with atmosphere in the tribological contact is observed by theory and experiment

  2. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  3. Preparation of high-purity ZrSiO4 powder using sol-gel processing and mechanical properties of the sintered body

    International Nuclear Information System (INIS)

    Mori, T.; Yamamura, H.; Kobayashi, H.; Mitamura, T.

    1992-01-01

    This paper reports that effects of the concentration of ZrOCl 2 , calcination temperature, heating rate, and the size of secondary particles after hydrolysis on the preparation of high-purity ZrSiO 4 fine powders from ZrOCl 2 :8H 2 O (0.2M to 1.7M) and equimolar colloidal SiO 2 using Sol--gel processing have been studied. Mechanical properties of the sintered ZrSiO 4 from the high-purity ZrSiO 4 powders have been also investigated. Single-phase ZrSiO 4 fine powders were synthesized at 1300 degrees C by forming ZrSiO 4 precursors having a Zr---O---Si bond, which was found in all the hydrolysis solutions, and by controlling a secondary particle size after hydrolysis. The conversion rate of ZrSiO 4 precursor gels to ZrSiO 4 powders from concentrations other than 0.4M ZrOCl 2 ·8H 2 O increased when the heating rate was high, whereupon the crystallization of unreacted ZrO 2 and SiO 2 was depressed and the propagation and increase of ZrSiO 4 nuclei in the gels were accelerated. The density of the ZrSiO 4 sintered bodies, manufactured by firing the ZrSiO 4 compacts at 1600 degrees to 1700 degrees C, was more than 95% of the theoretical density, and the grain size ranged around 2 to 4 μm. The mechanical strength was 320 MPa (room temperature to 1400 degrees C), and the thermal shock resistance was superior to that of mullite and alumina, with fairly high stability at higher temperatures

  4. Low cost sol–gel derived SiC–SiO{sub 2} nanocomposite as anti reflection layer for enhanced performance of crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Jannat, Azmira [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Solar Energy Engineering, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Lee, Woojin [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Akhtar, M. Shaheer, E-mail: shaheerakhtar@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); New & Renewable Energy Materials Development Center (NewREC), Chonbuk National University, Jeonbuk (Korea, Republic of); Li, Zhen Yu [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Yang, O.-Bong, E-mail: obyang@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); New & Renewable Energy Materials Development Center (NewREC), Chonbuk National University, Jeonbuk (Korea, Republic of)

    2016-04-30

    Graphical abstract: - Highlights: • Sol–gel derived SiC–SiO{sub 2} nanocomposite was prepared. • It effectively coated as AR layer on p-type Si-wafer. • SiC–SiO{sub 2} layer on Si solar cells exhibited relatively low reflectance of 7.08%. • Fabricated Si solar cell attained highly comparable performance of 16.99% to commercial device. - Abstract: This paper describes the preparation, characterizations and the antireflection (AR) coating application in crystalline silicon solar cells of sol–gel derived SiC–SiO{sub 2} nanocomposite. The prepared SiC–SiO{sub 2} nanocomposite was effectively applied as AR layer on p-type Si-wafer via two step processes, where the sol–gel of precursor solution was first coated on p-type Si-wafer using spin coating at 2000 rpm and then subjected to annealing at 450 °C for 1 h. The crystalline, and structural observations revealed the existence of SiC and SiO{sub 2} phases, which noticeably confirmed the formation of SiC–SiO{sub 2} nanocomposite. The SiC–SiO{sub 2} layer on Si solar cells was found to be an excellent AR coating, exhibiting the low reflectance of 7.08% at wavelengths ranging from 400 to 1000 nm. The fabricated crystalline Si solar cell with SiC–SiO{sub 2} nanocomposite AR coating showed comparable power conversion efficiency of 16.99% to the conventional Si{sub x}N{sub x} AR coated Si solar cell. New and effective sol–gel derived SiC–SiO{sub 2} AR layer would offer a promising technique to produce high performance Si solar cells with low-cost.

  5. Effect of SiO 2-ZrO 2 supports prepared by a grafting method on hydrogen production by steam reforming of liquefied natural gas over Ni/SiO 2-ZrO 2 catalysts

    Science.gov (United States)

    Seo, Jeong Gil; Youn, Min Hye; Song, In Kyu

    SiO 2-ZrO 2 supports with various zirconium contents are prepared by grafting a zirconium precursor onto the surface of commercial Carbosil silica. Ni(20 wt.%)/SiO 2-ZrO 2 catalysts are then prepared by an impregnation method, and are applied to hydrogen production by steam reforming of liquefied natural gas (LNG). The effect of SiO 2-ZrO 2 supports on the performance of the Ni(20 wt.%)/SiO 2-ZrO 2 catalysts is investigated. SiO 2-ZrO 2 prepared by a grafting method serves as an efficient support for the nickel catalyst in the steam reforming of LNG. Zirconia enhances the resistance of silica to steam significantly and increases the interaction between nickel and the support, and furthermore, prevents the growth of nickel oxide species during the calcination process through the formation of a ZrO 2-SiO 2 composite structure. The crystalline structures and catalytic activities of the Ni(20 wt.%)/SiO 2-ZrO 2 catalysts are strongly influenced by the amount of zirconium grafted. The conversion of LNG and the yield of hydrogen show volcano-shaped curves with respect to zirconium content. Among the catalysts tested, the Ni(20 wt.%)/SiO 2-ZrO 2 (Zr/Si = 0.54) sample shows the best catalytic performance in terms of both LNG conversion and hydrogen yield. The well-developed and pure tetragonal phase of ZrO 2-SiO 2 (Zr/Si = 0.54) appears to play an important role in the adsorption of steam and subsequent spillover of steam from the support to the active nickel. The small particle size of the metallic nickel in the Ni(20 wt.%)/SiO 2-ZrO 2 (Zr/Si = 0.54) catalyst is also responsible for its high performance.

  6. Fabrication of poly-crystalline Si-based Mie resonators via amorphous Si on SiO2 dewetting.

    Science.gov (United States)

    Naffouti, Meher; David, Thomas; Benkouider, Abdelmalek; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Bidault, Sebastien; Bonod, Nicolas; Abbarchi, Marco

    2016-02-07

    We report the fabrication of Si-based dielectric Mie resonators via a low cost process based on solid-state dewetting of ultra-thin amorphous Si on SiO2. We investigate the dewetting dynamics of a few nanometer sized layers annealed at high temperature to form submicrometric Si-particles. Morphological and structural characterization reveal the polycrystalline nature of the semiconductor matrix as well as rather irregular morphologies of the dewetted islands. Optical dark field imaging and spectroscopy measurements of the single islands reveal pronounced resonant scattering at visible frequencies. The linewidth of the low-order modes can be ∼20 nm in full width at half maximum, leading to a quality factor Q exceeding 25. These values reach the state-of-the-art ones obtained for monocrystalline Mie resonators. The simplicity of the dewetting process and its cost-effectiveness opens the route to exploiting it over large scales for applications in silicon-based photonics.

  7. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  8. Phase diagram study for the PbO-ZnO-CaO-SiO_2 -“Fe_2O_3 ” system in air with CaO/SiO_2 in 1.1 and PbO/(CaO+SiO_2) in 2.4 weight ratios

    International Nuclear Information System (INIS)

    Lopez-Rodriguez, Josue; Romero-Serrano, Antonio; Hernandez-Ramirez, Aurelio; Cruz-Ramirez, Alejandro; Almaguer-Guzman, Isaias; Benavides-Perez, Ricardo; Flores-Favela, Manuel

    2017-01-01

    An experimental study on the phase equilibrium and the liquidus isotherms for the PbO-ZnO-CaO-SiO_2 -“Fe_2O_3 ” system with CaO/SiO_2 in 1.1 and PbO/(CaO+SiO_2) in 2.4 weight ratios, respectively, was carried out in the temperature range 1100-1300 deg C (1373-1573 K). High temperature phases were determined by the equilibrium-quenching method. Results are presented in the form of pseudo-ternary sections “Fe_2O_3 ”-ZnO-(PbO+CaO+SiO_2). X-Ray diffraction (XRD) and SEM-EDS results showed that the phase equilibria in this system are dominated by the high melting temperature spinel and zincite phases. It was observed that if the system is at a temperature below 1300 deg C and the total (Fe_2O_3 + ZnO) is greater than 20 wt%, spinel and/or zincite will be present in the slag system. As an application of the phase diagram, the liquid phase compositions below the liquidus surface were estimated, then their viscosities were calculated using FACTSage software. (author)

  9. Rational Design of Si@SiO2/C Composites Using Sustainable Cellulose as a Carbon Resource for Anodes in Lithium-Ion Batteries.

    Science.gov (United States)

    Shen, Dazhi; Huang, Chaofan; Gan, Lihui; Liu, Jian; Gong, Zhengliang; Long, Minnan

    2018-03-07

    In this work, we propose a novel and facile route for the rational design of Si@SiO 2 /C anode materials by using sustainable and environment-friendly cellulose as a carbon resource. To simultaneously obtain a SiO 2 layer and a carbon scaffold, a specially designed homogeneous cellulose solution and commercial Si nanopowder are used as the starting materials, and the cellulose/Si composite is directly assembled by an in situ regenerating method. Subsequently, Si@SiO 2 /C composite is obtained after carbonization. As expected, Si@SiO 2 is homogeneously encapsulated in the cellulose-derived carbon network. The obtained Si@SiO 2 /C composite shows a high reversible capacity of 1071 mA h g -1 at a current density of 420 mA g -1 and 70% capacity retention after 200 cycles. This novel, sustainable, and effective design is a promising approach to obtain high-performance and cost-effective composite anodes for practical applications.

  10. Highly sensitive work function hydrogen gas sensor based on PdNPs/SiO2/Si structure at room temperature

    Directory of Open Access Journals (Sweden)

    G. Behzadi pour

    Full Text Available In this study, fabrication of highly sensitive PdNPs/SiO2/Si hydrogen gas sensor using experimental and theoretical methods has been investigated. Using chemical method the PdNPs are synthesized and characterized by X-ray diffraction (XRD. The average size of PdNPs is 11 nm. The thickness of the oxide film was 20 nm and the surface of oxide film analyzed using Atomic-force microscopy (AFM. The C-V curve for the PdNPs/SiO2/Si hydrogen gas sensor in 1% hydrogen concentration and at the room temperature has been reported. The response time and recovery time for 1% hydrogen concentration at room temperature were 1.2 s and 10 s respectively. The response (R% for PdNPs/SiO2/Si MOS capacitor hydrogen sensor was 96%. The PdNPs/SiO2/Si MOS capacitor hydrogen sensor showed very fast response and recovery times compared to SWCNTs/PdNPs, graphene/PdNPs, nanorod/PdNPs and nanowire/PdNPs hydrogen gas sensors. Keywords: Sensitive, Oxide film, Capacitive, Resistance

  11. Structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 phases from first-principles calculations

    Science.gov (United States)

    Li, X. D.; Li, K.; Wei, C. H.; Han, W. D.; Zhou, N. G.

    2018-06-01

    The structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 are systematically investigated by using first-principles calculations method based on density functional theory (DFT). The calculated formation enthalpies and cohesive energies show that CaSi2 possesses the greatest structural stability and CaSi has the strongest alloying ability. The structural stability of the three phases is compared according to electronic structures. Further analysis on electronic structures indicates that the bonding of these phases exhibits the combinations of metallic, covalent, and ionic bonds. The elastic constants are calculated, and the bulk modulus, shear modulus, Young's modulus, Poisson's ratio, and anisotropy factor of polycrystalline materials are deduced. Additionally, the thermodynamic properties were theoretically predicted and discussed.

  12. High-Temperature Photovoltaic Effect in La.Ca.MnO/SiO/Si Heterojunction

    Directory of Open Access Journals (Sweden)

    Hao Ni

    2012-01-01

    Full Text Available We fabricated a heterojunction of La0.4Ca0.6MnO3/SiO/n-Si and investigated its electronic transport and ultraviolet photovoltaic properties at higher temperature up to 673 K. The rectifying behaviors vanished with the energy-band structure evolvement from 300 to 673 K. Under irradiation of a 248 nm pulse laser, the peak values of open-circuit photovoltage and short-circuit photocurrent decreased drastically. This understanding of the temperature-related current-voltage behavior and ultraviolet photodetection of oxide heterostructures should open a route for devising future microelectronic devices working at high temperature. PACS: 73.40.Lq, 71.27.+ a, 73.50.Pz.

  13. Fabrication and characterization of Al{sub 2}O{sub 3} /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ruiying, E-mail: ryzhang2008@sinano.ac.cn [Key lab of nanodevices and applications, Chinese Academy of Sciences, Division of nano-devices and related materials, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou, 215123 (China); State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 865 Changning Road, Shanghai 200050 China (China); Zhu, Jian; Zhang, Zhen; Wang, Yanyan; Qiu, Bocang [Key lab of nanodevices and applications, Chinese Academy of Sciences, Division of nano-devices and related materials, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou, 215123 (China); Liu, Xuehua; Zhang, Jinping; Zhang, Yi [Platform for Characterization & Test, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou, 215123 (China); Fang, Qi; Ren, Zhong [Oxford Instruments Plasma Technology, Yatton, Bristol, BS49 4AP (United Kingdom); Bai, Yu [School of Nano-Science and Nano-Engineering, Xi’an Jiaotong University, Suzhou, 215123 (China)

    2015-12-15

    We report on our fabrication and characterization of Al{sub 2}O{sub 3}/Si composite nanodome (CND) structures, which is composed of Si nanodome structures with a conformal cladding Al{sub 2}O{sub 3} layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al{sub 2}O{sub 3}thin film coating using atomic layer deposition (ALD) to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0° to 45° is achieved when the Al{sub 2}O{sub 3} film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al{sub 2}O{sub 3} film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10{sup −9} A/cm{sup 2} over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiO{sub x} layer formed between the interface of Si and the Al{sub 2}O{sub 3} film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al{sub 2}O{sub 3} coated CND structures is a truly viable approach to achieving higher device

  14. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  15. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  16. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  17. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  18. Ion induced intermixing and consequent effects on the leakage currents in HfO{sub 2}/SiO{sub 2}/Si systems

    Energy Technology Data Exchange (ETDEWEB)

    Manikanthababu, N.; Saikiran, V.; Pathak, A.P.; Rao, S.V.S.N. [University of Hyderabad, School of Physics, Hyderabad (India); Chan, T.K.; Vajandar, S.; Osipowicz, T. [National University of Singapore, Department of Physics, Centre for Ion Beam Applications (CIBA), Singapore (Singapore)

    2017-05-15

    Atomic layer deposited (ALD) samples with layer stacks of HfO{sub 2} (3 nm)/SiO{sub 2} (0.7 nm)/Si were subjected to 120 MeV Au ion irradiation at different fluences to study intermixing effects across the HfO{sub 2}/SiO{sub 2} interface. High-resolution Rutherford backscattering spectrometry (HRBS) and X-ray reflectivity (XRR) measurements confirm an increase in the interlayer thickness as a result of SHI induced intermixing effects. Current-voltage (I-V) measurements reveal an order of magnitude difference in the leakage current density between the pristine and irradiated samples. This can be explained by considering the increased physical thickness of interlayer (HfSiO). Furthermore, the samples were subjected to rapid thermal annealing (RTA) process to analyze annealing kinetics. (orig.)

  19. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  20. Effect of annealing on SiO{sub x}-TiO{sub 2} axial heterostructure nanowires and improved photodetection

    Energy Technology Data Exchange (ETDEWEB)

    Dhar, J. C.; Singh, N. K. [Department of Electronics and Communication Engineering, National Institute of Technology Nagaland, Dimapur, Nagaland 797103 (India); Mondal, A., E-mail: aniruddhamo@gmail.com; Chakrabartty, S. [Department of Electronics and Communication Engineering, National Institute of Technology Agartala, Jirania, Tripura (West) 799055 (India); Bhattacharyya, A. [Department of Radio Physics and Electronics, University of Calcutta, Kolkata 700009 (India); Chattopadhyay, K. K. [Department of Physics, Jadavpur University, Kolkata 700032 (India)

    2013-12-28

    Glancing angle deposition technique has been used to synthesize the axial heterostructure SiO{sub x}-TiO{sub 2} nanowires (NWs) on the Si substrate. The field emission gun scanning electron microscope image shows the formation of perpendicular NWs on Si substrate. A typical transmission electron microscope image confirms the heterostructure NW which consists of SiO{sub x} of length ∼130 nm and TiO{sub 2} of length ∼170 nm. The amorphous NWs transformed to polycrystalline nature after annealing. The trap assisted radiative recombination process is absent for the annealed NWs. An averagely 1.1 fold enhanced photoabsorption was exhibited by the annealed NWs in the 200–350 nm region and 1.5 fold in the 500–850 nm region. The leakage current (2.6 × 10{sup −8} A/cm{sup 2} at −0.5 V) significantly reduced for annealed NWs device. A maximum 1.4 × 10{sup 3} times enlarged photodetection has been observed for annealed device.

  1. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  2. Preparation of anti-oxidative SiC/SiO2 coating on carbon fibers from vinyltriethoxysilane by sol–gel method

    International Nuclear Information System (INIS)

    Xia Kedong; Lu Chunxiang; Yang Yu

    2013-01-01

    Highlights: ► The SiC/SiO 2 coating was prepared on carbon fibers by the sol–gel method. ► Nano-crystallites with an average diameter of 130 nm were aligned along the fiber axis uniformly. ► The oxidation resistant property of coated carbon fiber was increased with the increase of sol concentration and the heat treatment temperature. ► The oxidation activation energy of the coated carbon fiber was increased by 23% in comparison with uncoated carbon fiber. - Abstract: The anti-oxidative SiC/SiO 2 coating was prepared on carbon fibers by a sol–gel process using vinyltriethoxysilane (VTES) as the single source precursor. The derived coating was characterized by scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). The oxidation resistant properties of the carbon fiber with and without coating were studied by isothermal oxidation. The results indicated that the carbothermal reduction reaction led to the decrease of SiO 2 phase and the increase of SiC phase at 1500 °C. The uniform SiC/SiO 2 coating prepared from a sol concentration of 4 wt% and heat treated at 1500 °C showed the optimal oxidation resistant property. The oxidation resistance of the carbon fiber was improved by the SiC/SiO 2 coating, and the oxidation activation energy was increased by about 23% as compared with uncoated carbon fiber.

  3. Ultraviolet-enhanced photodetection in a graphene/SiO2/Si capacitor structure with a vacuum channel

    International Nuclear Information System (INIS)

    Kim, Myungji; Kim, Hong Koo

    2015-01-01

    We report photodetection properties of a graphene/oxide/silicon capacitor structure with a nanoscale vacuum channel. The photogenerated two-dimensional electron gas (2DEG) inversion charges at SiO 2 /Si interface are extracted out to air and transported along the void channel at low bias voltage (<5 V). A monolayer graphene, placed on top of SiO 2 and suspended on the void channel, is utilized as a photon-transparent counter-electrode to the 2DEG layer and a collector electrode for the out-of-plane transported electrons, respectively. The photocurrent extracted through a void channel reveals high responsivity (1.0 A/W at 633 nm) as measured in a broad spectral range (325–1064 nm), especially demonstrating a UV-enhanced performance (0.43 A/W responsivity and 384% internal quantum efficiency at 325 nm). The mechanisms underlying photocarrier generation, emission, and transport in a suspended-graphene/SiO 2 /Si structure are proposed

  4. Processes and procedures for a thin film multilevel hybrid circuit metallization system based on W--Au/SiO2/Al/SiO2

    International Nuclear Information System (INIS)

    Hampy, R.E.; Knauss, G.L.; Komarek, E.E.; Kramer, D.K.; Villanueva, J.

    1976-04-01

    The processes and procedures developed for the deposition and photodefinition of a W-Au/SiO 2 /Al/SiO 2 hybrid circuit metallization system for the SLL Micro Actuator are described. The metallization system affords a high degree of miniaturization and permits effective interconnection of a mixture of semiconductor devices and passive components with both gold and aluminum terminations without creating undesirable gold-aluminum interfaces. Sputtered tungsten-gold is the first level conductor except at crossovers where tungsten only is used and aluminum is the second level conductor. Silicon dioxide serves as an insulator between the tungsten and aluminum for crossovers. Vias in the insulating layer permit tungsten-aluminum interconnections where desired. A second layer of silicon dioxide is deposited over the metallization and opened for all gold and aluminum bonding pads. Substrates used were polished sapphire and fine grained alumina. The metallization is capable of withstanding processing temperatures up to 400 0 C for short times

  5. Formation of Me–O–Si covalent bonds at the interface between polysilazane and stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Amouzou, Dodji, E-mail: adodji@gmail.com [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium); Fourdrinier, Lionel; Maseri, Fabrizio [CRM-Group, Boulevard de Colonster, B 57, 4000 Liège (Belgium); Sporken, Robert [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium)

    2014-11-30

    Highlights: • Natural metal-oxides, hydroxides are detected on the top surface of steel substrates we tested. • Polysilazane reacts with hydroxide functional groups on steel substrates to form Cr–O–Si and Fe–O–Si covalent bonds. • Covalent bonding between steel and polysilazane at the interface was probed using spectroscopic techniques. - Abstract: In earlier works, we demonstrated the potential of polysilazane (PSZ) coatings for a use as insulating layers in Cu(In,Ga)Se{sub 2} (CIGS) solar cells prepared on steels substrates and showed a good adhesion between PSZ coatings and both AISI316 and AISI430 steels. In the present paper, spectroscopic techniques are used to elucidate the reason of such adhesion. X-ray Photoelectron Spectroscopy (XPS) was used to investigate surfaces for the two steel substrates and showed the presence of metal oxides and metal hydroxides at the top surface. XPS has been also used to probe interfaces between substrates and PSZ, and metallosiloxane (Me–O–Si) covalent bonds have been detected. These results were confirmed by Infra-Red Reflection Absorption Spectroscopy (IRRAS) analyses since vibrations related to Cr–O–Si and Fe–O–Si compounds were detected. Thus, the good adhesion between steel substrates and PSZ coatings was explained by covalent bonding through chemical reactions between PSZ precursors and hydroxide functional groups present on top surface of the two types of steel. Based on these results, an adhesion mechanism between steel substrates and PSZ coatings is proposed.

  6. BAND ALIGNMENT OF ULTRATHIN GIZO/SiO2/Si HETEROSTRUCTURE DETERMINED BY ELECTRON SPECTROSCOPY

    Directory of Open Access Journals (Sweden)

    Hee Jae Kang2

    2011-11-01

    Full Text Available Amorphous GaInZnO (GIZO thin films are grown on SiO2/Si substrate by the RF magnetron sputtering method. By thecombination of measured band gaps from reflection energy loss spectroscopy (REELS spectra and valence band fromX-ray photo-electron spectroscopy (XPS spectra, we have demonstrated the energy band alignment of GIZO thin films.The band gap values are 3.2 eV, 3.2 eV, 3.4eV and 3.6eV for the concentration ratios of Ga: In: Zn in GIZO thin filmsare 1:1:1, 2:2:1, 3:2:1 and 4:2:1, respectively. These are attributed to the larger band gap energy of Ga2O3 comparedwith In2O3 and ZnO. The valence band offsets (ΔEv decrease from 2.18 to 1.68 eV with increasing amount of Ga inGIZO thin films for GIZO1 to GIZO4, respectively. These experimental values of band gap and valence band offsetwill provide the further understanding in the fundamental properties of GIZO/SiO2/Si heterostructure, which will beuseful in the design, modeling and analysis of the performance devices applications.

  7. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  8. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  9. Preparation and characterization of silane-modified SiO2 particles reinforced resin composites with fluorinated acrylate polymer.

    Science.gov (United States)

    Liu, Xue; Wang, Zengyao; Zhao, Chengji; Bu, Wenhuan; Na, Hui

    2018-04-01

    A series of fluorinated dental resin composites were prepared with two kinds of SiO 2 particles. Bis-GMA (bisphenol A-glycerolate dimethacrylate)/4-TF-PQEA (fluorinated acrylate monomer)/TEGDMA (triethylene glycol dimethacrylate) (40/30/30, wt/wt/wt) was introduced as resin matrix. SiO 2 nanopartices (30nm) and SiO 2 microparticles (0.3µm) were silanized with 3-methacryloxypropyl trimethoxysilane (γ-MPS) and used as fillers. After mixing the resin matrix with 0%, 10%, 20%, 30% SiO 2 nanopartices and 0%, 10%, 20%, 30%, 40%, 50% SiO 2 microparticles, respectively, the fluorinated resin composites were obtained. Properties including double bond conversion (DC), polymerization shrinkage (PS), water sorption (W p ), water solubility (W y ), mechanical properties and cytotoxicity were investigated in comparison with those of neat resin system. The results showed that, filler particles could improve the overall performance of resin composites, particularly in improving mechanical properties and reducing PS of composites along with the addition of filler loading. Compared to resin composites containing SiO 2 microparticles, SiO 2 nanoparticles resin composites had higher DC, higher mechanical properties, lower PS and lower W p under the same filler content. Especially, 50% SiO 2 microparticles reinforced resins exhibited the best flexural strength (104.04 ± 7.40MPa), flexural modulus (5.62 ± 0.16GPa), vickers microhardness (37.34 ± 1.13 HV), compressive strength (301.54 ± 5.66MPa) and the lowest polymerization (3.42 ± 0.22%). Copyright © 2018 Elsevier Ltd. All rights reserved.

  10. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-01

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  11. Structural and electronic properties of Si/SiO2 MOS structures with aligned 3C-SiC nanocrystals in the oxide

    International Nuclear Information System (INIS)

    Pongracz, A.; Battistig, G.; Duecso, Cs.; Josepovits, K.V.; Deak, P.

    2007-01-01

    Our group previously proved that a simple reactive annealing in CO containing gas produces 3C-SiC nanocrystals, which are epitaxially and void-free aligned in the Si substrate. By a further thermal oxidation step, these nanocrystals can be lifted from the Si and incorporated into the SiO 2 matrix, thereby creating a promising structure for charge storage. In this work the structural and electrical properties of such systems with nanocrystalline SiC will be presented. Prototype MOS structures with 3C-SiC nanocrystals were produced for current-voltage and capacitance-voltage measurements. The results indicate that the high-temperature annealing did not damage the MOS structure, despite the fact that the CO annealing changed the electrical properties of the system. There was a positive charge accumulation and a reversible carrier injection observed in the structure. We assume that the positive charges originated from oxygen vacancies and the charge injection is related to the presence of SiC nanocrystals

  12. Raman and infrared investigations of glass and glass-ceramics with composition 2Na2O·1CaO·3SiO2

    OpenAIRE

    Ziemath, Ervino C.; Aegerter, Michel A.

    1994-01-01

    Precursor glass and glass-ceramics with molar composition 2Na2O·1CaO·3SiO2 are studied by infrared, conventional, and microprobe Raman techniques. The Gaussian deconvoluted Raman spectrum of the glass presents bands at 625 and 660 cm-1, attributed to bending vibrations of Si-O-Si bonds, and at 860, 920, 975 and 1030 cm-1, attributed to symmetric stretching vibrations of SiO4 tetrahedra with 4, 3, 2, and 1 nonbridging oxygens, respectively. The Raman microprobe spectrum of a highly crystalliz...

  13. Roughness of the SiC/SiO{sub 2} vicinal interface and atomic structure of the transition layers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Peizhi; Li, Guoliang; Duscher, Gerd, E-mail: gduscher@utk.edu [Department of Materials Science and Engineering, The University of Tennessee, Knoxville, Tennessee 37996 (United States); Sharma, Yogesh K.; Ahyi, Ayayi C.; Isaacs-Smith, Tamara; Williams, John R.; Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2014-11-01

    The SiC/SiO{sub 2} interface is generally considered to be the cause for the reduced electron mobility of SiC power devices. Previous studies have shown a correlation between the mobility and the transition layer width at the SiC/SiO{sub 2} interface. The authors investigated this interface with atomic resolution Z-contrast imaging and electron energy-loss spectroscopy, and discovered that this transition region was due to the roughness of the vicinal interface. The roughness of a vicinal interface consisted of atomic steps and facets deviating from the ideal off-axis cut plane. The authors conclude that this roughness is limiting the mobility in the channels of SiC MOSFETs.

  14. Effect of re-oxidation annealing process on the SiO2/SiC interface characteristics

    International Nuclear Information System (INIS)

    Yan Hongli; Jia Renxu; Tang Xiaoyan; Song Qingwen; Zhang Yuming

    2014-01-01

    The effect of the different re-oxidation annealing (ROA) processes on the SiO 2 /SiC interface characteristics has been investigated. With different annealing processes, the flat band voltage, effective dielectric charge density and interface trap density are obtained from the capacitance—voltage curves. It is found that the lowest interface trap density is obtained by the wet-oxidation annealing process at 1050 °C for 30 min, while a large number of effective dielectric charges are generated. The components at the SiO 2 /SiC interface are analyzed by X-ray photoelectron spectroscopy (XPS) testing. It is found that the effective dielectric charges are generated due to the existence of the C and H atoms in the wet-oxidation annealing process. (semiconductor technology)

  15. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  16. Alkane metathesis with the tantalum methylidene [(≡SiO)Ta(=CH2)Me2]/[(≡SiO)2Ta(=CH2)Me] generated from well-defined surface organometallic complex [(≡SiO)TaVMe4

    KAUST Repository

    Chen, Yin; Abou-Hamad, Edy; Hamieh, Ali Imad Ali; Hamzaoui, Bilel; Emsley, Lyndon; Basset, Jean-Marie

    2015-01-01

    By grafting TaMe5 on Aerosil700, a stable, well-defined, silica-supported tetramethyl tantalum(V) complex, [(≡SiO)TaMe4], is obtained on the silica surface. After thermal treatment at 150 °C, the complex is transformed into two surface tantalum methylidenes, [(≡SiO)2Ta(=CH2)Me] and [(≡SiO)Ta(=CH2)Me2], which are active in alkane metathesis and comparable to the previously reported [(≡SiO)2TaHx]. Here we present the first experimental study to isolate and identify a surface tantalum carbene as the intermediate in alkane metathesis. A systematic experimental study reveals a new reasonable pathway for this reaction.

  17. Alkane metathesis with the tantalum methylidene [(≡SiO)Ta(=CH2)Me2]/[(≡SiO)2Ta(=CH2)Me] generated from well-defined surface organometallic complex [(≡SiO)TaVMe4

    KAUST Repository

    Chen, Yin

    2015-01-21

    By grafting TaMe5 on Aerosil700, a stable, well-defined, silica-supported tetramethyl tantalum(V) complex, [(≡SiO)TaMe4], is obtained on the silica surface. After thermal treatment at 150 °C, the complex is transformed into two surface tantalum methylidenes, [(≡SiO)2Ta(=CH2)Me] and [(≡SiO)Ta(=CH2)Me2], which are active in alkane metathesis and comparable to the previously reported [(≡SiO)2TaHx]. Here we present the first experimental study to isolate and identify a surface tantalum carbene as the intermediate in alkane metathesis. A systematic experimental study reveals a new reasonable pathway for this reaction.

  18. Effect of V2O5 on SrO-ZnO-B2O3-SiO2 glass-ceramics for high temperature sealant application

    Science.gov (United States)

    Tiwari, Babita; Bhatacharya, S.; Dixit, A.; Gadkari, S. C.; Kothiyal, G. P.

    2012-06-01

    Glasses in the SrO-ZnO-B2O3-SiO2 (SZBS) system with and without V2O5 were prepared by melt-quench method and transformed into glass-ceramics by controlled crystallization. Investigated glasses and glass-ceramics have thermal expansion coefficients (TEC) in the range of 95-120 × 10-7/°C (30-600 °C), which match closely with TEC of other components of solid oxide fuel cell (SOFC). Study of thermo-physical properties of SZBS glasses revealed the network modifying effect of V2O5. Addition of V2O5 increases the TEC and decreases the viscosity of the glass which is beneficial for making seal with Crofer-22-APU. Microstructural investigations have shown good bonding of SZBS glasses with Crofer-22-APU. Elemental line scans indicate that inter-diffusion of Fe, Cr and Si across interface, which is thought to be responsible for good bonding with Crofer-22-APU.

  19. Interfacial study of NiTi–Ti{sub 3}SiC{sub 2} solid state diffusion bonded joints

    Energy Technology Data Exchange (ETDEWEB)

    Kothalkar, A. [Department of Materials Science and Engineering, Texas A and M University, College Station, TX 77843 (United States); Cerit, A. [Department of Industrial Design Engineering, Erciyes University, Kayseri (Turkey); Proust, G. [School of Civil Engineering, University of Sydney, Sydney, NSW 2006 (Australia); Basu, S. [Agilent Technologies, Chandler, AZ (United States); Radovic, M., E-mail: mradovic@tamu.edu [Department of Materials Science and Engineering, Texas A and M University, College Station, TX 77843 (United States); Karaman, I., E-mail: ikaraman@tamu.edu [Department of Materials Science and Engineering, Texas A and M University, College Station, TX 77843 (United States)

    2015-01-12

    The interfaces between the stress-assisted diffusion bonded Ti{sub 3}SiC{sub 2} and equiatomic NiTi, two distinct material systems that show pseudoelasticity were studied. The interfaces were formed in the 800–1000 °C temperature range, for 1, 5 and 10 h under flowing argon. Bonding was observed in all the cases considered, except at 800 °C after 1 h. Morphology and reaction phases in the interface were characterized using scanning electron microscopy, elemental micro probe analysis and electron backscatter diffraction analysis. The interfacial structure formed between NiTi and Ti{sub 3}SiC{sub 2} layers consists of NiTi/Ti{sub 2}Ni/Ti{sub 5}Si{sub 3}/NiTiSi/Ti{sub 3}SiC{sub 2}. Diffusion of Si into NiTi from Ti{sub 3}SiC{sub 2}, and Ni from NiTi into reaction zone was found to be responsible for the formation of reaction layers in the interface and thus for bonding at these conditions. The overall reaction layer thickness grows following the parabolic kinetic law. Nano-indentation and Vickers micro hardness tests were carried out to investigate the mechanical properties of the interface. Nano-indentation showed that the elastic moduli of the phases in the interface are close to that of Ti{sub 3}SiC{sub 2} while their hardness is higher than that of both Ti{sub 3}SiC{sub 2} and NiTi. Artificially formed cracks through microindents were observed to be branched and propagated into Ti{sub 3}SiC{sub 2} phase indicating good resistance against delamination.

  20. Annealing-induced evolution of optical properties of the multilayered nanoperiodic SiOx/ZrO2 system containing Si nanoclusters

    International Nuclear Information System (INIS)

    Ershov, A. V.; Tetelbaum, D. I.; Chugrov, I. A.; Mashin, A. I.; Mikhaylov, A. N.; Nezhdanov, A. V.; Ershov, A. A.; Karabanova, I. A.

    2011-01-01

    The photoluminescence, infrared absorption, and Raman spectra of amorphous multilayered nanoperiodic a-SiO x /ZrO 2 structures produced by vacuum evaporation and then annealed at different temperatures (500–1100°C) are studied. It is established that the evolution of the optical properties with increasing annealing temperature is controlled by sequential transformation of Si clusters formed in the SiO x layers from nonphase inclusions to amorphous clusters and then to nanocrystals. The finally formed nanocrystals are limited in sizes by the thickness of the initial SiO x layers and by chemical reactions with ZrO 2 .

  1. Variation in the Optical Properties of the SiC-SiO2 Composite Antireflection Layer in Crystalline Silicon Solar Cells by Annealing

    Science.gov (United States)

    Jannat, Azmira; Li, Zhen Yu; Akhter, M. Shaheer; Yang, O.-Bong

    2017-11-01

    This study showed the effects of annealing on a sol-gel-derived SiC-SiO2 composite antireflection (AR) layer and investigated the optical and photovoltaic properties of crystalline silicon (Si) solar cells. The SiC-SiO2 composite AR coating showed a considerable decrease in reflectance from 7.18% to 3.23% at varying annealing temperatures of 450-800°C. The refractive indices of the SiC-SiO2 composite AR layer were tuned from 2.06 to 2.45 with the increase in annealing temperature. The analysis of the current density-voltage characteristics indicated that the energy conversion efficiencies of the fabricated Si solar cells gradually increased from 16.99% to 17.73% with increasing annealing temperatures of 450-800°C. The annealing of the SiC-SiO2 composite AR layer in Si solar cells was crucial to improving the optical, morphological, and photovoltaic properties.

  2. Effects of Interface Coating and Nitride Enhancing Additive on Properties of Hi-Nicalon SiC Fiber Reinforced Reaction-Bonded Silicon Nitride Composites

    Science.gov (United States)

    Bhatt, Ramakrishana T.; Hull, David R.; Eldridge, Jeffrey I.; Babuder, Raymond

    2000-01-01

    Strong and tough Hi-Nicalon SiC fiber reinforced reaction-bonded silicon nitride matrix composites (SiC/ RBSN) have been fabricated by the fiber lay-up approach. Commercially available uncoated and PBN, PBN/Si-rich PBN, and BN/SiC coated SiC Hi-Nicalon fiber tows were used as reinforcement. The composites contained approximately 24 vol % of aligned 14 micron diameter SiC fibers in a porous RBSN matrix. Both one- and two-dimensional composites were characterized. The effects of interface coating composition, and the nitridation enhancing additive, NiO, on the room temperature physical, tensile, and interfacial shear strength properties of SiC/RBSN matrix composites were evaluated. Results indicate that for all three coated fibers, the thickness of the coatings decreased from the outer periphery to the interior of the tows, and that from 10 to 30 percent of the fibers were not covered with the interface coating. In the uncoated regions, chemical reaction between the NiO additive and the SiC fiber occurs causing degradation of tensile properties of the composites. Among the three interface coating combinations investigated, the BN/SiC coated Hi-Nicalon SiC fiber reinforced RBSN matrix composite showed the least amount of uncoated regions and reasonably uniform interface coating thickness. The matrix cracking stress in SiC/RBSN composites was predicted using a fracture mechanics based crack bridging model.

  3. Study of temperature-dependent charge conduction in silicon-nanocrystal/SiO_2 multilayers

    International Nuclear Information System (INIS)

    Mavilla, Narasimha Rao; Chavan, Vinayak; Solanki, Chetan Singh; Vasi, Juzer

    2016-01-01

    Silicon-nanocrystals (Si-NCs) realized by SiO_x _ 8 MV/cm; independent of temperature), while for lower electric fields (5–8 MV/cm) at higher temperatures, the trap-related Generalized Poole–Frenkel (GPF) is dominant. This signified the role of traps in modifying the conduction in bulk ICPCVD SiO_2 films. We then present the conduction in ML samples. For multilayer samples with SiO_2 sublayer thickness of 1.5 nm and 2.5 nm, Direct Tunneling (DT) is observed to be dominant, while for SiO_2 sublayer thickness of 3.5 nm, Space Charge Limited Conduction (SCLC) with exponential trap distribution is found to be the dominant conduction mechanism. This signifies the role of traps in modifying the conduction in Si-NC multilayer samples and SiO_2 sublayer thickness dependence. - Highlights: • Electrical conduction in SiO_2 film & Si-nanocrystal layers (Si-NCs) is reported. • SiO_2/SiO_x multilayer based Si-NCs were realized by Inductively Coupled plasma CVD. • For SiO_2 film, Fowler–Nordheim tunneling & Generalized Poole–Frenkel are observed. • For Si-NCs with thin SiO_2 sublayers (< 2.5 nm) Direct Tunneling is dominant. • For Si-NCs with 3.5 nm SiO_2 sublayers Space Charge Limited Conduction is dominant.

  4. Microstructural evolution of the system Ni-ZrO{sub 2}-SiO{sub 2} synthesized by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Garcia Murillo, A., E-mail: angarciam@ipn.m [Instituto Politecnico Nacional, CICATA Unidad Altamira, Km. 14.5, Carretera Tampico-Puerto Industrial Altamira, C.P. 89600 Altamira, Tamaulipas (Mexico); Instituto Politecnico Nacional, CIITEC, Cerrada CECATI S/N Col. Sta. Catarina, Del. Azcapotzalco, Mexico D.F. 02250 (Mexico); Carrillo Romo, F. de J. [Instituto Politecnico Nacional, CICATA Unidad Altamira, Km. 14.5, Carretera Tampico-Puerto Industrial Altamira, C.P. 89600 Altamira, Tamaulipas (Mexico); Instituto Politecnico Nacional, CIITEC, Cerrada CECATI S/N Col. Sta. Catarina, Del. Azcapotzalco, Mexico D.F. 02250 (Mexico); Torres Huerta, A.M.; Dominguez Crespo, M.A.; Ramirez Meneses, E. [Instituto Politecnico Nacional, CICATA Unidad Altamira, Km. 14.5, Carretera Tampico-Puerto Industrial Altamira, C.P. 89600 Altamira, Tamaulipas (Mexico); Terrones, H. [Instituto Potosino de Investigacion Cientifica y Tecnologica, Camino a la Presa San Jose 2055. Colonia Lomas 4 seccion S.L.P. (Mexico); Flores Vela, A. [Instituto Politecnico Nacional, CICATA Unidad Altamira, Km. 14.5, Carretera Tampico-Puerto Industrial Altamira, C.P. 89600 Altamira, Tamaulipas (Mexico)

    2010-04-16

    The analysis of the structural changes corresponding with composition and temperature is fundamental for understanding the applications of ceramic glass. In particular, the system ZrO{sub 2}-SiO{sub 2} is commonly used in the ceramic industry due to the high chemical stability and superior resistance for dissolution during firing in glazes. This work is focused on the synthesis of Ni doped ZrO{sub 2}-SiO{sub 2} powders with different Zr:Si molar ratios (20:80, 50:50 and 70:30) by sol-gel process. For purposes of comparison, un-doped ZrO{sub 2}-SiO{sub 2} systems were also prepared. TEOS, zirconium propoxide and nickel chloride hexahydrate were used as precursors of the SiO{sub 2}, ZrO{sub 2} and ion dopant, respectively. The obtained xerogels were thermally treated from 300 to 1300 {sup o}C in order to follow their structural evolution. FT-IR results show the corresponding bands of the M-O bonds related to the formation of zircon at high temperatures, while XRD analyses display t-ZrO{sub 2} with traces of m-ZrO{sub 2} at the evaluated temperatures; also, between 1200 and 1300 {sup o}C, the zircon compound (ZrSiO{sub 4}) was detected. It was observed that inserting nickel as a dopant has a significant effect on the structural and morphological characteristics. From the comparison of the doped and un-doped specimens, we hypothesize that the presence of Ni and the heat treatment promote the stabilization and crystallization of the zircon phase at molar ratios higher than 50Zr:50Si, or Ni is incorporating into the ZrO{sub 2} phase, provoking oxygen vacancies and leading to tetragonal phase stabilization.

  5. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    Science.gov (United States)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  6. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  7. Tritium release in Li{sub 4}SiO{sub 4} and Li{sub 4.2}Si{sub 0.8}Al{sub 0.2}O{sub 4} ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Linjie, E-mail: zhaolinjie1989@163.com; Long, Xinggui, E-mail: xingguil@caep.cn; Peng, Shuming, E-mail: pengshuming@caep.cn; Chen, Xiaojun; Xiao, Chengjian; Ran, Guangming; Li, Jiamao

    2016-12-15

    Li{sub 4+x}Si{sub 1−x}Al{sub x}O{sub 4} solid solution materials, which were designed as the advanced tritium breeders, were obtained by indirect solid state reactions. The behaviors of tritium release from Li{sub 4}SiO{sub 4} and Li{sub 4.2}Si{sub 0.8}Al{sub 0.2}O{sub 4} powders were investigated by temperature programmed desorption. The tritium release curves show different characteristics for the Li{sub 4}SiO{sub 4} and Li{sub 4.2}Si{sub 0.8}Al{sub 0.2}O{sub 4} ceramics. The main tritium release peak in the Li{sub 4}SiO{sub 4} and Li{sub 4.2}Si{sub 0.8}Al{sub 0.2}O{sub 4} powders is at approximately 600 °C after a high dose irradiation. Moreover, the temperature of the tritium release from Li{sub 4.2}Si{sub 0.8}Al{sub 0.2}O{sub 4} was lower than that of the release from Li{sub 4}SiO{sub 4}. This suggests a possible advantage to using the solid solutions as the advanced tritium breeding materials.

  8. Preparation of crosslinked polysiloxane/SiO2 nanocomposite via in-situ condensation and its surface modification on cotton fabrics

    Science.gov (United States)

    Hao, Lifen; Gao, Tingting; Xu, Wei; Wang, Xuechuan; Yang, Shuqin; Liu, Xiangguo

    2016-05-01

    Novel crosslinked polysiloxane/SiO2 nanocomposite (CLPS-SiO2) was successfully prepared via the in-situ condensation reaction of silica sols and crosslinked polysiloxane with end-capped triethoxysilane in solvent, which was firstly fabricated through the modification of our previously developed crosslinked polysiloxane with end-capped epoxy groups using aminopropyltriethoxysilane (APTES) and noted as APTES-CLPS. Chemical structures and thermal properties of the as-prepared resultants were characterized by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance spectra (1H/13C NMR) and thermogravimetric analysis (TGA). CLPS-SiO2 was applied as surface modification agent to treat cotton fabrics. Film morphologies and surface properties were examined with scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle measurements, and other instruments. FTIR and NMR confirmed structure of the products. CLPS-SiO2 showed better thermal stability than APTES-CLPS due to anchor of the nanosilica. APTES-CLPS could deposit a smooth film on cotton fiber surface. Besides, CLPS-SiO2 also coated the fibers with many nano-scaled tubercles beneath this smooth film by SEM. However, the APTES-CLPS film and the CLPS-SiO2 film on silicon-wafer were never homogeneous and had a few low or high peaks. The root mean square roughness (Rq) of APTES-CLPS film reached to 0.441 nm in 2 × 2 μm2 scanning field and at 5 nm data scale. Owing to the incorporation of nanosilica, that of CLPS-SiO2 film continuously increased and could attain 4.528 nm in 2 × 2 μm2 scanning field and at 20 nm data scale. XPS analysis further demonstrates that there was a CLPS-SiO2 film covered on the cotton surface and the silyl groups had the tendency to enrich at the film-air interface. In addition, hydrophobicity of the CLPS-SiO2 treated fabric would be enhanced with augment of the amount of nanocomposite. Water contact angle of this

  9. Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift, scanned-energy photoelectron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1997-08-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied by conventional x-ray photoelectron spectroscopy (XPS) and chemical-shift, scanned-energy photoelectron diffraction (PED) using synchrotron radiation. Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) olefin insertion into the H{endash}Si bond on the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, XPS has revealed a C 1s signal chemically shifted to lower binding energy, which we have assigned to carbon bonded to silicon. PED has shown that both preparative methods result in carbon bonded in an atop site with the expected C{endash}Si bond length of 1.85{plus_minus}0.05{Angstrom}. Chemical-shift, scanned-energy photoelectron diffraction is a particularly valuable probe of local structure at surfaces that contain the same element in multiple, chemically distinct environments. {copyright} {ital 1997 American Institute of Physics.}

  10. Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift, scanned-energy photoelectron diffraction

    International Nuclear Information System (INIS)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E.

    1997-01-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied by conventional x-ray photoelectron spectroscopy (XPS) and chemical-shift, scanned-energy photoelectron diffraction (PED) using synchrotron radiation. Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) olefin insertion into the H endash Si bond on the H endash Si(111) surface, and (ii) replacement of Cl on the Cl endash Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, XPS has revealed a C 1s signal chemically shifted to lower binding energy, which we have assigned to carbon bonded to silicon. PED has shown that both preparative methods result in carbon bonded in an atop site with the expected C endash Si bond length of 1.85±0.05 Angstrom. Chemical-shift, scanned-energy photoelectron diffraction is a particularly valuable probe of local structure at surfaces that contain the same element in multiple, chemically distinct environments. copyright 1997 American Institute of Physics

  11. Oxide meets silicide. Synthesis and single-crystal structure of Ca{sub 21}SrSi{sub 24}O{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Reckeweg, Olaf; DiSalvo, Francis J. [Cornell Univ., Ithaca, NY (United States). Dept. of Chemistry and Chemical Biology

    2017-06-01

    A few black, rectangular thin plates of Ca{sub 21}SrSi{sub 24}O{sub 2} were obtained by serendipity in a solid-state reaction of calcium metal, strontium chloride and silicon powder at 1200 K for 2 days designed to produce 'Ca{sub 2}SrCl{sub 2}[Si{sub 3}]'. The title compound forms next to some CaSi and some remaining educts. Ca{sub 21}SrSi{sub 24}O{sub 2} crystallizes in the monoclinic space group C2/m (no. 12) with unit cell parameters of a=1895.2(2), b=450.63(5) and c=1397.33(18) pm and β=112.008(7) (Z=1). The title compound shows planar, eight-membered, kinked Si{sub 8} chains with Si-Si distances between 241.4 and 245.0 pm indicating bonding interactions and kinked 'rope ladders' connecting the chains with interatomic Si-Si distances in the range 268.1-274.7 pm. Embedded in between these silicon substructures are columns of oxygen centered, apex sharing [(Ca{sub 1-x} Sr{sub x}){sub 6/2}O] octahedra and calcium ions.

  12. Optical properties of Ag nanoclusters formed by irradiation and annealing of SiO{sub 2}/SiO{sub 2}:Ag thin films

    Energy Technology Data Exchange (ETDEWEB)

    Güner, S., E-mail: sguner@fatih.edu.tr [Department of Physics, Fatih University, 34500 Büyükçekmece, İstanbul (Turkey); Budak, S. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL 35810 (United States); Gibson, B. [Department of Physics, UAH, Huntsville, AL 35899 (United States); Ila, D. [Department of Chemistry and Physics, Fayetteville St. University, Fayetteville, NC 28301 (United States)

    2014-08-15

    Highlights: • Fabrication of films through the Reactive Electron Beam deposition technique. • Perfect and reproducible Ag nanoclustered host matrix. • Potential technological applicability in thermoelectric devices. - Abstract: We have deposited five periodic SiO{sub 2}/SiO{sub 2} + Ag multi-nano-layered films on fused silica substrates using physical vapor deposition technique. The co-deposited SiO{sub 2}:Ag layers were 2.7–5 nm and SiO{sub 2} buffer layers were 1–15 nm thick. Total thickness was between 30 and 105 nm. Different concentrations of Ag, ranging from 1.5 to 50 molecular% with respect to SiO{sub 2} were deposited to determine relevant rates of nanocluster formation and occurrence of interaction between nanoclusters. Using interferometry as well as in situ thickness monitoring, we measured the thickness of the layers. The concentration of Ag in SiO{sub 2} was measured with Rutherford Backscattering Spectrometry (RBS). To nucleate Ag nanoclusters, 5 MeV cross plane Si ion bombardments were performed with fluence varying between 5 × 10{sup 14} and 1 × 10{sup 16} ions/cm{sup 2} values. Optical absorption spectra were recorded in the range of 200–900 nm in order to monitor the Ag nanocluster formation in the thin films. Thermal annealing treatment at different temperatures was applied as second method to form varying size of nanoclusters. The physical properties of formed super lattice were criticized for thermoelectric applications.

  13. Role of atomic bonding for compound and glass formation in Ni-Si, Pd-Si, and Ni-B systems

    Science.gov (United States)

    Tanaka, K.; Saito, T.; Suzuki, K.; Hasegawa, R.

    1985-11-01

    Valence electronic structures of crystalline compounds and glassy alloys of Ni silicides, Pd silicides, and Ni borides are studied by soft-x-ray spectroscopy over wide ranges of Si and B concentrations. The samples prepared include bulk compounds, glassy ribbons, and amorphous sputtered films. Silicon Kβ emissions of Ni and Pd silicides generally consist of a prominent peak fixed at ~=4.5 and ~=5.8 eV below the Fermi level EF, respectively, with a shoulder near EF which grows and shifts toward lower energy with increasing Si concentration. The former is identified as due to Si p-like states forming Si 3p-Ni 3d or Si 3p-Pd 4d bonding states while the latter as due to the corresponding antibonding states. Ni L3 and Pd L3 emissions of these silicides indicate that Ni 3d and Pd 4d states lie between the above two states. These local electronic configurations are consistent with partial-density-of-states (PDOS) calculations performed by Bisi and Calandra. Similar electronic configurations are suggested for Ni borides from B Kα and Ni L3 emissions. Differences of emission spectra between compounds and glasses of similar compositions are rather small, but some enhancement of the contribution of antibonding states to the PDOS near EF is suggested for certain glasses over that of the corresponding compounds. These features are discussed in connection with the compound stability and glass formability.

  14. SIMULTANEOUS OBSERVATIONS OF SiO AND H2O MASERS TOWARD SYMBIOTIC STARS

    International Nuclear Information System (INIS)

    Cho, Se-Hyung; Kim, Jaeheon

    2010-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, J = 1-0, 29 SiO v = 0, J = 1-0, and H 2 O 6 16 -5 23 maser lines performed with the KVN Yonsei 21 m radio telescope from 2009 November to 2010 January. We searched for these masers in 47 symbiotic stars and detected maser emission from 21 stars, giving the first time detection from 19 stars. Both SiO and H 2 O masers were detected from seven stars of which six were D-type symbiotic stars and one was an S-type star, WRAY 15-1470. In the SiO maser emission, the 28 SiO v = 1 maser was detected from 10 stars, while the v = 2 maser was detected from 15 stars. In particular, the 28 SiO v = 2 maser emission without the v = 1 maser detection was detected from nine stars with a detection rate of 60%, which is much higher than that of isolated Miras/red giants. The 29 SiO v = 0 maser emission was also detected from two stars, H 2-38 and BF Cyg, together with the 28 SiO v = 2 maser. We conclude that these different observational results between isolated Miras/red giants and symbiotic stars may be related with the presence of hot companions in a symbiotic binary system.

  15. Thermal shock properties of 2D-SiCf/SiC composites

    International Nuclear Information System (INIS)

    Lee, Sang Pill; Lee, Jin Kyung; Son, In Soo; Bae, Dong Su; Kohyama, Akira

    2012-01-01

    This paper dealt with the thermal shock properties of SiC f /SiC composites reinforced with two dimensional SiC fabrics. SiC f /SiC composites were fabricated by a liquid phase sintering process, using a commercial nano-size SiC powder and oxide additive materials. An Al 2 O 3 –Y 2 O 3 –SiO 2 powder mixture was used as a sintering additive for the consolidation of SiC matrix region. In this composite system, Tyranno SA SiC fabrics were also utilized as a reinforcing material. The thermal shock test for SiC f /SiC composites was carried out at the elevated temperature. Both mechanical strength and microstructure of SiC f /SiC composites were investigated by means of optical microscopy, SEM and three point bending test. SiC f /SiC composites represented a dense morphology with a porosity of about 8.2% and a flexural strength of about 160 MPs. The characterization of SiC f /SiC composites was greatly affected by the history of cyclic thermal shock. Especially, SiC f /SiC composites represented a reduction of flexural strength at the thermal shock temperature difference higher than 800 °C.

  16. Structural changes in C–S–H gel during dissolution: Small-angle neutron scattering and Si-NMR characterization

    Energy Technology Data Exchange (ETDEWEB)

    Trapote-Barreira, Ana, E-mail: anatrapotebarreira@gmail.com [Institute of Environmental Assessment and Water Research (IDAEA), Barcelona 08034, Catalonia (Spain); Porcar, Lionel [National Institute of Standards and Technology (NIST), Gaithersburg, MD 20899 (United States); Large Scale Structure Group, Institut Laue Langevin, Grenoble (France); Cama, Jordi; Soler, Josep M. [Institute of Environmental Assessment and Water Research (IDAEA), Barcelona 08034, Catalonia (Spain); Allen, Andrew J. [National Institute of Standards and Technology (NIST), Gaithersburg, MD 20899 (United States)

    2015-06-15

    Flow-through experiments were conducted to study the calcium–silicate–hydrate (C–S–H) gel dissolution kinetics. During C–S–H gel dissolution the initial aqueous Ca/Si ratio decreases to reach the stoichiometric value of the Ca/Si ratio of a tobermorite-like phase (Ca/Si = 0.83). As the Ca/Si ratio decreases, the solid C–S–H dissolution rate increases from (4.5 × 10{sup −} {sup 14} to 6.7 × 10{sup −} {sup 12}) mol m{sup −} {sup 2} s{sup −} {sup 1}. The changes in the microstructure of the dissolving C–S–H gel were characterized by small-angle neutron scattering (SANS) and {sup 29}Si magic-angle-spinning nuclear magnetic resonance ({sup 29}Si-MAS NMR). The SANS data were fitted using a fractal model. The SANS specific surface area tends to increase with time and the obtained fit parameters reflect the changes in the nanostructure of the dissolving solid C–S–H within the gel. The {sup 29}Si MAS NMR analyses show that with dissolution the solid C–S–H structure tends to a more ordered tobermorite structure, in agreement with the Ca/Si ratio evolution.

  17. Ni(3)Si(Al)/a-SiO(x) core-shell nanoparticles: characterization, shell formation, and stability.

    Science.gov (United States)

    Pigozzi, G; Mukherji, D; Gilles, R; Barbier, B; Kostorz, G

    2006-08-28

    We have used an electrochemical selective phase dissolution method to extract nanoprecipitates of the Ni(3)Si-type intermetallic phase from two-phase Ni-Si and Ni-Si-Al alloys by dissolving the matrix phase. The extracted nanoparticles are characterized by transmission electron microscopy, energy-dispersive x-ray spectrometry, x-ray powder diffraction, and electron powder diffraction. It is found that the Ni(3)Si-type nanoparticles have a core-shell structure. The core maintains the size, the shape, and the crystal structure of the precipitates that existed in the bulk alloys, while the shell is an amorphous phase, containing only Si and O (SiO(x)). The shell forms around the precipitates during the extraction process. After annealing the nanoparticles in nitrogen at 700 °C, the tridymite phase recrystallizes within the shell, which remains partially amorphous. In contrast, on annealing in air at 1000 °C, no changes in the composition or the structure of the nanoparticles occur. It is suggested that the shell forms after dealloying of the matrix phase, where Si atoms, the main constituents of the shell, migrate to the surface of the precipitates.

  18. Experimental research on the microstructure and compressive and tensile properties of nano-SiO2 concrete containing basalt fibers

    Directory of Open Access Journals (Sweden)

    Qinyong Ma

    2017-09-01

    Full Text Available Urban underground space resources are gaining increasing attention for the sustainable development of cities. Traditional concrete cannot meet the needs of underground construction. High-performance concrete was prepared using varying dosages of nano-SiO2 and basalt fiber, and its compressive and tensile strength was measured. The concrete microstructure was analyzed and used to assess the mechanisms through which the nano-SiO2 and basalt fibers affect the strength of concrete. The cement hydration productions in concrete produced varied with the dosage of nano-SiO2. When the nano-SiO2 dosage was between 0 and 1.8%, the mass of the C-S-H gel and AFt crystals increased gradually with the nano-SiO2 dosage. When the nano-SiO2 dosage was 1.2%, optimum amounts of C-S-H gel and AFt crystals existed, and the compactness of concrete was well, which agreed with the results of the compressive strength tests. When the basalt-fiber dosage was between 3 and 4 kg/m3, the basalt fibers and the cement matrix were closely bonded, and the splitting tensile strength of the concrete markedly improved. When the basalt-fiber dosage exceeded 5 kg/m3, the basalt fibers clustered together, resulting in weak bonding between the basalt fibers and the cement matrix, consequently, the basalt fibers were easily pulled apart from the cement. When the nano-SiO2 and basalt fiber dosages were 1.2% and 3 kg/m3, respectively, the compactness of the concrete microstructure was well and the strength enhancement was the greatest; additionally, the compressive strength and splitting tensile strength were 9.04% and 17.42%, respectively, greater than those of plain concrete. The macroscopic tests on the mechanical properties of the nano-SiO2 concrete containing basalt fibers agreed well with the results of microstructure analysis.

  19. A novel coating material that uses nano-sized SiO2 particles to intensify hydrophobicity and corrosion protection properties

    International Nuclear Information System (INIS)

    Ammar, Sh.; Ramesh, K.; Vengadaesvaran, B.; Ramesh, S.; Arof, A.K.

    2016-01-01

    Highlights: • Hybrid SiO 2 nanocomposite coatings were fabricated on mild steel. • Highest coating resistance were exhibited by coatings with 3 wt.% SiO 2 nanoparticles. • Long-term stability measurement, together with hydrophobic surface measurements, were obtained. - Abstract: The influence of SiO 2 nanoparticles on hydrophobicity and the corrosion protection capabilities of hybrid acrylic-silicone polymeric matrix have been investigated. Contact angle measurements (CA), atomic force microscopy (AFM), field emission scanning electron microscopy (FE-SEM), and energy dispersive X-ray spectroscopy (EDX) were used to study the hydrophobicity, morphology, and topography of the coatings. In addition, electrochemical impedance spectroscopy (EIS) and salt spray techniques were employed to evaluate the corrosion protection performance. A coating with 3 wt.% SiO 2 , AS 3, demonstrates significant improvement in corrosion resistance with the highest measured CA of 97.3°. Morphology and topography studies clarify the influence of nano-sized SiO 2 fillers on the surface topography and demonstrated the uniform and good distribution of the embedded SiO 2 nanoparticles within the polymeric matrix.

  20. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  1. Carbon nanotube growth from catalytic nano-clusters formed by hot-ion-implantation into the SiO{sub 2}/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan); Arima, Hiroki; Yokoyama, Ai; Saito, Yasunao; Nakata, Jyoji [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2012-07-01

    We have studied growth of chirality-controlled carbon nanotubes (CNTs) from hot-implantation-formed catalytic nano-clusters in a thermally grown SiO{sub 2}/Si substrate. This procedure has the advantage of high controllability of the diameter and the number of clusters by optimizing the conditions of the ion implantation. In the present study, Co{sup +} ions with ion dose of 8 Multiplication-Sign 10{sup 16} cm{sup -2} are implanted in the vicinity of the SiO{sub 2}/Si interface at 300 Degree-Sign C temperature. The implanted Co atoms located in the SiO{sub 2} layer has an amorphous-like structure with a cluster diameter of several nm. In contrast, implanted Co atoms in the Si substrate are found to take a cobalt silicide structure, confirmed by the high-resolution image of transmission electron microscope. CNTs are grown by microwave-plasma-enhanced chemical vapor deposition. We have confirmed a large amount of vertically-aligned multi-walled CNTs from the Co nano-clusters formed by the hot-ion-implantation near the SiO{sub 2}/Si interface.

  2. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    Science.gov (United States)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  3. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    International Nuclear Information System (INIS)

    Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1993-01-01

    Studies of SiO 2 -Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO 2 -Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown

  4. Surface-site-selective study of valence electronic states of a clean Si(111)-7x7 surface using Si L23VV Auger electron and Si 2p photoelectron coincidence measurements

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Tahara, Masashi; Nagaoka, Shin-ichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2011-01-01

    Valence electronic states of a clean Si(111)-7x7 surface are investigated in a surface-site-selective way using high-resolution coincidence measurements of Si pVV Auger electrons and Si 2p photoelectrons. The Si L 23 VV Auger electron spectra measured in coincidence with energy-selected Si 2p photoelectrons show that the valence band at the highest density of states in the vicinity of the rest atoms is shifted by ∼0.95 eV toward the Fermi level (E F ) relative to that in the vicinity of the pedestal atoms (atoms directly bonded to the adatoms). The valence-band maximum in the vicinity of the rest atoms, on the other hand, is shown to be shifted by ∼0.53 eV toward E F relative to that in the vicinity of the pedestal atoms. The Si 2p photoelectron spectra of Si(111)-7x7 measured in coincidence with energy-selected Si L 23 VV Auger electrons identify the topmost surface components, and suggest that the dimers and the rest atoms are negatively charged while the pedestal atoms are positively charged. Furthermore, the Si 2p-Si L 23 VV photoelectron Auger coincidence spectroscopy directly verifies that the adatom Si 2p component (usually denoted by C 3 ) is correlated with the surface state just below E F (usually denoted by S 1 ), as has been observed in previous angle-resolved photoelectron spectroscopy studies.

  5. The Li–Si–(O)–N system revisited: Structural characterization of Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O

    Energy Technology Data Exchange (ETDEWEB)

    Casas-Cabanas, M. [CIC energiGUNE, Parque Tecnológico de Álava, Albert Einstein 48, ED.CIC, 01510 Miñano (Spain); Santner, H. [Institut de Ciència de Materials de Barcelona (CSIC) Campus UAB, 08193 Bellaterra, Catalonia (Spain); Palacín, M.R., E-mail: rosa.palacin@icmab.es [Institut de Ciència de Materials de Barcelona (CSIC) Campus UAB, 08193 Bellaterra, Catalonia (Spain)

    2014-05-01

    A systematic study of the Li–Si–(O)–N system is presented. The synthetic conditions to prepare Li{sub 2}SiN{sub 2}, Li{sub 5}SiN{sub 3}, Li{sub 18}Si{sub 3}N{sub 10}, Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O are described and the structure of the last two compounds has been solved for the first time. While Li{sub 21}Si{sub 3}N{sub 11} crystallizes as a superstructure of the anti-fluorite structure with Li and Si ordering, Li{sub 7}SiN{sub 3}O exhibits the anti-fluorite structure with both anion and cation disorder. - Graphical abstract: A systematic study of the Li–Si–(O)–N system is presented. Li{sub 21}Si{sub 3}N{sub 11} crystallizes as a superstructure of the anti-fluorite structure with Li and Si ordering, Li{sub 7}SiN{sub 3}O exhibits the anti-fluorite structure with both anion and cation disorder. - Highlights: • Li{sub 2}SiN{sub 2}, Li{sub 5}SiN{sub 3}, Li{sub 18}Si{sub 3}N{sub 10}, Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O are prepared. • The structures of Li{sub 21}Si{sub 3}N{sub 11} and Li{sub 7}SiN{sub 3}O are presented. • Li{sub 21}Si{sub 3}N{sub 11} exhibits an anti-fluorite superstructure with Li and Si ordering.

  6. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  7. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process.

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-25

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  8. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  9. High resolution investigation of the 30Si(þ, þ)30Si reaction

    NARCIS (Netherlands)

    Walinga, J.; Rinsvelt, H.A. van; Endt, P.M.

    The differential cross section for elastic scattering of protons on 30Si was measured with surface barrier counters at four angles. Thirty-six 30Si(þ, γ)31P resonances are known in the Ep=1–2MeV region. Fifteen of these were also observed in the 30Si(þ, þ)30Si reaction, with natural widths varying

  10. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Science.gov (United States)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  11. Evolution of MoTeO x/SiO 2 and MoBiTeO x/SiO 2 catalysts in the partial oxidation of propane to acrolein

    Science.gov (United States)

    He, Yiming; Wu, Ying

    2010-04-01

    A thorough investigation of the catalysts Mo 1Te 1O x/SiO 2 and Mo 1Bi 0.05Te 1O x/SiO 2 in the partial oxidation of propane is presented in this paper, in order to elucidate the nature and behavior of the active surface. The catalysts' structures and redox properties were investigated by means of X-ray powder diffraction, Raman spectroscopy, in situ Raman spectroscopy, X-ray photoelectron spectroscopy, and H 2-TPR techniques. The results indicate that Te-polymolybdate is the main active phase on fresh catalysts. During reaction, the catalysts underwent a progressive reduction, resulting in the reconstruction of the active surface and the formation of a MoO 3 phase. The synergistic effect between Te-polymolybdate and MoO 3 was assumed to promote catalytic performance. The different stabilities of Mo 1Te 1O x/SiO 2 and Mo 1Bi 0.05Te 1O x/SiO 2 catalysts are also discussed.

  12. Application of SiC masses as tube liners in municipal incinerators. Anwendung von SiC-Massen fuer Rohrverkleidungen in kommunalen Muellverbrennungsanlagen

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, In Soo.

    1993-09-02

    Phosphate-bonded SiC masses with different additives were investigated. The reference mass was a SiC mass consisting of 90% by mass of SiC and 10% by mass of Al[sub 2]O[sub 3]. The reactive alumina ([alpha]-Al[sub 2]O[sub 3]) served as reaction partner for the aluminium phosphate binder. The physical and thermomechanical properties as well as the corrosion resistance of the developed SiC masses were investigated, and the reactions of the additives with the aluminium phosphate binder were investigated. The best combination of properties required of a refractory liner for waste incinerators was found in masses with Si[sub 3]N[sub 4] additives. These masses have optimal physical and thermomechanical properties and a high resistance to the corrosive gases and alkaline slags produced in modern incinerators. (orig./EF)

  13. In vivo immuno-reactivity analysis of the porous three-dimensional chitosan/SiO2 and chitosan/SiO2 /hydroxyapatite hybrids.

    Science.gov (United States)

    Guo, Mengxia; Dong, Yifan; Xiao, Jiangwei; Gu, Ruicai; Ding, Maochao; Huang, Tao; Li, Junhua; Zhao, Naru; Liao, Hua

    2018-05-01

    Inorganic/organic hybrid silica-chitosan (CS) scaffolds have promising potential for bone defect repair, due to the controllable mechanical properties, degradation behavior, and scaffold morphology. However, the precise in vivo immuno-reactivity of silica-CS hybrids with various compositions is still poorly defined. In this study, we fabricated the three-dimensional (3D) interconnected porous chitosan-silica (CS/SiO 2 ) and chitosan-silica-hydroxyapatite (CS/SiO 2 /HA) hybrids, through sol-gel process and 3D plotting skill, followed by the naturally or freeze drying separately. Scanning electron microscopy demonstrated the hybrids possessed the uniform geometric structure, while, transmission electron microscopy displayed nanoscale silica, or HA nanoparticles dispersed homogeneously in the CS matrix, or CS/silica hybrids. After intramuscular implantation, CS/SiO 2 and CS/SiO 2 /HA hybrids triggered a local and limited monocyte/macrophage infiltration and myofiber degeneration. Naturally dried CS/SiO 2 hybrid provoked a more severe inflammation than the freeze-dried ones. Dendritic cells were attracted to invade into the implants embedded-muscle, but not be activated to prime the adaptive immunity, because the absence of cytotoxic T cells and B cells in muscle received the implants. Fluorescence-activated cell sorting (FACS) analysis indicated the implanted hybrids were incapable to initiate splenocytes activation. Plasma complement C3 enzyme linked immunosorbent assay (ELISA) assay showed the hybrids induced C3 levels increase in early implanting phase, and the subsequent striking decrease. Thus, the present results suggest that, in vivo, 3D plotted porous CS/SiO 2 and CS/SiO 2 /HA hybrids are relatively biocompatible in vivo, which initiate a localized inflammatory procedure, instead of a systematic immune response. © 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1223-1235, 2018. © 2018 Wiley Periodicals, Inc.

  14. Comparison between Si/SiO_2 and InP/Al_2O_3 based MOSFETs

    International Nuclear Information System (INIS)

    Akbari Tochaei, A.; Arabshahi, H.; Benam, M. R.; Vatan-Khahan, A.; Abedininia, M.

    2016-01-01

    Electron transport properties of InP-based MOSFET as a new channel material with Al_2O_3 as a high-k dielectric oxide layer in comparison with Si-based MOSFET are studied by the ensemble Monte Carlo simulation method in which the conduction band valleys in InP are based on three valley models with consideration of quantum effects (effective potential approach). I_d–V_d characteristics for Si-based MOSFET are in good agreement with theoretical and experimental results. Our results show that I_d of InP-based MOSFET is about 2 times that of Si-based MOSFET. We simulated the diagrams of longitudinal and transverse electric fields, conduction band edge, average electron velocity, and average electron energy for Si-based MOSFET and compared the results with those for InP-based MOSFET. Our results, as was expected, show that the transverse electric field, the conduction band edge, the electron velocity, and the electron energy in a channel in the InP-based MOSFET are greater than those for Si-based MOSFET. But the longitudinal electric field behaves differently at different points of the channel.

  15. Synthesis of Hollow Nanotubes of Zn2SiO4 or SiO2: Mechanistic Understanding and Uranium Adsorption Behavior.

    Science.gov (United States)

    Tripathi, Shalini; Bose, Roopa; Roy, Ahin; Nair, Sajitha; Ravishankar, N

    2015-12-09

    We report a facile synthesis of Zn2SiO4 nanotubes using a two-step process consisting of a wet-chemical synthesis of core-shell ZnO@SiO2 nanorods followed by thermal annealing. While annealing in air leads to the formation of hollow Zn2SiO4, annealing under reducing atmosphere leads to the formation of SiO2 nanotubes. We rationalize the formation of the silicate phase at temperatures much lower than the temperatures reported in the literature based on the porous nature of the silica shell on the ZnO nanorods. We present results from in situ transmission electron microscopy experiments to clearly show void nucleation at the interface between ZnO and the silica shell and the growth of the silicate phase by the Kirkendall effect. The porous nature of the silica shell is also responsible for the etching of the ZnO leading to the formation of silica nanotubes under reducing conditions. Both the hollow silica and silicate nanotubes exhibit good uranium sorption at different ranges of pH making them possible candidates for nuclear waste management.

  16. SiO2 Glass Density to Lower-Mantle Pressures

    DEFF Research Database (Denmark)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste

    2017-01-01

    and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range...... for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60...... GPa. The density data present two discontinuities at similar to 17 and similar to 60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at similar to 40 GPa, and its density...

  17. Ab Initio Study of the Dynamical Si–O Bond Breaking Event in α-Quartz

    International Nuclear Information System (INIS)

    Su Rui; Zhang Hong; Han Wei; Chen Jun

    2015-01-01

    The Si–O bond breaking event in the α-quartz at the first triplet (T_1) excitation state is studied by using ab initio molecular dynamics (AIMD) and nudged elastic band calculations. A meta-stable non-bridging oxygen hole center and E′ center (NBOHC-E′) is observed in the AIMD which consists of a broken Si–O bond with a Si–O distance of 2.54 Å. By disallowing the re-bonding of the Si and O atoms, another defect configuration (III-Si/V-Si) is obtained and validated to be stable at both ground and excitation states. The NBOHC-E′ is found to present on the minimal energy pathway of the initial to III-Si/V-Si transition, showing that the generating of the NBOHC-E′ is an important step of the excitation induced structure defect. The energy barriers to produce the NBOHC-E′ and III-Si/V-Si defects are calculated to be 1.19 and 1.28 eV, respectively. The electronic structures of the two defects are calculated by the self-consistent GW calculations and the results show a clear electron transition from the bonding orbital to the non-bonding orbital. (paper)

  18. Strained Si engineering for nanoscale MOSFETs

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Lee, Gon-Sub; Kim, Tae-Hyun; Hong, Seuck-Hoon; Kim, Seong-Je; Song, Jin-Hwan; Shim, Tae-Hun

    2006-01-01

    We have revealed a strain relaxation mechanism for strained Si grown on a relaxed SiGe-on-insulator structure fabricated by the bonding, dislocation sink, or condensation method. Strain relaxation for both the bonding and dislocation sink methods was achieved by grading the Ge concentration; in contrast, the relaxation for the condensation method was achieved through Ge atom condensation during oxidation. In addition, we estimated the surface roughness and threading-dislocation pit density for relaxed SiGe layer fabricated by the bonding, dislocation sink, or condensation method. The surface roughness and threading-dislocation pit density for the bonding, dislocation sink, and condensation methods were 2.45, 0.46, and 0.40 nm and 5.0 x 10 3 , 9 x 10 3 , and 0, respectively. In terms of quality and cost-effectiveness, the condensation method was superior to the bonding and dislocation sink methods for forming strained Si on a relaxed SiGe-on-insulator structure

  19. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  20. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  1. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  2. Preparation of crosslinked polysiloxane/SiO{sub 2} nanocomposite via in-situ condensation and its surface modification on cotton fabrics

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Lifen, E-mail: haolifen@sust.edu.cn [College of Chemistry and Chemical Engineering, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China); Gao, Tingting [College of Chemistry and Chemical Engineering, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Xu, Wei [College of Resource and Environment, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China); Wang, Xuechuan [College of Resource and Environment, Shaanxi University of Science and Technology, Xi' an, Shaanxi 710021 (China); Yang, Shuqin; Liu, Xiangguo [Zibo Dahuanjiu Polygrace Tannery Group Co. Ltd., Zibo, Shandong 256400 (China)

    2016-05-15

    Highlights: • We used a two-step method to fabricate novel crosslinked polysiloxane/SiO{sub 2} nanocomposite (CLPS-SiO{sub 2}). • Superhydrophobic surface on cotton fiber can be conveniently constructed by CLPS-SiO{sub 2}. • Color and softness of the CLPS-SiO{sub 2} treated fabric would not be influenced at all. • The CLPS-SiO{sub 2} treated fabric possessed good washing durability. - Abstract: Novel crosslinked polysiloxane/SiO{sub 2} nanocomposite (CLPS-SiO{sub 2}) was successfully prepared via the in-situ condensation reaction of silica sols and crosslinked polysiloxane with end-capped triethoxysilane in solvent, which was firstly fabricated through the modification of our previously developed crosslinked polysiloxane with end-capped epoxy groups using aminopropyltriethoxysilane (APTES) and noted as APTES-CLPS. Chemical structures and thermal properties of the as-prepared resultants were characterized by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance spectra ({sup 1}H/{sup 13}C NMR) and thermogravimetric analysis (TGA). CLPS-SiO{sub 2} was applied as surface modification agent to treat cotton fabrics. Film morphologies and surface properties were examined with scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), contact angle measurements, and other instruments. FTIR and NMR confirmed structure of the products. CLPS-SiO{sub 2} showed better thermal stability than APTES-CLPS due to anchor of the nanosilica. APTES-CLPS could deposit a smooth film on cotton fiber surface. Besides, CLPS-SiO{sub 2} also coated the fibers with many nano-scaled tubercles beneath this smooth film by SEM. However, the APTES-CLPS film and the CLPS-SiO{sub 2} film on silicon-wafer were never homogeneous and had a few low or high peaks. The root mean square roughness (Rq) of APTES-CLPS film reached to 0.441 nm in 2 × 2 μm{sup 2} scanning field and at 5 nm data scale. Owing to the incorporation of

  3. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  4. Preparation of a Si/SiO2 -Ordered-Mesoporous-Carbon Nanocomposite as an Anode for High-Performance Lithium-Ion and Sodium-Ion Batteries.

    Science.gov (United States)

    Zeng, Lingxing; Liu, Renpin; Han, Lei; Luo, Fenqiang; Chen, Xi; Wang, Jianbiao; Qian, Qingrong; Chen, Qinghua; Wei, Mingdeng

    2018-04-03

    In this work, an Si/SiO 2 -ordered-mesoporous carbon (Si/SiO 2 -OMC) nanocomposite was initially fabricated through a magnesiothermic reduction strategy by using a two-dimensional bicontinuous mesochannel of SiO 2 -OMC as a precursor, combined with an NaOH etching process, in which crystal Si/amorphous SiO 2 nanoparticles were encapsulated into the OMC matrix. Not only can such unique porous crystal Si/amorphous SiO 2 nanoparticles uniformly dispersed in the OMC matrix mitigate the volume change of active materials during the cycling process, but they can also improve electrical conductivity of Si/SiO 2 and facilitate the Li + /Na + diffusion. When applied as an anode for lithium-ion batteries (LIBs), the Si/SiO 2 -OMC composite displayed superior reversible capacity (958 mA h g -1 at 0.2 A g -1 after 100 cycles) and good cycling life (retaining a capacity of 459 mA h g -1 at 2 A g -1 after 1000 cycles). For sodium-ion batteries (SIBs), the composite maintained a high capacity of 423 mA h g -1 after 100 cycles at 0.05 A g -1 and an extremely stable reversible capacity of 190 mA h g -1 was retained even after 500 cycles at 1 A g -1 . This performance is one of the best long-term cycling properties of Si-based SIB anode materials. The Si/SiO 2 -OMC composites exhibited great potential as an alternative material for both lithium- and sodium-ion battery anodes. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Ultraviolet-enhanced photodetection in a graphene/SiO{sub 2}/Si capacitor structure with a vacuum channel

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Myungji; Kim, Hong Koo, E-mail: hkk@pitt.edu [Department of Electrical and Computer Engineering and Petersen Institute of NanoScience and Engineering, University of Pittsburgh, Pittsburgh, Pennsylvania 15261 (United States)

    2015-09-14

    We report photodetection properties of a graphene/oxide/silicon capacitor structure with a nanoscale vacuum channel. The photogenerated two-dimensional electron gas (2DEG) inversion charges at SiO{sub 2}/Si interface are extracted out to air and transported along the void channel at low bias voltage (<5 V). A monolayer graphene, placed on top of SiO{sub 2} and suspended on the void channel, is utilized as a photon-transparent counter-electrode to the 2DEG layer and a collector electrode for the out-of-plane transported electrons, respectively. The photocurrent extracted through a void channel reveals high responsivity (1.0 A/W at 633 nm) as measured in a broad spectral range (325–1064 nm), especially demonstrating a UV-enhanced performance (0.43 A/W responsivity and 384% internal quantum efficiency at 325 nm). The mechanisms underlying photocarrier generation, emission, and transport in a suspended-graphene/SiO{sub 2}/Si structure are proposed.

  6. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  7. Sintering of SiC ceramics, via liquid phase, with Al{sub 2}O{sub 3}-Yb{sub 2}O{sub 3} additives; Sinterizacao de ceramicas de SiC, via fase liquida, com aditivos de Al{sub 2}O{sub 3}-Yb{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Atilio, I.; Oliveira, M.R.; Garcia, G.C.R.; Ribeiro, S., E-mail: isabelaatilio@hotmail.com [Universidade de Sao Paulo (USP/EEL), Lorena, SP (Brazil). Escola de Engenharia. Dept. de Engenharia de Materiais

    2012-07-01

    The objective of this work was to study the sintering of SiC, through liquid phase, using the additive system Al{sub 2}O{sub 3} and Yb{sub 2}O{sub 3} for the first time. The samples were sintered at temperatures of 1900, 1950 and 2000 deg C for 60 minutes. The melting point of the system was determined according to DIN 51730. It has been found the ability of wetting of SiC in the system. The densification results were: 86,36% at 1900 deg C, 88,25% at 1950 deg C and 82,09% at 2000 deg C. The average linear shrinkage was approximately 17%. There was a conversion of β-SiC in α-SiC at all temperatures and sintering phase formation Yb{sub 3}Al{sub 5}O{sub 12}. The melting temperature was 1850 deg C for de system, consistent with the value in the phase diagram, and the wetting angle of 20 deg. The system (Yb{sub 2}O{sub 3}-Al{sub 2}O{sub 3}) is promising to make liquid phase sintering of SiC, for presenting a good result of wettability. (author)

  8. On the line intensity ratios of prominent Si II, Si III, and Si IV multiplets

    International Nuclear Information System (INIS)

    Djenize, S.; Sreckovic, A.; Bukvic, S.

    2010-01-01

    Line intensities of singly, doubly and triply ionized silicon (Si II, Si III, and Si IV, respectively) belonging to the prominent higher multiplets, are of interest in laboratory and astrophysical plasma diagnostics. We measured these line intensities in the emission spectra of pulsed helium discharge. The Si II line intensity ratios in the 3s3p 22 D-3s 2 4p 2 P o , 3s 2 3d 2 D-3s 2 4f 2 F o , and 3s 2 4p 2 P o -3s 2 4d 2 D transitions, the Si III line intensity ratios in the 3s3d 3 D-3s4p 3 P o , 3s4p 3 P o -3s4d 3 D, 3s4p 3 P o -3s5s 3 S, 3s4s 3 S-3s4p 3 P o , and 3s4f 3 F o -3s5g 3 G transitions, and the Si IV line intensity ratios in the 4p 2 P o -4d 2 D and 4p 2 P o -5s 2 S transitions were obtained in a helium plasma at an electron temperature of about 17,000 ± 2000 K. Line shapes were recorded using a spectrograph and an ICCD camera as a highly-sensitive detection system. The silicon atoms were evaporated from a Pyrex discharge tube designed for the purpose. They represent impurities in the optically thin helium plasma at the silicon ionic wavelengths investigated. The line intensity ratios obtained were compared with those available in the literature, and with values calculated on the basis of available transition probabilities. The experimental data corresponded well with line intensity ratios calculated using the transition probabilities obtained from a Multi Configuration Hartree-Fock approximation for Si III and Si IV spectra. We recommend corrections of some Si II transition probabilities.

  9. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  10. Back-angle anomaly 16O + 28Si and phenomenological effective surface potential

    International Nuclear Information System (INIS)

    Saad, S.S.; Darwish, N.Z.; El-Sharkawy

    1995-01-01

    The connection between the equations of classical hydrodynamics describing the flow of a liquid and the quantum-mechanical Schrodinger equation is discussed. A non-linear form of the latter is derived. The non-linearity of the Schrodinger equation is approximated by a phenomenological potential which is used to compute the differential cross-section (dσ/dΩ) for the elastic scattering of 16 O on 28 Si. (author)

  11. Application of the O-lattice theory for the reconstruction of the high-angle near 90° tilt Si(1 1 0)/(0 0 1) boundary created by wafer bonding

    International Nuclear Information System (INIS)

    Cherkashin, N.; Kononchuk, O.; Reboh, S.; Hÿtch, M.

    2012-01-01

    This work presents an experimental and theoretical identification of defects and morphologies of a high-angle near-90° tilt Si (1 ¯ 10)//(001) boundary created by direct wafer bonding. Two samples with different twist misorientations, between the (1 ¯ 10) layer and the (0 0 1) substrate, were studied using conventional transmission electron microscopy (TEM) and geometric phase analysis of high-resolution TEM images. The O-lattice theory was used for atom reconstruction of the interface along the [11 ¯ 0] sub //[001] lay direction. It is demonstrated that to preserve covalent bonding across the interface, it should consist of {11 ¯ 1} sub,lay //{1 ¯ 12} lay,sub facets intersected by maximum of six {11 ¯ 1} lay,sub planes with three 90° Shockley dislocations per facet. It is shown that a particular atom reconstruction is needed at transition points from one facet to another. The presence or absence of deviation from exact 90° tilt of the layer with respect to the substrate is shown to be related directly to the undulations of the interface. It is demonstrated that the latter has an influence on the Burgers vector of the dislocations adjusting in-plane twist misorientation. A general model for cubic face-centered materials for an arbitrary 〈1 1 0〉 sub,lay tilt interface is proposed, which predicts the net Burgers vector and the spacing between dislocations necessary to realize transition from the lattice of the substrate (layer) to the layer (substrate).

  12. C and Si delta doping in Ge by CH_3SiH_3 using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Mai, Andreas; Tillack, Bernd

    2016-01-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH_3SiH_3 is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H_2 or N_2 carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N_2 as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH_3SiH_3 is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H_2 as carrier gas, lower incorporated C is observed in comparison to Si. CH_3SiH_3 injected with H_2 carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N_2 at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH_3SiH_3 exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH_3SiH_3 adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  13. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  14. Reduction of bonding resistance of two-terminal III-V/Si tandem solar cells fabricated using smart-stack technology

    Science.gov (United States)

    Baba, Masaaki; Makita, Kikuo; Mizuno, Hidenori; Takato, Hidetaka; Sugaya, Takeyoshi; Yamada, Noboru

    2017-12-01

    This paper describes a method that remarkably reduces the bonding resistance of mechanically stacked two-terminal GaAs/Si and InGaP/Si tandem solar cells, where the top and bottom cells are bonded using a Pd nanoparticle array. A transparent conductive oxide (TCO) layer, which partially covers the surface of the Si bottom cell below the electrodes of the III-V top cell, significantly enhances the fill factor (FF) and cell conversion efficiency. The partial TCO layer reduces the bonding resistance and thus, increases the FF and efficiency of InGaP/Si by factors of 1.20 and 1.11, respectively. Eventually, the efficiency exceeds 15%. Minimizing the optical losses at the bonding interfaces of the TCO layer is important in the fabrication of high-efficiency solar cells. To help facilitate this, the optical losses in the tandem solar cells are thoroughly characterized through optical simulations and experimental verifications.

  15. O (descuidado de si do profissional de enfermagem

    Directory of Open Access Journals (Sweden)

    Maria Aparecida Baggio

    2008-12-01

    Full Text Available Este é um estudo exploratório descritivo de abordagem qualitativa que objetivou compreender o significado do (descuidado de si dos profissionais de enfermagem. Os sujeitos selecionados são auxiliares e técnicos de enfermagem e enfermeiros atuantes em rede pública e particular de saúde. Os dados foram coletados por meio de entrevista estruturada para a caracterização dos sujeitos e entrevista semi-estruturada para conhecer os posicionamentos individuais sobre o tema em questão. O registro dos dados foi audiogravado, sendo os mesmos, posteriormente, transcritos, conferidos e submetidos à análise. Assim, o trabalho foi dividido em categorias e subcategorias que se configuraram em capítulos. Num primeiro momento analiso o significado do cuidado do outro, e procuro demonstrar, nas atitudes do profissional, o cuidado verbal e não-verbal imbuído de empatia, a sensibilidade com a dor do outro e, ainda, o envolvimento com o cliente como atitude terapêutica. Num momento posterior, busco trazer o significado do (descuidado de si, que compreende os aspectos sócio-educativo-cultural, atenção e convivência com a família e amigos, valorização da interioridade do eu. A atenção aos aspectos físicos e estéticos foi mencionada como cuidado de si e, o inverso, foi lembrado como descuidado. O fator tempo foi ressaltado como um grande problema ao descuido de si, a alimentaçãoo contempla o equilíbrio e a atenção que merece, o profissional faz uso constante da automedicação e o trabalho influencia para oo cuidado de si. Na seqüência, trato do (descuidado de si enquanto cuida do outro que confirma a negligência do profissional de enfermagem ao cuidado de si enquanto cuida do outro. E, na última parte deste trabalho, procuro discorrer sobre a enfermagem e suas relações na construção do profissional ressaltando a conjugação e a interdependência do trabalho em enfermagem e a vida pessoal dos sujeitos. Nesta relação

  16. Comparative Study of Catalytic Oxidation of Ethanol to Acetaldehyde Using Fe(III Dispersed on Sb2O5 Grafted on SiO2 and on Untreated SiO2 Surfaces

    Directory of Open Access Journals (Sweden)

    Benvenutti Edilson V.

    1998-01-01

    Full Text Available Fe(III was supported on Sb(V oxide grafted on the silica gel surface and directly on the silica gel surface using ion-exchange and impregnation processes producing Fe/Sb/SiO2 and Fe/SiO2, respectively. The catalytic conversion of ethanol to acetaldehyde was much more efficient using Fe/Sb/SiO2 than Fe/SiO2 as catalyst. This higher efficiency of the former catalyst takes into account two aspects: a the new phase FeSbO4 formed when Fe/Sb/SiO2 is heat treated and, b it is higher dispersion on the matrix.

  17. Performance of RF sputtered p-Si/n-ZnO nanoparticle thin film heterojunction diodes in high temperature environment

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Satyendra Kumar, E-mail: satyndra.singh.eee09@itbhu.ac.in [Department of Electronics and Communication Engineering, Model Institute of Engineering and Technology, Jammu, 181122 (India); Department of Electronics and Communication Engineering, Motilal Nehru National Institute of Technology, Allahabad, Uttar Pradesh, 211004 (India); Hazra, Purnima, E-mail: purnima.hazra@smvdu.ac.in [Department of Electronics and Communication Engineering, Shri Mata Vaishno Devi University, Katra, Jammu and Kashmir, 182320 (India)

    2017-04-01

    Highlights: • Synthesize ZnO nanoparticle thin film on p-Si substrate using RF sputtering method. • I–V and C–V characteristics of Si/ZnO heterojunction diode are studied. • High temperature performance is analyzed accounting barrier height inhomogeneities. • Gaussian distribution of BH inhomogeneities is considered to modify Richardson plot. • Modified R constant is 33.06 Acm{sup −2}K{sup −2}, i.e. nearer to theoretical value 32 Acm{sup −2}K{sup −2}. - Abstract: In this article, temperature-dependent current-voltage characteristics of n-ZnO/p-Si nanoparticle thin film heterojunction diode grown by RF sputtering technique are analyzed in the temperature range of 300–433 k to investigate the performance of the device in high temperature environment. The microstructural, morphological, optical and temptrature dependent electrical properties of as-grown nanoparticle thin film were characterized by X-ray diffractometer (XRD), atomic force microscopy (AFM), field emmision scanning electron microscopy (FESEM), energy-dispersive X-ray spectroscopy (EDX), variable angle ellipsometer and semiconductor device analyzer. XRD spectra of as-grown ZnO films are exhibited that highly c-axis oriented ZnO nanostructures are grown on p- Si〈100〉 substrate whereas AFM and FESEM images confirm the homogeneous deposition of ZnO nanoparticles on surface of Si substratewith minimum roughness.The optical propertiesof as-grown ZnO nanoparticles have been measured in the spectral range of 300–800 nm using variable angle ellipsometer.To measure electrical parameters of the device prototype in the temperature range of room temperature (300 K) to 433 K, large area ohmic contacts were fabricated on both side of the ZnO/Si heterostructure. From the current-voltage charcteristics of ZnO/Si heterojunction device, it is observed that the device exhibits rectifing nature at room temperature. However, with increase in temperature, reverse saturation current and barrier

  18. Internal Friction of (SiO2)1-x (GeO2)x Glasses

    OpenAIRE

    Kosugi , T.; Kobayashi , H.; Kogure , Y.

    1996-01-01

    Internal friction of (SiO2)1-x (GeO2)x glasses (x = 0, 5, 10, 24 and 100 mole%) is measured at temperatures between 1.6 and 280 K. The data are filted with the equations for thermally activated relaxation with distributing activation energies in symmetrical double-well potentials. From the determined relaxation strength spectra for each sample, the contributions from each type of microscopic structural units are calculated assuming that transverse motion of the bridging O atom in Si-O-Si, Si-...

  19. Long-term water absorption and thickness swelling and determine their characteristics in wood flour/polypropylene/Nano SiO2 nanocomposite

    Directory of Open Access Journals (Sweden)

    Saeed Ismaeilimoghadam

    2016-09-01

    Full Text Available The objective of this study was to investigate the effect of nano SiO2 on long-term water absorption and thickness swelling, humidity coefficient diffusion and thickness swelling rate of wood plastic composite. For this purpose, 60% wood flour, 40% polypropylene, 2 per hundred compound (phc MAPP in internal mixer (HAAKE were mixed. Nano SiO2 with 0, 1, 3 and 5 (phc ratios as a reinforcing was used too. Finally test samples were fabricated by using the injection molding machine. Then long-term water absorption and thickness swelling for 1848 hours according to the ASTM standard on the samples were measured. Humidity coefficient diffusion and thickness swelling rate for closer look long-term water absorption and thickness swelling behavior in wood plastic nanocomposite were calculated too. For ensure to the formation of hydrogen bonds between hydroxyl grope of SiO2 nanoparticles with hydroxyl grope of wood flour form Fourier transform infrared (FTIR spectroscopy tests was used. The results showed that water absorption behavior of nanocomposite is according to Fick's law, in addition with increasing to SiO2 nanoparticles, long-term water absorption and thickness swelling and humidity coefficient diffusion in wood plastic nanocomposite decreased. The results of infrared spectroscopy showed that hydrogen bond between the nano SiO2 and wood flour confirmed. Statistical analysis showed that after 1848 hours of immersion, nano SiO2 showed a significant effect at a confidence level of 99% on water absorption and thickness swelling, so the sample with 5% silica nanoparticles was chosen as the best treatment.

  20. Mechanical behavior of SiCf/SiC composites with alternating PyC/SiC multilayer interphases

    International Nuclear Information System (INIS)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-01-01

    Highlights: ► Superior combination of flexural strength and fracture toughness of the 3D SiC/SiC composite was achieved by interface tailoring. ► Resulted composite possesses a much higher flexural strength and fracture toughness than its counterparts in literatures. ► Mechanisms that PyC/SiC multilayer coatings improve the mechanical properties were illustrated. -- Abstract: In order to tailor the fiber–matrix interface of continuous silicon carbide fiber reinforced silicon carbide (SiC f /SiC) composites for improved fracture toughness, alternating pyrolytic carbon/silicon carbide (PyC/SiC) multilayer coatings were applied to the KD-I SiC fibers using chemical vapor deposition (CVD) method. Three dimensional (3D) KD-I SiC f /SiC composites reinforced by these coated fibers were fabricated using a precursor infiltration and pyrolysis (PIP) process. The interfacial characteristics were determined by the fiber push-out test and microstructural examination using scanning electron microscopy (SEM). The effect of interface coatings on composite mechanical properties was evaluated by single-edge notched beam (SENB) test and three-point bending test. The results indicate that the PyC/SiC multilayer coatings led to an optimum interfacial bonding between fibers and matrix and greatly improved the fracture toughness of the composites.