WorldWideScience

Sample records for si ti ge

  1. Structural stability, electronic and magnetic behaviour of spin-polarized YCoVZ (Z = Si, Ge) and YCoTiZ (Z = Si, Ge) Heusler alloys

    Energy Technology Data Exchange (ETDEWEB)

    Rasool, Muhammad Nasir, E-mail: nasir4iub@gmail.com [Department of Physics, The Islamia University of Bahawalpur, Bahawalpur, 63100 (Pakistan); Hussain, Altaf, E-mail: altafiub@yahoo.com [Department of Physics, The Islamia University of Bahawalpur, Bahawalpur, 63100 (Pakistan); Javed, Athar [Department of Physics, University of the Punjab, Lahore, 54590 (Pakistan); Khan, Muhammad Azhar; Iqbal, F. [Department of Physics, The Islamia University of Bahawalpur, Bahawalpur, 63100 (Pakistan)

    2016-11-01

    The structural stability, electronic and magnetic behaviour of YCoVZ (Z = Si, Ge) and YCoTiZ (Z = Si, Ge) Heusler alloys have been studied by first principle approach. Generalized gradient approximation (GGA) based on density functional theory (DFT) has been applied to investigate the properties of quaternary Heusler alloys. The YCoVSi, YCoVGe, YCoTiSi and YCoTiGe Heusler alloys of Type-3 structure are found to be stable in spin-polarized/magnetic phase. The YCoVSi and YCoVGe alloys exhibit nearly spin gapless semiconductor (SGS) behaviour while YCoTiSi and YCoTiGe alloys show half-metallic ferromagnetic (HMF) behaviour. For YCoVSi, YCoVGe, YCoTiSi and YCoTiGe alloys, the calculated energy band gaps in spin down (↓) channel are 0.60, 0.54, 0.68 and 0.44 eV, respectively. The YCoVZ and YCoTiZ alloys are found to have integral value of total magnetic moment (M{sub T}), thus obeying the Slater-Pauling rule, M{sub T} = (N{sub v}–18)μ{sub B}. - Highlights: • Four Heusler alloys i.e. YCoVZ (Z = Si, Ge) and YCoTiZ (Z = Si, Ge) are studied. • Type-3 crystal structure of all four alloys is stable in magnetic phase. • The compressibility (S) follows the order: S{sub YCoVSi} > S{sub YCoTiSi} > S{sub YCoVGe} > S{sub YCoTiGe}. • Half metallic ferromagnetic behaviour is observed in all four alloys. • All four alloys obey the Slater-Pauling rule, M{sub T} = (N{sub v} – 18)μ{sub B}.

  2. High Stability Induced by the TiN/Ti Interlayer in Three-Dimensional Si/Ge Nanorod Arrays as Anode in Micro Lithium Ion Battery.

    Science.gov (United States)

    Yue, Chuang; Yu, Yingjian; Wu, Zhenguo; Sun, Shibo; He, Xu; Li, Juntao; Zhao, Libo; Wu, Suntao; Li, Jing; Kang, Junyong; Lin, Liwei

    2016-03-01

    Three-dimensional (3D) Si/Ge-based micro/nano batteries are promising lab-on-chip power supply sources because of the good process compatibility with integrated circuits and Micro/Nano-Electro-Mechanical System technologies. In this work, the effective interlayer of TiN/Ti thin films were introduced to coat around the 3D Si nanorod (NR) arrays before the amorphous Ge layer deposition as anode in micro/nano lithium ion batteries, thus the superior cycling stability was realized by reason for the restriction of Si activation in this unique 3D matchlike Si/TiN/Ti/Ge NR array electrode. Moreover, the volume expansion properties after the repeated lithium-ion insertion/extraction were experimentally investigated to evidence the superior stability of this unique multilayered Si composite electrode. The demonstration of this wafer-scale, cost-effective, and Si-compatible fabrication for anodes in Li-ion micro/nano batteries provides new routes to configurate more efficient 3D energy storage systems for micro/nano smart semiconductor devices.

  3. Magnetic properties of TbTiGe

    International Nuclear Information System (INIS)

    Prokes, K.; Tegus, O.; Brueck, E.; Gortenmulder, T.J.; Boer, F.R. de; Buschow, K.H.J.

    2001-01-01

    We have studied the magnetic properties of the compound TbTiGe by means of neutron diffraction in the temperature range 1.7-310 K. We also report on magnetization measurements made at different temperatures and fields. The compound TbTiGe adopts the tetragonal CeFeSi-structure type and orders antiferromagnetically at T N =286 K. The structure is collinear antiferromagnetic in the whole temperature range below T N , with the magnetic moments aligned along the tetragonal c-axis. The uncommon shape of the temperature dependence of the magnetization observed in our sample is attributed to small amounts of the ferromagnetic low-temperature modification of TbTiGe

  4. Electronic structure and magnetism of new ilmenite compounds for spintronic devices: FeBO{sub 3} (B = Ti, Hf, Zr, Si, Ge, Sn)

    Energy Technology Data Exchange (ETDEWEB)

    Ribeiro, R.A.P. [Department of Chemistry, State University of Ponta Grossa, Av. General Carlos Cavalcanti, 4748, 84030-900 Ponta Grossa, PR (Brazil); Camilo, A. [Department of Physics, State University of Ponta Grossa, Av. General Carlos Cavalcanti, 4748, 84030-900 Ponta Grossa, PR (Brazil); Lazaro, S.R. de, E-mail: srlazaro@uepg.br [Department of Chemistry, State University of Ponta Grossa, Av. General Carlos Cavalcanti, 4748, 84030-900 Ponta Grossa, PR (Brazil)

    2015-11-15

    First-principles calculations were performed in the framework of Density Functional Theory (DFT) within hybrid functional (B3LYP) to study the electronic structure and magnetic properties of new ilmenite FeBO{sub 3} (B=Ti, Hf, Zr, Si, Ge, Sn) materials. In particular, the magnetic exchange interaction between Fe{sup 2+} layers is dependent on the interlayer distance and it can be controlled by ionic radius of B-site cation. Thus, Fe(Ti, Si, Ge)O{sub 3} are antiferromagnetic materials, while Fe(Zr, Hf, Sn)O{sub 3} are ferromagnetic. We also argue that antiferromagnetic materials and FeZrO{sub 3} are convectional semiconductors, whereas FeHfO{sub 3} and FeSnO{sub 3} exhibit intrinsic half-metallic behavior, making them promising candidates for spintronic devices. - Highlights: • We study electronic structure and magnetism of new FeBO{sub 3} (B=Ti, Hf, Zr, Si, Ge, Sn) ilmenite materials. • We found that magnetic ordering of Fe-based ilmenite materials can be controlled by size of B-site cation. • Fe(Ti, Zr, Si, Ge)O{sub 3} are convectional semiconductors. • FeHfO{sub 3} and FeSnO{sub 3} exhibit intrinsic half-metallic behavior with potential application for spintronic devices.

  5. First-principles study on the structural, electronic and magnetic properties of the Ti{sub 2}VZ (Z = Si, Ge, Sn) full-Heusler compounds

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Santao; Shen, Jiang [Institute for Applied Physics, University of Science and Technology Beijing, Beijing 100083 (China); Zhang, Chuan-Hui, E-mail: zhangch@ustb.edu.cn [National Center for Materials Service Safety, University of Science and Technology Beijing, Beijing 100083 (China)

    2015-08-15

    In the present work, we have investigated the structural, electronic and magnetic properties of Ti{sub 2}VZ (Z = Si, Ge, Sn) alloys with Hg{sub 2}CuTi-type structure in the framework of density functional theory with generalized gradient approximation (GGA). The calculated results show that Ti{sub 2}VSi and Ti{sub 2}VGe alloys belong to half-metallic compounds with a perfect 100% spin polarization at the Fermi level while Ti{sub 2}VSn alloy is just a conventional ferrimagnetism compound. And the total magnetic moment of Ti{sub 2}VSi and Ti{sub 2}VGe obey the Slater–Pauling (SP) rule. In a moderate variation range of lattice distortion, Ti{sub 2}VSi and Ti{sub 2}VGe remain half-metallicity. We expect that our calculated results may trigger Ti{sub 2}VZ (Z = Si, Ge, Sn) applying in the future spintronics field. - Highlights: • Structural properties of Ti{sub 2}VZ (Z = Si, Ge, Sn) have been achieved by ab initio. • The calculations proved Ti{sub 2}VSi and Ti{sub 2}VGe to be half-metallic compounds. • The total magnetic moments of Ti{sub 2}VSi and Ti{sub 2}VGe followed the SP rule M{sub t} = Z{sub t} − 18. • Their magnetic and half-metallic properties changed with lattice distortion.

  6. Degradation of Au–Ti contacts of SiGe HBTs during electromagnetic field stress

    International Nuclear Information System (INIS)

    Alaeddine, A; Genevois, C; Cuvilly, F; Daoud, K; Kadi, M

    2011-01-01

    This paper addresses electromagnetic field stress effects on SiGe heterojunction bipolar transistors (HBTs)' reliability issues, focusing on the relationship between the stress-induced current and device structure degradations. The origin of leakage currents and electrical parameter shifts in failed transistors has been studied by complementary failure analysis techniques. Characterization of the structure before and after ageing was performed by transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS). For the stressed samples, interface deformations of the titanium (Ti) thin film around all gold (Au) contacts have been clearly detected. These degradations include localized interface reaction between Au and Ti layers as well as their lateral atomic migration causing a significant reduction of Ti thickness. EDS analysis of the disordered region which is near the Si 3 N 4 interface has shown significant signals from Au. These observations could be attributed to the coupling between high current densities induced by stress and thermal effects due to local heating effects

  7. Influence of Ge addition on the morphology and properties of TiN thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Sandu, C.S.; Sanjines, R.; Benkahoul, M.; Parlinska-Wojtan, M.; Karimi, A.; Levy, F.

    2006-01-01

    Thin films of TM-X-N (TM stands for early transition metal and X = Si, Al, etc.) are used as protective coatings. The most investigated among the ternary composite systems is Ti-Si-N. The system Ti-Ge-N has been chosen to extend the knowledge about the formation of nanocomposite films. Ti-Ge-N thin films were deposited by reactive magnetron sputtering on Si and WC-Co substrates at T s = 240 deg. C, from confocal Ti and Ge targets in mixed Ar/N 2 atmosphere. The nitrogen partial pressure and the power on the Ti target were kept constant, while the power on the Ge target was varied in order to obtain various Ge concentrations in the films. No presence of Ge-N bonds was detected, while X-ray photoelectron spectroscopy measurements revealed the presence of Ti-Ge bonds. Transmission Electron Microscopy investigations have shown important changes induced by Ge addition in the morphology and structure of Ti-Ge-N films. Electron Energy-Loss Spectrometry study revealed a significant increase of Ge content at the grain boundaries. The segregation of Ge atoms to the TiN crystallite surface appears to be responsible for limitation of crystal growth and formation of a TiGe y amorphous phase

  8. Molecular beam electric deflection of the tetrahalides CF4, CCl4, SiF4, SiCl4, GeCl4, TiF4, TiCl4, VF4, and VCl4

    International Nuclear Information System (INIS)

    Muenter, A.A.; Dyke, T.R.; Falconer, W.E.; Klemperer, W.

    1975-01-01

    Using molecular beam electric deflection, the temperature dependence of polar behavior has been studied for the molecules CF 4 , CCl 4 , SiF 4 , SiCl 4 , GeCl 4 , TiF 4 TiCl 4 , VF 4 , VF 4 , and VCl 4 . A number of these molecules show polar behavior consistent with a vibrationally induced dipole moment for states with one or both of the triply degenerate vibrations excited. In four of the tetrachloride species, the presence of a vibrationally induced dipole moment was confirmed by the change in polar behavior with isotopic substituion of the Cl atoms. The deflection behavior of the transition metal tetrahalides varied from nonpolar in VCl 4 to very polar in TiF 4

  9. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  10. Ge/graded-SiGe multiplication layers for low-voltage and low-noise Ge avalanche photodiodes on Si

    Science.gov (United States)

    Miyasaka, Yuji; Hiraki, Tatsurou; Okazaki, Kota; Takeda, Kotaro; Tsuchizawa, Tai; Yamada, Koji; Wada, Kazumi; Ishikawa, Yasuhiko

    2016-04-01

    A new structure is examined for low-voltage and low-noise Ge-based avalanche photodiodes (APDs) on Si, where a Ge/graded-SiGe heterostructure is used as the multiplication layer of a separate-absorption-carrier-multiplication structure. The Ge/SiGe heterojunction multiplication layer is theoretically shown to be useful for preferentially enhancing impact ionization for photogenerated holes injected from the Ge optical-absorption layer via the graded SiGe, reflecting the valence band discontinuity at the Ge/SiGe interface. This property is effective not only for the reduction of operation voltage/electric field strength in Ge-based APDs but also for the reduction of excess noise resulting from the ratio of the ionization coefficients between electrons and holes being far from unity. Such Ge/graded-SiGe heterostructures are successfully fabricated by ultrahigh-vacuum chemical vapor deposition. Preliminary pin diodes having a Ge/graded-SiGe multiplication layer act reasonably as photodetectors, showing a multiplication gain larger than those for diodes without the Ge/SiGe heterojunction.

  11. Investigating the large degeneracy Kondo lattice metamagnet CeTiGe: Crystal growth and doping studies

    Energy Technology Data Exchange (ETDEWEB)

    Gruner, T.; Caroca-Canales, N.; Deppe, M.; Geibel, C. [MPI fuer Chemische Physik fester Stoffe, 01187, Dresden (Germany); Sereni, J. [Centro Atomico Bariloche, 8400, S. C. de Bariloche (Argentina)

    2011-07-01

    CeTiGe is a paramagnetic Kondo lattice system with a large orbital degeneracy involved in the formation of the heavy Fermion ground state. Recently we discovered that this compound presents a huge metamagnetic transition at B{sub MMT} {approx} 13 T, with much larger anomalies in magnetization, magnetoresistance and magnetostriction than in the archetypical Kondo lattice metamagnet CeRu{sub 2}Si{sub 2}. Since CeTiGe forms in a pronounced peritectic reaction the growth of single crystals is difficult. We therefore studied the Ce-Ti-Ge ternary metallographic phase diagram to get a sound basis for future crystal growth attempts. Preliminary results of growth experiments based on these studies are promising and shall be discussed. Furthermore, Ti-rich CeTiGe was recently reported to present a high temperature phase crystallizing in the closely related CeScSi structure type. In order to study this structural instability and the effect on the physical properties, we studied the effect of substituting Sc for Ti, since pure CeScGe crystallizes in the CeScSi structure type. In well annealed samples we observed a two phase region in the range 10% - 25%-Sc-substitution. Preliminary investigations of the CeSc{sub x}Ti{sub 1-x}Ge alloy suggest it is a promising candidate for the observation of a ferromagnetic quantum critical point in a large degeneracy Kondo lattice system.

  12. Intrinsic defect processes and elastic properties of Ti3AC2 (A = Al, Si, Ga, Ge, In, Sn) MAX phases

    Science.gov (United States)

    Christopoulos, S.-R. G.; Filippatos, P. P.; Hadi, M. A.; Kelaidis, N.; Fitzpatrick, M. E.; Chroneos, A.

    2018-01-01

    Mn+1AXn phases (M = early transition metal; A = group 13-16 element and X = C or N) have a combination of advantageous metallic and ceramic properties, and are being considered for structural applications particularly where high thermal conductivity and operating temperature are the primary drivers: for example in nuclear fuel cladding. Here, we employ density functional theory calculations to investigate the intrinsic defect processes and mechanical behaviour of a range of Ti3AC2 phases (A = Al, Si, Ga, Ge, In, Sn). Based on the intrinsic defect reaction, it is calculated that Ti3SnC2 is the more radiation-tolerant 312 MAX phase considered herein. In this material, the C Frenkel reaction is the lowest energy intrinsic defect mechanism with 5.50 eV. When considering the elastic properties of the aforementioned MAX phases, Ti3SiC2 is the hardest and Ti3SnC2 is the softest. All the MAX phases considered here are non-central force solids and brittle in nature. Ti3SiC2 is elastically more anisotropic and Ti3AlC2 is nearly isotropic.

  13. Structure and stability of M6N8 clusters (M = Si, Ge, Sn, Ti).

    Science.gov (United States)

    Davydova, Elena I; Timoshkin, Alexey Y; Frenking, Gernot

    2010-06-10

    The structures and stabilities of the M(6)N(8) clusters (M = Si, Ge, Sn, Ti) have been theoretically studied at DFT and ab initio levels of theory. Two new isomers have been considered: cage-like molecules and propeller-like molecules. It is shown that only for M = Si are both isomers true minima on the potential energy surface. The thermodynamics of the dissociation process (1/6)M(6)N(8) --> (1/3)M(3)N(4) is discussed. For each M(3)N(4) molecule, four structures with different multiplicity are considered. The thermodynamic analysis shows that independently of the multiplicity of M(3)N(4) nitrides all M(6)N(8) clusters are stable in the gas phase in a wide temperature range and could be potential intermediates in chemical vapor deposition of the nitride materials.

  14. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  15. Single-fabrication-step Ge nanosphere/SiO2/SiGe heterostructures: a key enabler for realizing Ge MOS devices

    Science.gov (United States)

    Liao, P. H.; Peng, K. P.; Lin, H. C.; George, T.; Li, P. W.

    2018-05-01

    We report channel and strain engineering of self-organized, gate-stacking heterostructures comprising Ge-nanosphere gate/SiO2/SiGe-channels. An exquisitely-controlled dynamic balance between the concentrations of oxygen, Si, and Ge interstitials was effectively exploited to simultaneously create these heterostructures in a single oxidation step. Process-controlled tunability of the channel length (5–95 nm diameters for the Ge-nanospheres), gate oxide thickness (2.5–4.8 nm), as well as crystal orientation, chemical composition and strain engineering of the SiGe-channel was achieved. Single-crystalline (100) Si1‑x Ge x shells with Ge content as high as x = 0.85 and with a compressive strain of 3%, as well as (110) Si1‑x Ge x shells with Ge content of x = 0.35 and corresponding compressive strain of 1.5% were achieved. For each crystal orientation, our high Ge-content, highly-stressed SiGe shells feature a high degree of crystallinity and thus, provide a core ‘building block’ required for the fabrication of Ge-based MOS devices.

  16. Spectroscopic Studies of Semiconductor Materials for Aggressive-scaled Micro- and Opto-electronic Devices: nc-SiO2, GeO2; ng-Si, Ge and ng-Transition metal (TM) oxides

    Science.gov (United States)

    Cheng, Cheng

    transitions. Intra-d states are observed in all high-K dielectrics regardless of morphology, e.g. ng-TiO2, nc- Ti silicate , c-LaTiO3, nc-HfSiON334. This dissertation also discussed spectroscopic studies of: (i) nc-SiO 2, nc-GeO2 and (ii) nc-(SiO2)x(GeO2) 1-x pseudo-binary alloys. These studies, and the interpretation of these spectra and those in Chapter 3 in the This dissertation also discussed spectroscopic studies of: (i) nc-SiO2, nc-GeO2 and (ii) nc-(SiO 2)x(GeO2)1-x pseudo-binary alloys. These studies, and the interpretation of these spectra and those in Chapter 3 in the context of ab-initio theory provide a science base for the implementation of nc-oxides onto Germaniumsubstrates for aggressively scaled CMOS FETs, imaging devices as well as photovoltaics. X-Ray photoelectron spectroscopy(XPS) and Auger electron spectroscopy(AES) were used to determine SiO2 and GeO2 concentration in (SiO2)x(GeO2)1-x alloys. A linear trend in chemical shifts with compositions is observed and explained with charge-potential model, which incorporates the results of calculated partial charge from an empirical model for ionicity. The compositional linear relationships between binding energies nc-SiO 2, nc-GeO2, and (SiO2)x(GeO2)1-x alloy concentration agrees with the calculated results in charge potential model. SE and XAS spectral results show relatively strong O-vacancy in nc-GeO 2. O-vacancy defects in c-SiO2 are weaker. This is due to differences between Ge-O and Si-O bond (657.5kJ/mol and 799.6kJ/mol respectively). SE data shows a strong defect feature in GeO2, while SiO2 has no significant and distinct defect signature. Percolation theory describes the interconnection of bonds, e.g. Si-O and Ge-O in an otherwise nc-material, a (SiO2)x(GeO2)1-x pseudo-binary alloy. Changes in the band-gap energy of binary Si-Ge alloys occur at 0%Si (or 100% Ge), and the band gap energy increases from ˜ 0.6 eV to ˜0.87 eV as the Si concentration increases. A inflection point is at the percolation

  17. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  18. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    Science.gov (United States)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  19. Precipitation and strengthening phenomena in Al-Si-Ge and Al-Cu-Si-Ge alloys

    International Nuclear Information System (INIS)

    Mitlin, D.; Morris, J.W.; Dahmen, U.; Radmilovic, V.

    2000-01-01

    The objective of this work was to determine whether Al rich Al-Si-Ge and 2000 type Al-Cu-Si-Ge alloys have sufficient hardness to be useful for structural applications. It is shown that in Al-Si-Ge it is not possible to achieve satisfactory hardness through a conventional heat treatment. This result is explained in terms of sluggish precipitation of the diamond-cubic Si-Ge phase coupled with particle coarsening. However, Al-Cu-Si-Ge displayed a uniquely fast aging response, a high peak hardness and a good stability during prolonged aging. The high hardness of the Cu containing alloy is due to the dense and uniform distribution of fine θ' precipitates (metastable Al 2 Cu) which are heterogeneously nucleated on the Si-Ge particles. High resolution TEM demonstrated that in both alloys all the Si-Ge precipitates start out, and remain multiply twinned throughout the aging treatment. Since the twinned section of the precipitate does not maintain a low index interface with the matrix, the Si-Ge precipitates are equiaxed in morphology. Copyright (2000) AD-TECH - International Foundation for the Advancement of Technology Ltd

  20. Infrared spectrum and compressibility of Ti3GeC2 to 51 GPa

    International Nuclear Information System (INIS)

    Manoun, Bouchaib; Yang, H.; Saxena, S.K.; Ganguly, A.; Barsoum, M.W.; El Bali, B.; Liu, Z.X.; Lachkar, M.

    2007-01-01

    Using a synchrotron radiation source and a diamond anvil cell, we measured the pressure dependence of the lattice parameters of a polycrystalline Ti 3 GeC 2 sample up to a pressure of 51 GPa. No phase transformations were observed. Like Ti 3 SiC 2 , and most other compounds belonging to the same family of ternary carbides and nitrides, the so-called MAX phases, the compressibility of Ti 3 GeC 2 along the c axis is greater than that along the a axis. The bulk modulus is 197 ± 4 GPa, with a pressure derivative of 3.4 ± 0.1. We also characterized Ti 3 GeC 2 by infrared spectroscopy; four of the five expected infrared modes were observed for this material

  1. Si, Ge and SiGe wires for sensor application

    International Nuclear Information System (INIS)

    Druzhinin, A.A.; Khoverko, Yu.M.; Ostrovskii, I.P.; Nichkalo, S.I.; Nikolaeva, A.A.; Konopko, L.A.; Stich, I.

    2011-01-01

    Resistance and magnetoresistance of Si, Ge and Si-Ge micro- and nanowires were studied in temperature range 4,2-300 K at magnetic fields up to 14 T. The wires diameters range from 200 nm to 20 μm. Ga-In gates were created to wires and ohmic I-U characteristics were observed in all temperature range. It was found high elastic strain for Ge nanowires (of about 0,7%) as well as high magnitude of magnetoresistance (of about 250% at 14 T), which was used to design multifunctional sensor of simultaneous measurements of strain and magnetic field intensity. (authors)

  2. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    Science.gov (United States)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  3. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    For more than two decades, advances in MOSFETs used in CMOS VLSI applications have been made through scaling to ever smaller dimensions for higher packing density, faster circuit speed and lower power dissipation. As scaling now approaches nanometer regime, the challenge for further scaling becomes greater in terms of technology as well as device reliability. This work presents an alternative approach whereby non-selectively grown Si/SiGe heterostructure system is used to improve device performance or to relax the technological challenge. SiGe is considered to be of great potential because of its promising properties and its compatibility with Si, the present mainstream material in microelectronics. The advantages of introducing strained SiGe in CMOS technology are examined through two types of device structure. A novel structure has been fabricated in which strained SiGe is incorporated in the source/drain of P-MOSFETs. Several advantages of the Si/SiGe source/drain P-MOSFETs over Si devices are experimentally, demonstrated for the first time. These include reduction in off-state leakage and punchthrough susceptibility, degradation of parasitic bipolar transistor (PBT) action, suppression of CMOS latchup and suppression of PBT-induced breakdown. The improvements due to the Si/SiGe heterojunction are supported by numerical simulations. The second device structure makes use of Si/SiGe heterostructure as a buried channel to enhance the hole mobility of P-MOSFETs. The increase in the hole mobility will benefit the circuit speed and device packing density. Novel fabrication processes have been developed to integrate non-selective Si/SiGe MBE layers into self-aligned PMOS and CMOS processes based on Si substrate. Low temperature processes have been employed including the use of low-pressure chemical vapor deposition oxide and plasma anodic oxide. Low field mobilities, μ 0 are extracted from the transfer characteristics, Id-Vg of SiGe channel P-MOSFETs with various Ge

  4. Ge/SiGe superlattices for nanostructured thermoelectric modules

    International Nuclear Information System (INIS)

    Chrastina, D.; Cecchi, S.; Hague, J.P.; Frigerio, J.; Samarelli, A.; Ferre–Llin, L.; Paul, D.J.; Müller, E.; Etzelstorfer, T.; Stangl, J.; Isella, G.

    2013-01-01

    Thermoelectrics are presently used in a number of applications for both turning heat into electricity and also for using electricity to produce cooling. Mature Si/SiGe and Ge/SiGe heteroepitaxial growth technology would allow highly efficient thermoelectric materials to be engineered, which would be compatible and integrable with complementary metal oxide silicon micropower circuits used in autonomous systems. A high thermoelectric figure of merit requires that electrical conductivity be maintained while thermal conductivity is reduced; thermoelectric figures of merit can be improved with respect to bulk thermoelectric materials by fabricating low-dimensional structures which enhance the density of states near the Fermi level and through phonon scattering at heterointerfaces. We have grown and characterized Ge-rich Ge/SiGe/Si superlattices for nanofabricated thermoelectric generators. Low-energy plasma-enhanced chemical vapor deposition has been used to obtain nanoscale-heterostructured material which is several microns thick. Crystal quality and strain control have been investigated by means of high resolution X-ray diffraction. High-resolution transmission electron microscopy images confirm the material and interface quality. Electrical conductivity has been characterized by the mobility spectrum technique. - Highlights: ► High-quality Ge/SiGe multiple quantum wells for thermoelectric applications ► Mobility spectra of systems featuring a large number of parallel conduction channels ► Competitive thermoelectric properties measured in single devices

  5. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  6. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    Science.gov (United States)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  7. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    achieving high quality, strained doped layers. The knowledge acquired was further applied in the fabrication of p-MOSFETs using strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI substrates and HfO{sub 2}/TiN gate stacks. Moreover, rare earth GdScO{sub 3} was integrated for the first time into MOSFETs with high mobility strained SiGe channels using a gate-first process. Transistors with channel length ranging from 65 nm to 1.5 {mu}m were fabricated and characterized. The hole mobility and effective velocity were extracted from devices with <110> and <100> channel orientations. The mobility for the <100> direction is 18% higher than for <110> direction. However, this enhancement translates in only 8% increase in effective velocity.

  8. Search for half-metallic magnets with large half-metallic gaps in the quaternary Heusler alloys CoFeTiZ and CoFeVZ (Z=Al, Ga, Si, Ge, As, Sb)

    International Nuclear Information System (INIS)

    Xiong, Lun; Yi, Lin; Gao, G.Y.

    2014-01-01

    We investigate the electronic structure and magnetic properties of the twelve quaternary Heusler alloys CoFeTiZ and CoFeVZ (Z=Al, Ga, Si, Ge, As, Sb) by using the first-principles calculations. It is shown that only CoFeTiSi, CoFeTiAs and CoFeVSb are half-metallic ferromagnets with considerable half-metallic gaps of 0.31, 0.18 and 0.17 eV, respectively. CoFeTiAl and CoFeTiGa are conventional semiconductors, and other alloys exhibit nearly half-metallicity or their half-metallic gaps are almost zero eV. We also find that the half-metallicities of CoFeTiSi, CoFeTiAs and CoFeVSb can be preserved under appropriate uniform and in-plane strains. The considerable half-metallic gaps and the robust half-metallicities under uniform and in-plane strains make CoFeTiSi, CoFeTiAs and CoFeVSb promising candidates for spintronic applications. - Highlights: • CoFeTiSi, CoFeTiAs and CoFeVSb have considerable half-metallic gaps. • Total magnetic moments obey the Slater–Pauling behavior of quaternary Heusler half-metals. • CoFeTiSi, CoFeTiAs and CoFeVSb retain half-metallicity under uniform and in-plane strains

  9. Bi surfactant mediated growth for fabrication of Si/Ge nanostructures and investigation of Si/Ge intermixing by STM

    Energy Technology Data Exchange (ETDEWEB)

    Paul, N.

    2007-10-26

    In the thesis work presented here, we show that Bi is more promising surfactant material than Sb. We demonstrate that by using Bi as a terminating layer on Ge/Si surface, it is possible to distinguish between Si and Ge in Scanning tunnelling microscope (STM). Any attempt to utilize surfactant mediated growth must be preceded by a thorough study of its effect on the the system being investigated. Thus, the third chapter of this thesis deals with an extensive study of the Bi surfactant mediated growth of Ge on Si(111) surface as a function of Ge coverage. The growth is investigated from the single bilayer Ge coverage till the Ge coverage of about 15 BL when the further Ge deposition leads to two-dimensional growth. In the fourth chapter, the unique property of Bi terminating layer on Ge/Si surface to result in an STM height contrast between Si and Ge is explained with possible explanations given for the reason of this apparent height contrast. The controlled fabrication of Ge/Si nanostructures such as nanowires and nanorings is demonstrated. A study on Ge-Si diffusion in the surface layers by a direct method such as STM was impossible previously because of the similar electronic structure of Ge and Si. Since with the Bi terminating surface layer, one is able to distinguish between Ge and Si, the study of intermixing between them is also possible using STM. This method to distinguish between Si and Ge allows one to study intermixing on the nanoscale and to identify the fundamental diffusion processes giving rise to the intermixing. In Chapter 5 we discuss how this could prove useful especially as one could get a local probe over a very narrow Ge-Si interface. A new model is proposed to estimate change in the Ge concentration in the surface layer with time. The values of the activation energies of Ge/Si exchange and Si/Ge exchange are estimated by fitting the experimental data with the model. The Ge/Si intermixing has been studied on a surface having 1 ML Bi ({radical

  10. Electrosynthesis of Ti5Si3, Ti5Si3/TiC, and Ti5Si3/Ti3SiC2 from Ti-Bearing Blast Furnace Slag in Molten CaCl2

    Science.gov (United States)

    Li, Shangshu; Zou, Xingli; Zheng, Kai; Lu, Xionggang; Chen, Chaoyi; Li, Xin; Xu, Qian; Zhou, Zhongfu

    2018-04-01

    Ti5Si3, Ti5Si3/TiC, and Ti5Si3/Ti3SiC2 have been electrochemically synthesized from the Ti-bearing blast furnace slag/TiO2 and/or C mixture precursors at a cell voltage of 3.8 V and 1223 K to 1273 K (950 °C to 1000 °C) in molten CaCl2. The pressed porous mixture pellets were used as the cathode, and a solid oxide oxygen-ion-conducting membrane (SOM)-based anode was used as the anode. The phase composition and morphologies of the cathodic products were systematically characterized. The final products possess a porous nodular microstructure due to the interconnection of particles. The variations of impurity elements, i.e., Ca, Mg, and Al, have been analyzed, and the result shows that Ca and Mg can be almost completely removed; however, Al cannot be easily removed from the pellet due to the formation of Ti-Al alloys during the electroreduction process. The electroreduction process has also been investigated by the layer-depended phase composition analysis of the dipped/partially reduced pellets to understand the detailed reaction process. The results indicate that the electroreduction process of the Ti-bearing blast furnace slag/TiO2 and/or C mixture precursors can be typically divided into four periods, i.e., (i) the decomposition of initial Ca(Mg,Al)(Si,Al)2O6, (ii) the reduction of Ti/Si-containing intermediate phases, (iii) the removal of impurity elements, and (iv) the formation of Ti5Si3, TiC, and Ti3SiC2. It is suggested that the SOM-based anode process has great potential to be used for the direct and facile preparation of Ti alloys and composites from cheap Ti-containing ores.

  11. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  12. Optical transitions in Ge/SiGe multiple quantum wells with Ge-rich barriers

    Science.gov (United States)

    Bonfanti, M.; Grilli, E.; Guzzi, M.; Virgilio, M.; Grosso, G.; Chrastina, D.; Isella, G.; von Känel, H.; Neels, A.

    2008-07-01

    Direct-gap and indirect-gap transitions in strain-compensated Ge/SiGe multiple quantum wells with Ge-rich SiGe barriers have been studied by optical transmission spectroscopy and photoluminescence experiments. An sp3d5s∗ tight-binding model has been adopted to interpret the experimental results. Photoluminescence spectra and their comparison with theoretical calculations prove the existence of type-I band alignment in compressively strained Ge quantum wells grown on relaxed Ge-rich SiGe buffers. The high quality of the transmission spectra opens up other perspectives for application of these structures in near-infrared optical modulators.

  13. Fabrication of multilayered Ge nanocrystals embedded in SiOxGeNy films

    International Nuclear Information System (INIS)

    Gao Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-01-01

    Multilayered Ge nanocrystals embedded in SiO x GeN y films have been fabricated on Si substrate by a (Ge + SiO 2 )/SiO x GeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 deg. C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1 , which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2 ) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction

  14. Strained Si/SiGe MOS transistor model

    Directory of Open Access Journals (Sweden)

    Tatjana Pešić-Brđanin

    2009-06-01

    Full Text Available In this paper we describe a new model of surfacechannel strained-Si/SiGe MOSFET based on the extension of non-quasi-static (NQS circuit model previously derived for bulk-Si devices. Basic equations of the NQS model have been modified to account for the new physical parameters of strained-Si and relaxed-SiGe layers. From the comparisons with measurements, it is shown that a modified NQS MOS including steady-state self heating can accurately predict DC characteristics of Strained Silicon MOSFETs.

  15. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  16. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  17. Structural and electrical evaluation for strained Si/SiGe on insulator

    International Nuclear Information System (INIS)

    Wang Dong; Ii, Seiichiro; Ikeda, Ken-ichi; Nakashima, Hideharu; Ninomiya, Masaharu; Nakamae, Masahiko; Nakashima, Hiroshi

    2006-01-01

    Three strained Si/SiGe on insulator wafers having different Ge fractions were evaluated using dual-metal-oxide-semiconductor (dual-MOS) deep level transient spectroscopy (DLTS) and transmission electron microscopy (TEM) methods. The interface of SiGe/buried oxide (BOX) shows roughness less than 1 nm by high resolution TEM observation. The interface states densities (D it ) of SiGe/BOX are approximately 1 x 10 12 cm -2 eV -1 , which is approximately one order of magnitude higher than that of Si/BOX in a Si on insulator wafer measured as reference by the same method of dual-MOS DLTS. The high D it of SiGe/BOX is not due to interface roughness but due to Ge atoms. The threading dislocations were also clearly observed by TEM and were analyzed

  18. Improved thermal stability and hole mobilities in a strained-Si/strained-Si1-yGe y/strained-Si heterostructure grown on a relaxed Si1-xGe x buffer

    International Nuclear Information System (INIS)

    Gupta, Saurabh; Lee, Minjoo L.; Isaacson, David M.; Fitzgerald, Eugene A.

    2005-01-01

    A dual channel heterostructure consisting of strained-Si/strained-Si 1-y Ge y on relaxed Si 1-x Ge x (y > x), provides a platform for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs) with high hole mobilities (μ eff ) which depend directly on Ge concentration and strain in the strained-Si 1-y Ge y layer. Ge out-diffuses from the strained-Si 1-y Ge y layer into relaxed Si 1-x Ge x during high temperature processing, reducing peak Ge concentration and strain in the strained-Si 1-y Ge y layer and degrades hole μ eff in these dual channel heterostructures. A heterostructure consisting of strained-Si/strained-Si 1-y Ge y /strained-Si, referred to as a trilayer heterostructure, grown on relaxed Si 1-x Ge x has much reduced Ge out-flux from the strained-Si 1-y Ge y layer and retains higher μ eff after thermal processing. Improved hole μ eff over similar dual channel heterostructures is also observed in this heterostructure. This could be a result of preventing the hole wavefunction tunneling into the low μ eff relaxed Si 1-x Ge x layer due to the additional valence band offset provided by the underlying strained-Si layer. A diffusion coefficient has been formulated and implemented in a finite difference scheme for predicting the thermal budget of the strained SiGe heterostructures. It shows that the trilayer heterostructures have superior thermal budgets at higher Ge concentrations. Ring-shaped MOSFETs were fabricated on both platforms and subjected to various processing temperatures in order to compare the extent of μ eff reduction with thermal budget. Hole μ eff enhancements are retained to a much higher extent in a trilayer heterostructure after high temperature processing as compared to a dual channel heterostructure. The improved thermal stability and hole μ eff of a trilayer heterostructure makes it an ideal platform for fabricating high μ eff MOSFETs that can be processed over higher temperatures without significant losses in hole

  19. Study of Si-Ge interdiffusion with phosphorus doping

    KAUST Repository

    Cai, Feiyang; Anjum, Dalaver H.; Zhang, Xixiang; Xia, Guangrui

    2016-01-01

    Si-Ge interdiffusion with phosphorus doping was investigated by both experiments and modeling. Ge/Si1-x Ge x/Ge multi-layer structures with 0.75Ge<1, a mid-1018 to low-1019 cm−3 P doping, and a dislocation density of 108 to 109 cm−2 range were studied. The P-doped sample shows an accelerated Si-Ge interdiffusivity, which is 2–8 times of that of the undoped sample. The doping dependence of the Si-Ge interdiffusion was modelled by a Fermi-enhancement factor. The results show that the Si-Ge interdiffusion coefficient is proportional to n2/n2i for the conditions studied, which indicates that the interdiffusion in a high Ge fraction range with n-type doping is dominated by V2− defects. The Fermi-enhancement factor was shown to have a relatively weak dependence on the temperature and the Ge fraction. The results are relevant to the structure and thermal processing condition design of n-type doped Ge/Si and Ge/SiGe based devices such as Ge/Si lasers.

  20. Study of Si-Ge interdiffusion with phosphorus doping

    KAUST Repository

    Cai, Feiyang

    2016-10-28

    Si-Ge interdiffusion with phosphorus doping was investigated by both experiments and modeling. Ge/Si1-x Ge x/Ge multi-layer structures with 0.75Ge<1, a mid-1018 to low-1019 cm−3 P doping, and a dislocation density of 108 to 109 cm−2 range were studied. The P-doped sample shows an accelerated Si-Ge interdiffusivity, which is 2–8 times of that of the undoped sample. The doping dependence of the Si-Ge interdiffusion was modelled by a Fermi-enhancement factor. The results show that the Si-Ge interdiffusion coefficient is proportional to n2/n2i for the conditions studied, which indicates that the interdiffusion in a high Ge fraction range with n-type doping is dominated by V2− defects. The Fermi-enhancement factor was shown to have a relatively weak dependence on the temperature and the Ge fraction. The results are relevant to the structure and thermal processing condition design of n-type doped Ge/Si and Ge/SiGe based devices such as Ge/Si lasers.

  1. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  2. Density functional study of the decomposition pathways of SiH₃ and GeH₃ at the Si(100) and Ge(100) surfaces.

    Science.gov (United States)

    Ceriotti, M; Montalenti, F; Bernasconi, M

    2012-03-14

    By means of first-principles calculations we studied the decomposition pathways of SiH₃ on Ge(100) and of GeH₃ on Si(100), of interest for the growth of crystalline SiGe alloys and Si/Ge heterostructures by plasma-enhanced chemical vapor deposition. We also investigated H desorption via reaction of two adsorbed SiH₂/GeH₂ species (β₂ reaction) or via Eley-Rideal abstraction of surface H atoms from the impinging SiH₃ and GeH₃ species. The calculated activation energies for the different processes suggest that the rate-limiting step for the growth of Si/Ge systems is still the β₂ reaction of two SiH₂ as in the growth of crystalline Si.

  3. Analysis of threshold current of uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers.

    Science.gov (United States)

    Jiang, Jialin; Sun, Junqiang; Gao, Jianfeng; Zhang, Ruiwen

    2017-10-30

    We propose and design uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers with the stress along direction. The micro-bridge structure is adapted for introducing uniaxial stress in Ge/SiGe quantum well. To enhance the fabrication tolerance, full-etched circular gratings with high reflectivity bandwidths of ~500 nm are deployed in laser cavities. We compare and analyze the density of state, the number of states between Γ- and L-points, the carrier injection efficiency, and the threshold current density for the uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers. Simulation results show that the threshold current density of the Ge/SiGe quantum well laser is much higher than that of the bulk Ge laser, even combined with high uniaxial tensile stress owing to the larger number of states between Γ- and L- points and extremely low carrier injection efficiency. Electrical transport simulation reveals that the reduced effective mass of the hole and the small conduction band offset cause the low carrier injection efficiency of the Ge/SiGe quantum well laser. Our theoretical results imply that unlike III-V material, uniaxially tensile stressed bulk Ge outperforms a Ge/SiGe quantum well with the same strain level and is a promising approach for Si-compatible light sources.

  4. Revision of the Ge–Ti phase diagram and structural stability of the new phase Ge4Ti5

    International Nuclear Information System (INIS)

    Bittner, Roland W.; Colinet, Catherine; Tedenac, Jean-Claude; Richter, Klaus W.

    2013-01-01

    Highlights: •New compound Ge 4 Ti 5 found by experiments and by DFT ground state calculations. •Enthalpies of formation calculated for different Ge–Ti compounds. •Modifications of the Ge–Ti phase diagram suggested. -- Abstract: The binary phase diagram Ge–Ti was investigated experimentally by powder X-ray diffraction, scanning electron microscopy including EDX analysis, and differential thermal analysis. Total energies of the compounds GeTi 3 , GeTi 2 , Ge 3 Ti 5 , Ge 4 Ti 5 , Ge 5 Ti 6 , GeTi and Ge 2 Ti were calculated for various structure types employing electronic density-functional theory (DFT). Experimental studies as well as electronic calculations show the existence of a new phase Ge 4 Ti 5 (Ge 4 Sm 5 -type, oP36, Pnma) which is formed in a solid state reaction Ge 3 Ti 5 + Ge 5 Ti 6 = Ge 4 Ti 5 . In addition, a significant homogeneity range was observed for the compound Ge 3 Ti 5 and the composition of the liquid phase in the eutectic reaction L = Ge + Ge 2 Ti was found to be at significant higher Ge-content (97.5 at.% Ge) than reported in previous studies. Based on these new results, a modified phase diagram Ge–Ti is suggested. The zero-temperature lattice parameters and the formation enthalpies determined by DTF calculations were found to be in good agreement with experimental data

  5. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  6. Si/Ge intermixing during Ge Stranski–Krastanov growth

    Directory of Open Access Journals (Sweden)

    Alain Portavoce

    2014-12-01

    Full Text Available The Stranski–Krastanov growth of Ge islands on Si(001 has been widely studied. The morphology changes of Ge islands during growth, from nucleation to hut/island formation and growth, followed by hut-to-dome island transformation and dislocation nucleation of domes, have been well described, even at the atomic scale, using techniques such as scanning tunneling microscopy and transmission electron microscopy. Although it is known that these islands do not consist of pure Ge (due to Si/Ge intermixing, the composition of the Ge islands is not precisely known. In the present work, atom probe tomography was used to study the composition of buried dome islands at the atomic scale, in the three-dimensional space. The core of the island was shown to contain about 55 atom % Ge, while the Ge composition surrounding this core decreases rapidly in all directions in the islands to reach a Ge concentration of about 15 atom %. The Ge distribution in the islands follows a cylindrical symmetry and Ge segregation is observed only in the {113} facets of the islands. The Ge composition of the wetting layer is not homogeneous, varying from 5 to 30 atom %.

  7. Photoelectrochemical Water Splitting Properties of Ti-Ni-Si-O Nanostructures on Ti-Ni-Si Alloy

    Directory of Open Access Journals (Sweden)

    Ting Li

    2017-10-01

    Full Text Available Ti-Ni-Si-O nanostructures were successfully prepared on Ti-1Ni-5Si alloy foils via electrochemical anodization in ethylene glycol/glycerol solutions containing a small amount of water. The Ti-Ni-Si-O nanostructures were characterized by field-emission scanning electron microscopy (FE-SEM, energy dispersive spectroscopy (EDS, X-ray diffraction (XRD, and diffuse reflectance absorption spectra. Furthermore, the photoelectrochemical water splitting properties of the Ti-Ni-Si-O nanostructure films were investigated. It was found that, after anodization, three different kinds of Ti-Ni-Si-O nanostructures formed in the α-Ti phase region, Ti2Ni phase region, and Ti5Si3 phase region of the alloy surface. Both the anatase and rutile phases of Ti-Ni-Si-O oxide appeared after annealing at 500 °C for 2 h. The photocurrent density obtained from the Ti-Ni-Si-O nanostructure photoanodes was 0.45 mA/cm2 at 0 V (vs. Ag/AgCl in 1 M KOH solution. The above findings make it feasible to further explore excellent photoelectrochemical properties of the nanostructure-modified surface of Ti-Ni-Si ternary alloys.

  8. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  9. Radiation emission from wrinkled SiGe/SiGe nanostructure

    Czech Academy of Sciences Publication Activity Database

    Fedorchenko, Alexander I.; Cheng, H. H.; Sun, G.; Soref, R. A.

    2010-01-01

    Roč. 96, č. 11 (2010), s. 113104-113107 ISSN 0003-6951 Institutional research plan: CEZ:AV0Z20760514 Keywords : SiGe wrinkled nanostructures * si-based optical emitter * synchrotron radiation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.820, year: 2010 http://apl.aip.org/resource/1/applab/v96/i11/p113104_s1?isAuthorized=no

  10. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  11. Monolithically Integrated Ge-on-Si Active Photonics

    Directory of Open Access Journals (Sweden)

    Jifeng Liu

    2014-07-01

    Full Text Available Monolithically integrated, active photonic devices on Si are key components in Si-based large-scale electronic-photonic integration for future generations of high-performance, low-power computation and communication systems. Ge has become an interesting candidate for active photonic devices in Si photonics due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS processing. In this paper, we present a review of the recent progress in Ge-on-Si active photonics materials and devices for photon detection, modulation, and generation. We first discuss the band engineering of Ge using tensile strain, n-type doping, Sn alloying, and separate confinement of Γ vs. L electrons in quantum well (QW structures to transform the material towards a direct band gap semiconductor for enhancing optoelectronic properties. We then give a brief overview of epitaxial Ge-on-Si materials growth, followed by a summary of recent investigations towards low-temperature, direct growth of high crystallinity Ge and GeSn alloys on dielectric layers for 3D photonic integration. Finally, we review the most recent studies on waveguide-integrated Ge-on-Si photodetectors (PDs, electroabsorption modulators (EAMs, and laser diodes (LDs, and suggest possible future research directions for large-scale monolithic electronic-photonic integrated circuits on a Si platform.

  12. Isothermal section of the Ti-Si-B system at 1250 ° C in the Ti-TiSi2-TiB2 region

    OpenAIRE

    Ramos, Alfeu Saraiva; Baldan, Renato; Nunes, Carlos Angelo; Coelho, Gilberto Carvalho; Suzuki, Paulo Atsushi; Rodrigues, Geovani

    2013-01-01

    A partial isothermal section (Ti-TiSi2-TiB2 region) of the ternary Ti-Si-B system at 1250 ° C was determined from heat-treated alloys prepared via arc melting. Microstructural characterization has been carried out through scanning electron microscopy (SEM), x-ray diffraction (xRD) and wavelength dispersive spectrometry (WDS). The results have shown the stability of the near stoichiometric Ti6Si2B phase and a negligible solubility of boron in the Ti-silicides as well as of Si in the Ti-borides...

  13. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  14. Band structure analysis in SiGe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Amato, Michele [' Centro S3' , CNR-Istituto Nanoscienze, via Campi 213/A, 41100 Modena (Italy); Dipartimento di Scienze e Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42100 Reggio Emilia (Italy); Palummo, Maurizia [European Theoretical Spectroscopy Facility (ETSF) (Italy); CNR-INFM-SMC, Dipartimento di Fisica, Universita di Roma, ' Tor Vergata' , via della Ricerca Scientifica 1, 00133 Roma (Italy); Ossicini, Stefano, E-mail: stefano.ossicini@unimore.it [' Centro S3' , CNR-Istituto Nanoscienze, via Campi 213/A, 41100 Modena (Italy) and Dipartimento di Scienze e Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42100 Reggio Emilia (Italy) and European Theoretical Spectroscopy Facility - ETSF (Italy) and Centro Interdipartimentale ' En and Tech' , Universita di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42100 Reggio Emilia (Italy)

    2012-06-05

    One of the main challenges for Silicon-Germanium nanowires (SiGe NWs) electronics is the possibility to modulate and engine their electronic properties in an easy way, in order to obtain a material with the desired electronic features. Diameter and composition constitute two crucial ways for the modification of the band gap and of the band structure of SiGe NWs. Within the framework of density functional theory we present results of ab initio calculations regarding the band structure dependence of SiGe NWs on diameter and composition. We point out the main differences with respect to the case of pure Si and Ge wires and we discuss the particular features of SiGe NWs that are useful for future technological applications.

  15. Band structure analysis in SiGe nanowires

    International Nuclear Information System (INIS)

    Amato, Michele; Palummo, Maurizia; Ossicini, Stefano

    2012-01-01

    One of the main challenges for Silicon-Germanium nanowires (SiGe NWs) electronics is the possibility to modulate and engine their electronic properties in an easy way, in order to obtain a material with the desired electronic features. Diameter and composition constitute two crucial ways for the modification of the band gap and of the band structure of SiGe NWs. Within the framework of density functional theory we present results of ab initio calculations regarding the band structure dependence of SiGe NWs on diameter and composition. We point out the main differences with respect to the case of pure Si and Ge wires and we discuss the particular features of SiGe NWs that are useful for future technological applications.

  16. Photoemission Studies of Si Quantum Dots with Ge Core: Dots formation, Intermixing at Si-clad/Ge-core interface and Quantum Confinement Effect

    Directory of Open Access Journals (Sweden)

    Yudi Darma

    2008-03-01

    Full Text Available Spherical Si nanocrystallites with Ge core (~20nm in average dot diameter have been prepared by controlling selective growth conditions of low-pressure chemical vapor deposition (LPCVD on ultrathin SiO2 using alternately pure SiH4 and 5% GeH4 diluted with He. XPS results confirm the highly selective growth of Ge on the pregrown Si dots and subsequently complete coverage by Si selective growth on Ge/Si dots. Compositional mixing and the crystallinity of Si dots with Ge core as a function of annealing temperature in the range of 550-800oC has been evaluated by XPS analysis and confirms the diffusion of Ge atoms from Ge core towards the Si clad accompanied by formation of GeOx at the Si clad surface. The first subband energy at the valence band of Si dot with Ge core has been measured as an energy shift at the top of the valence band density of state using XPS. The systematic shift of the valence band maximum towards higher binding energy with progressive deposition in the dot formation indicate the charging effect of dots and SiO2 layer by photoemission during measurements.

  17. Photoemission Studies of Si Quantum Dots with Ge Core: Dots formation, Intermixing at Si-clad/Ge-core interface and Quantum Confinement Effect

    OpenAIRE

    Yudi Darma

    2008-01-01

    Spherical Si nanocrystallites with Ge core (~20nm in average dot diameter) have been prepared by controlling selective growth conditions of low-pressure chemical vapor deposition (LPCVD) on ultrathin SiO2 using alternately pure SiH4 and 5% GeH4 diluted with He. XPS results confirm the highly selective growth of Ge on the pregrown Si dots and subsequently complete coverage by Si selective growth on Ge/Si dots. Compositional mixing and the crystallinity of Si dots with Ge core as a function of ...

  18. Next Generation, Si-Compatible Materials and Devices in the Si-Ge-Sn System

    Science.gov (United States)

    2015-10-09

    and conclusions The work initially focused on growth of next generation Ge1-ySny alloys on Ge buffered Si wafers via UHV CVD depositions of Ge3H8...Abstract The work initially focused on growth of next generation Ge1-ySny alloys on Ge buffered Si wafers via UHV CVD depositions of Ge3H8, SnD4. The...AFRL-AFOSR-VA-TR-2016-0044 Next generation, Si -compatible materials and devices in the Si - Ge -Sn system John Kouvetakis ARIZONA STATE UNIVERSITY Final

  19. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  20. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  1. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  2. Photoelectrochemical Water Splitting Properties of Ti-Ni-Si-O Nanostructures on Ti-Ni-Si Alloy.

    Science.gov (United States)

    Li, Ting; Ding, Dongyan; Dong, Zhenbiao; Ning, Congqin

    2017-10-31

    Ti-Ni-Si-O nanostructures were successfully prepared on Ti-1Ni-5Si alloy foils via electrochemical anodization in ethylene glycol/glycerol solutions containing a small amount of water. The Ti-Ni-Si-O nanostructures were characterized by field-emission scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), and diffuse reflectance absorption spectra. Furthermore, the photoelectrochemical water splitting properties of the Ti-Ni-Si-O nanostructure films were investigated. It was found that, after anodization, three different kinds of Ti-Ni-Si-O nanostructures formed in the α-Ti phase region, Ti₂Ni phase region, and Ti₅Si₃ phase region of the alloy surface. Both the anatase and rutile phases of Ti-Ni-Si-O oxide appeared after annealing at 500 °C for 2 h. The photocurrent density obtained from the Ti-Ni-Si-O nanostructure photoanodes was 0.45 mA/cm² at 0 V (vs. Ag/AgCl) in 1 M KOH solution. The above findings make it feasible to further explore excellent photoelectrochemical properties of the nanostructure-modified surface of Ti-Ni-Si ternary alloys.

  3. MAX phase – Alumina composites via elemental and exchange reactions in the Ti{sub n+1}AC{sub n} systems (A=Al, Si, Ga, Ge, In and Sn)

    Energy Technology Data Exchange (ETDEWEB)

    Cuskelly, Dylan, E-mail: dylan.cuskelly@uon.edu.au; Richards, Erin; Kisi, Erich, E-mail: Erich.Kisi@newcastle.edu.au

    2016-05-15

    Extension of the aluminothermal exchange reaction synthesis of M{sub n+1}AX{sub n} phases to systems where the element ‘A’ is not the reducing agent was investigated in systems TiO{sub 2}–A–Al–C for A=Al, Si, Ga, Ge, In and Sn as well as Cr{sub 2}O{sub 3}–Ga–Al–C. MAX phase-Al{sub 2}O{sub 3} composites were made in all systems except those with A=Ga or In. The effectiveness of conversion to MAX phases was generally in the range 63–96% without optimisation of starting ratios. Optimisation in the Ti–Si–C system gave a MAX phase component with >98% Ti{sub 3}SiC{sub 2}. - Graphical abstract: A range of Ti{sub n+1}AX{sub n} phases with different A elements were synthesised directly from the M oxide via exchange reactions. The process has now been shown to be general in all the systems marked in green in the table. - Highlights: • Ti{sub n+1}AC{sub n} phases were produced via a single step exchange reaction. • 3 MAX phase systems were successful via this method for the first time. • Cr{sub 2}GeC was also able to be produced via an exchange reaction. • The interconversion reaction in MAX phases is more general than previously thought.

  4. The Effects of Annealing Temperatures on Composition and Strain in Si x Ge1-x Obtained by Melting Growth of Electrodeposited Ge on Si (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-02-24

    The effects of annealing temperatures on composition and strain in Si x Ge 1- x , obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm -1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of Si x Ge 1- x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  5. Carrier recombination in tailored multilayer Si/Si{sub 1−x}Ge{sub x} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Mala, S.A. [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Tsybeskov, L., E-mail: tsybesko@njit.edu [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Lockwood, D.J.; Wu, X.; Baribeau, J.-M. [National Research Council, Ottawa, ON, Canada KIA 0R6 (Canada)

    2014-11-15

    Photoluminescence (PL) measurements were performed in Si/Si{sub 1−x}Ge{sub x} nanostructures with a single Si{sub 0.92}Ge{sub 0.08} nanometer-thick layer incorporated into Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. Under pulsed laser excitation, the PL decay associated with the Si{sub 0.92}Ge{sub 0.08} nano-layer is found to be nearly a 1000 times faster compared to that in Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. A model considering Si/SiGe hetero-interface composition and explaining the fast and slow time-dependent recombination rates is proposed.

  6. A TEM study of strained SiGe/Si and related heteroepitaxial structures

    International Nuclear Information System (INIS)

    Benedetti, Alessandro

    2002-01-01

    The role of SiGe/Si heterostructures and related materials has become increasingly important within the last few decades. In order to increase the scale of integration, however, devices with active elements not larger than few tens of nanometer have been recently introduced. There is, therefore, a strong need for an analytical technique capable of giving information about submicron-sized components. An investigation on a nanometre scale can be performed by the combination of a fully equipped Transmission Electron Microscope (TEM) with a Field Emission Gun (PEG) electron source, which enables one to use a wide range of analytical techniques with an electron probe as small as 0.5 nm. In this work, two different types of SiGe/Si-based devices were investigated. Strained-Si n-channel MOSFETs. The use of Strained-Si n-channel grown on SiGe should improve both carrier mobility and transconductance with respect to conventional MOSFETs. Materials analysed in this work showed an extremely high transconductance but a rather low mobility. In order to relate their microstructural properties to their electrical performance, as well as to improve the device design, a full quantitative and qualitative structural characterisation was performed. SiGe Multiple Quantum Wells (MQW) IR detectors Light detection is achieved by collecting the photogenerated carriers, injected from the SiGe QWs layers into the Si substrate. A key factor is the Ge profile across a single QW, since it governs the band structure and therefore the device performances. Four different TEM techniques were used to determine the Ge distribution across a single well, showing an overall good agreement among the results. The Ge profiles broadening, consistent with data available in literature, was successfully explained and theoretically predicted by the combined effect of Ge segregation and gas dwell times within the reactor. (author)

  7. Revision of the Ge–Ti phase diagram and structural stability of the new phase Ge{sub 4}Ti{sub 5}

    Energy Technology Data Exchange (ETDEWEB)

    Bittner, Roland W. [University of Vienna, Department of Inorganic Chemistry/Materials Chemistry, Währingerstraße 42, 1090 Wien (Austria); Colinet, Catherine [Science et Ingénierie des Matériaux et Procédés, Grenoble INP, UJF, CNRS, 38402 Saint Martin d’Hères Cedex (France); Tedenac, Jean-Claude [Institut de Chimie Moléculaire et des Matériaux I.C.G., UMR-CNRS 5253, Université Montpellier II, Place E. Bataillon, 34095 Montpellier Cedex 5 (France); Richter, Klaus W., E-mail: klaus.richter@univie.ac.at [University of Vienna, Department of Inorganic Chemistry/Materials Chemistry, Währingerstraße 42, 1090 Wien (Austria)

    2013-11-15

    Highlights: •New compound Ge{sub 4}Ti{sub 5} found by experiments and by DFT ground state calculations. •Enthalpies of formation calculated for different Ge–Ti compounds. •Modifications of the Ge–Ti phase diagram suggested. -- Abstract: The binary phase diagram Ge–Ti was investigated experimentally by powder X-ray diffraction, scanning electron microscopy including EDX analysis, and differential thermal analysis. Total energies of the compounds GeTi{sub 3}, GeTi{sub 2}, Ge{sub 3}Ti{sub 5}, Ge{sub 4}Ti{sub 5}, Ge{sub 5}Ti{sub 6}, GeTi and Ge{sub 2}Ti were calculated for various structure types employing electronic density-functional theory (DFT). Experimental studies as well as electronic calculations show the existence of a new phase Ge{sub 4}Ti{sub 5} (Ge{sub 4}Sm{sub 5}-type, oP36, Pnma) which is formed in a solid state reaction Ge{sub 3}Ti{sub 5} + Ge{sub 5}Ti{sub 6} = Ge{sub 4}Ti{sub 5}. In addition, a significant homogeneity range was observed for the compound Ge{sub 3}Ti{sub 5} and the composition of the liquid phase in the eutectic reaction L = Ge + Ge{sub 2}Ti was found to be at significant higher Ge-content (97.5 at.% Ge) than reported in previous studies. Based on these new results, a modified phase diagram Ge–Ti is suggested. The zero-temperature lattice parameters and the formation enthalpies determined by DTF calculations were found to be in good agreement with experimental data.

  8. Formation of Si/Ge/Si heterostructures with quantum dots

    International Nuclear Information System (INIS)

    Zinov'ev, V.A.; Dvurechenskij, A.V.; Novikov, P.L.

    2003-01-01

    It is present the Monte Carlo simulation of epitaxial embedding of faceted three-dimensional Ge islands (quantum dots) in a Si matrix. Under a Si flux these islands expand and undergo a shape change (from pyramidal to drop-like shape). The main expansion occurs at initial stage of embedding in Si (deposition of 1-2 monolayers). This change is controlled by surface diffusion. The shape of island can be preserved when one uses the higher Si fluxes. The reason of island conservation lies in blocking of Ge surface diffusion [ru

  9. Magnetic behavior of Si-Ge bond in SixGe4-x nano-clusters

    Science.gov (United States)

    Nahali, Masoud; Mehri, Ali

    2018-06-01

    The structure of SixGe4-x nano-clusters were optimized by MPW1B95 level of theory using MG3S and SDB-aug-cc-PVTZ basis set. The agreement of the calculated ionization and dissociation energies with experimental values validates the reported structures of nano-clusters and justifies the use of hybrid meta density functional method. Since the Si-Si bond is stronger than Si-Ge and Ge-Ge bonds, the Si-Si, Si-Ge, and Ge-Ge diagonal bonds determine the precedence of the stability in these nano-clusters. The hybrid meta density functional calculations were carried out to investigate the adsorption of CO on all possible SixGe4-x nano-clusters. It was found that the silicon atom generally makes a stronger bond with CO than germanium and thereby preferentially affects the shape of structures having higher multiplicity. In Si-Ge structures with higher spin more than 95% of spins accumulate on positions with less bonds to other atoms of the cluster. Through CO adsorption on these clusters bridge structures are made that behave as spin bridge which conduct the spin from the nano-cluster surface to the adsorbate atoms. A better understanding of bridged structures was achieved upon introducing the 'spin bridge' concept. Based on exhaustive spin density analysis, it was found that the reason for the extra negative charge on oxygen in the bridged structures is the relocation of spin from the surface through the bridge.

  10. Materials and devices for quantum information processing in Si/SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sailer, Juergen

    2010-12-15

    In this thesis, we cover and discuss the complete way from material science, the fabrication of two-dimensional electron systems (2DES) in Si/SiGe heterostructures in molecular beam epitaxy (MBE), to quantum effects in few-electron devices based on these samples. We applied and compared two different approaches for the creation of pseudo-substrates that are as smooth, relaxed and defect free as possible. In the 'graded buffer' concept, starting from pure Si, the Ge content of the SiGe alloy is slowly and linearly increased until the desired Ge content is reached. In contrast, in the so-called 'low-temperature Si' concept, the SiGe alloy is deposited directly with the final Ge content, but onto a layer of highly defective Si. In terms of crystal defects, the 'graded buffer' turned out to be superior in comparison to the 'low-temperature Si' concept at the expense of a significantly higher material consumption. By continued optimization of the growth process, aiming at reducing the influence of the impurity, it nevertheless became possible to improve the charge carrier mobility from a mere 2000 cm{sup 2}/(Vs) to a record mobility exceeding 100 000 cm{sup 2}/(Vs). Within this work, we extended our MBE system with an electron beam evaporator for nuclear spin free {sup 28}Si. Together with the already existing effusion cell for {sup 70}Ge we were able to realize first 2DES in a nuclear spin free environment after successfully putting it to operation. The highest mobility 2DES in a nuclear spin free environment which have been realized in this thesis exhibited electron mobilities of up to 55 000 cm{sup 2}/(Vs). Quantum effects in Si/SiGe have been investigated in two- and zero-dimensional nanostructures. A remarkable phenomenon in the regime of the integer quantum Hall effect in Si/SiGe 2DES has been discovered and researched. For applications in quantum information processing and for the creation of qubits it is mandatory to

  11. Experiments and Modeling of Si-Ge Interdiffusion with Partial Strain Relaxation in Epitaxial SiGe Heterostructures

    KAUST Repository

    Dong, Y.

    2014-07-26

    Si-Ge interdiffusion and strain relaxation were studied in a metastable SiGe epitaxial structure. With Ge concentration profiling and ex-situ strain analysis, it was shown that during thermal anneals, both Si-Ge interdiffusion and strain relaxation occurred. Furthermore, the time evolutions of both strain relaxation and interdiffusion were characterized. It showed that during the ramp-up stage of thermal anneals at higher temperatures (800°C and 840°C), the degree of relaxation, R, reached a “plateau”, while interdiffusion was negligible. With the approximation that the R value is constant after the ramp-up stage, a quantitative interdiffusivity model was built to account for both the effect of strain relaxation and the impact of the relaxation induced dislocations, which gave good agreement with the experiment data.

  12. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  13. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  14. Electrical and magnetic transport properties of DyTiGe

    International Nuclear Information System (INIS)

    Dagula, W.; Tegus, O.; Li, X.W.; Zhang, L.; Brueck, E.; Boer, F.R. de; Buschow, K.H.J.

    2004-01-01

    Electrical resistivity and magnetoresistance of DyTiGe were investigated as a function of temperature and magnetic field. DyTiGe is an antiferromagnet with Neel temperature, T N , of 180 K. The electrical resistivity has an anomaly around T N . Below T N , the magnetoresistance of DyTiGe abruptly changes at a critical field. At 5 K, we observe a magnetoresistance reduction of about 20%

  15. From plastic to elastic stress relaxation in highly mismatched SiGe/Si heterostructures

    International Nuclear Information System (INIS)

    Isa, Fabio; Salvalaglio, Marco; Dasilva, Yadira Arroyo Rojas; Jung, Arik; Isella, Giovanni; Erni, Rolf; Niedermann, Philippe; Gröning, Pierangelo; Montalenti, Francesco; Känel, Hans von

    2016-01-01

    We present a detailed experimental and theoretical analysis of the epitaxial stress relaxation process in micro-structured compositionally graded alloys. We focus on the pivotal SiGe/Si(001) system employing patterned Si substrates at the micrometre-size scale to address the distribution of threading and misfit dislocations within the heterostructures. SiGe alloys with linearly increasing Ge content were deposited by low energy plasma enhanced chemical vapour deposition resulting in isolated, tens of micrometre tall 3D crystals. We demonstrate that complete elastic relaxation is achieved by appropriate choice of the Ge compositional grading rate and Si pillar width. We investigate the nature and distribution of dislocations along the [001] growth direction in SiGe crystals by transmission electron microscopy, chemical defect etching and etch pit counting. We show that for 3 μm wide Si pillars and a Ge grading rate of 1.5% μm −1 , only misfit dislocations are present while their fraction is reduced for higher Ge grading rates and larger structures due to dislocation interactions. The experimental results are interpreted with the help of theoretical calculations based on linear elasticity theory describing the competition between purely elastic and plastic stress relaxation with increasing crystal width and Ge compositional grading rate.

  16. Analysis of Si/SiGe Heterostructure Solar Cell

    Directory of Open Access Journals (Sweden)

    Ashish Kumar Singh

    2014-01-01

    Full Text Available Sunlight is the largest source of carbon-neutral energy. Large amount of energy, about 4.3 × 1020 J/hr (Lewis, 2005, is radiated because of nuclear fusion reaction by sun, but it is unfortunate that it is not exploited to its maximum level. Various photovoltaic researches are ongoing to find low cost, and highly efficient solar cell to fulfil looming energy crisis around the globe. Thin film solar cell along with enhanced absorption property will be the best, so combination of SiGe alloy is considered. The paper presented here consists of a numerical model of Si/Si1-xGex heterostructure solar cell. The research has investigated characteristics such as short circuit current density (Jsc, generation rate (G, absorption coefficient (α, and open circuit voltage (Voc with optimal Ge concentration. The addition of Ge content to Si layer will affect the property of material and can be calculated with the use of Vegard’s law. Due to this, short circuit current density increases.

  17. A high performance Ge/Si0.5Ge0.5/Si heterojunction dual sources tunneling transistor with a U-shaped channel

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Wang, Qianqiong; Chen, Shupeng

    2017-06-01

    In this paper, a new Ge/Si0.5Ge0.5/Si heterojunction dual sources tunneling transistor with a U-shaped channel (Ge_DUTFET) is proposed and investigated by Silvaco-Atlas simulation. The line tunneling perpendicular to channel and point tunneling parallel to channel simultaneously occur on both sides of the gate. The Ge is chosen as the source region material to increase the line tunneling current. The designed heterojunction between the Ge source and Si channel decreases the point tunneling barrier width to enhance the point tunneling current. And this heterojunction can also promote the Ge_DUTFET to occur point tunneling at the small gate voltage, which makes it obtain the smaller turn-on voltage. Furthermore, the Si0.5Ge0.5 buffer layer is also helpful for the enhancement of performance. The simulation results reveal that Ge_DUTFET has the better performance compared with the Si_DUTFET. The on-state current and average subthreshold swing of Ge_DUTFET are 1.11 × 10-5A/μm and 35.1mV/dec respectively. The max cut-off frequency (fT) and gain bandwidth product (GBW) are 26.6 GHz and 16.6 GHz respectively. The fT and GBW of the Ge_DUTFET are respectively increased by ∼27.4% and ∼84.3% compared with the Si_DUTFET.

  18. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Science.gov (United States)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  19. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Directory of Open Access Journals (Sweden)

    Abd Rahim Alhan Farhanah

    2017-01-01

    Full Text Available In this paper, an investigation of design and simulation of silicon germanium (SiGe islands on silicon (Si was presented for potential visible metal semiconductor metal (MSM photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD tools. The different structures of the silicon germanium (SiGe island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM photodetector was evaluated by photo and dark current-voltage (I-V characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  20. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  1. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix.

    Science.gov (United States)

    Buljan, M; Radić, N; Sancho-Paramon, J; Janicki, V; Grenzer, J; Bogdanović-Radović, I; Siketić, Z; Ivanda, M; Utrobičić, A; Hübner, R; Weidauer, R; Valeš, V; Endres, J; Car, T; Jerčinović, M; Roško, J; Bernstorff, S; Holy, V

    2015-02-13

    We report on the formation of Ge/Si quantum dots with core/shell structure that are arranged in a three-dimensional body centered tetragonal quantum dot lattice in an amorphous alumina matrix. The material is prepared by magnetron sputtering deposition of Al2O3/Ge/Si multilayer. The inversion of Ge and Si in the deposition sequence results in the formation of thin Si/Ge layers instead of the dots. Both materials show an atomically sharp interface between the Ge and Si parts of the dots and layers. They have an amorphous internal structure that can be crystallized by an annealing treatment. The light absorption properties of these complex materials are significantly different compared to films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi. They show a strong narrow absorption peak that characterizes a type II confinement in accordance with theoretical predictions. The prepared materials are promising for application in quantum dot solar cells.

  2. Ge nanobelts with high compressive strain fabricated by secondary oxidation of self-assembly SiGe rings

    DEFF Research Database (Denmark)

    Lu, Weifang; Li, Cheng; Lin, Guangyang

    2015-01-01

    Curled Ge nanobelts were fabricated by secondary oxidation of self-assembly SiGe rings, which were exfoliated from the SiGe stripes on the insulator. The Ge-rich SiGe stripes on insulator were formed by hololithography and modified Ge condensation processes of Si0.82Ge0.18 on SOI substrate. Ge...... nanobelts under a residual compressive strain of 2% were achieved, and the strain should be higher before partly releasing through bulge islands and breakage of the curled Ge nanobelts during the secondary oxidation process. The primary factor leading to compressive strain is thermal shrinkage of Ge...... nanobelts, which extrudes to Ge nanobelts in radial and tangent directions during the cooling process. This technique is promising for application in high-mobility Ge nano-scale transistors...

  3. Atomic Layer Deposition of Al2O3 on H-Passivated GeSi: Initial Surface Reaction Pathways with H/GeSi(100)-2 × 1

    International Nuclear Information System (INIS)

    Yu, Shi; Qing-Qing, Sun; Lin, Dong; Han, Liu; Shi-Jin, Ding; Wei, Zhang

    2009-01-01

    The reaction mechanisms of Al(CH 3 ) 3 (TMA) adsorption on H-passivated GeSi(100)-2 × 1 surface are investigated with density functional theory. The Si–Ge and Ge–Ge one-dimer cluster models are employed to represent the GeSi(100)-2 × 1 surface with different Ge compositions. For a Si-Ge dimer of a H-passivated SiGe surface, TMA adsorption on both Si–H * and Ge–H * sites is considered. The activation barrier of TMA with the Si–H * site (1.2eV) is higher than that of TMA with the Ge-H * site (0.91 eV), which indicates that the reaction proceeds more slowly on the Si-H * site than on the Ge-H * site. In addition, adsorption of TMA is more energetically favorable on the Ge–Ge dimer than on the Si–Ge dimer of H-passivated SiGe. (atomic and molecular physics)

  4. Poly-SiGe for MEMS-above-CMOS sensors

    CERN Document Server

    Gonzalez Ruiz, Pilar; Witvrouw, Ann

    2014-01-01

    Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence o...

  5. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  6. Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers.

    Science.gov (United States)

    Higashitarumizu, Naoki; Ishikawa, Yasuhiko

    2017-09-04

    Enhanced direct-gap light emission is reported for Si-capped n + -Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of non-radiative recombination (NRR) at the Ge/Si interface is discussed toward Ge/Si double heterostructure (DH) lasers. P-doped n + -Ge layer (1 × 10 19 cm -3 , 400 nm) is grown on Si by ultra-high vacuum chemical vapor deposition, followed by a growth of Si capping layer (5 nm) to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N 2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the threading dislocation density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on the threshold current density Jth. In order to achieve Jth on the order of 1 kA/cm 2 , similar to III-V lasers, the interface recombination velocity S is required below 10 3 cm/s in spite of S as large as 10 5 cm/s at the ordinary defect-rich Ge/Si interface.

  7. Research on a Micro-Nano Si/SiGe/Si Double Heterojunction Electro-Optic Modulation Structure

    Directory of Open Access Journals (Sweden)

    Song Feng

    2018-01-01

    Full Text Available The electro-optic modulator is a very important device in silicon photonics, which is responsible for the conversion of optical signals and electrical signals. For the electro-optic modulator, the carrier density of waveguide region is one of the key parameters. The traditional method of increasing carrier density is to increase the external modulation voltage, but this way will increase the modulation loss and also is not conducive to photonics integration. This paper presents a micro-nano Si/SiGe/Si double heterojunction electro-optic modulation structure. Based on the band theory of single heterojunction, the barrier heights are quantitatively calculated, and the carrier concentrations of heterojunction barrier are analyzed. The band and carrier injection characteristics of the double heterostructure structure are simulated, respectively, and the correctness of the theoretical analysis is demonstrated. The micro-nano Si/SiGe/Si double heterojunction electro-optic modulation is designed and tested, and comparison of testing results between the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation and the micro-nano Silicon-On-Insulator (SOI micro-ring electro-optic modulation, Free Spectrum Range, 3 dB Bandwidth, Q value, extinction ratio, and other parameters of the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation are better than others, and the modulation voltage and the modulation loss are lower.

  8. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    Science.gov (United States)

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  9. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  10. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    Directory of Open Access Journals (Sweden)

    Hung-Pin Hsu

    2013-01-01

    Full Text Available We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW structure on Ge-on-Si virtual substrate (VS grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spectral line shape fits and theoretical calculation led to the identification of various quantum-confined interband transitions. The temperature-dependent PR spectra of Ge/Si0.16Ge0.84 MQW were analyzed using Varshni and Bose-Einstein expressions. The parameters that describe the temperature variations of various quantum-confined interband transition energies were evaluated and discussed.

  11. Growth dynamics of SiGe nanowires by the Vapour Liquid Solid method and its impact on SiGe/Si axial heterojunction abruptness.

    Science.gov (United States)

    Pura, Jose Luis; Periwal, Priyanka; Baron, Thierry; Jimenez, Juan

    2018-06-05

    The Vapour Liquid Solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process the precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with Complementary Metal Oxide Semiconductor (CMOS) technology, this improves their versatility and the possibility of integration with the current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles in good agreement with experimental measurements. Finally, the in-depth study of the composition map provides a practical approach to reduce drastically the heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches that use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to reduce the heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors. © 2018 IOP Publishing Ltd.

  12. TiC/Ti3SiC2复合材料的制备及其性能研究%Preparation and properties of TiC/Ti3SiC2 composites

    Institute of Scientific and Technical Information of China (English)

    贾换; 尹洪峰; 袁蝴蝶; 杨祎诺

    2012-01-01

    以粉末Ti,Si,TiC和炭黑为原料,采用反应热压烧结法制备TiC/Ti3SiC2复合材料.借助XRD和SEM研究TiC含量对TiC/Ti3SiC2复合材料相组成、显微结构及力学特性的影响.结果表明:通过热压烧结可以得到致密度较高的TiC/Ti3SiC2复合材料;引入TiC可以促进Ti3SiC2的生成,当引入TiC的质量分数达30%,TiC/Ti3SiC2复合材料的弯曲强度和断裂韧性分别为406.9 MPa,3.7 MPa·m1/2;复合材料中Ti3SiC2相以穿晶断裂为主,TiC晶粒易产生拔出.%TiC/Ti3SiC2 composites were fabricated by reactive hot pressing sintering method using the mixture powder of Ti, Si, C and TiC as raw material. The effect of TiC content on phase composition, microstructure and mechanical properties of TiC/Ti3SiC2 composites was investigated by X-ray diffraction and scanning electron microscopy. The results demonstrate that dense TiC/ Ti3SiC2 composites can be obtained by hot pressing. The addition of TiC into composites can enhance the formation of TisSiC2. When the additional content of TiC reaches 30% (mass fraction) , the flexural strength and fracture toughness of TiC/Ti3SiC2 composite are 406.9 MPa and 3.7 MPa·m-2, respectively. Ti3SiC2 phase displays intergranular fracture and TiC grain pulls out from Ti3SiC2 matrix when TiC/Ti3SiC2 composite fractures.

  13. On the compliant behaviour of free-standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, Grzegorz

    2012-04-24

    Selective chemical vapor deposition Ge heteroepitaxy approaches for high quality Ge nanostructure growth with reasonable thermal budget must be developed for local Ge photonic module integration. A promising vision is offered by the compliant substrate effects within nanometer scale Ge/Si heteroepitaxial structures. Here, in contrast to the classical Ge deposition on bulk Si substrates, the thermal and lattice mismatch strain energy accumulated in the Ge epilayer is partially shifted to the free-standing Si nanostructure. This strain partitioning phenomenon is at the very heart of the nanoheteroepitaxy theory (NHE) and, if strain energy levels are correctly balanced, offers the vision to grow defect-free nanostructures of lattice mismatched semiconductors on Si. In case of the Ge/Si heterosystem with a lattice mismatch of 4.2%, the strain partitioning phenomenon is expected to be triggered when free-standing Si nanopillars with the width of 50 nm and below are used. In order to experimentally verify NHE with its compliant substrate effects, a set of free-standing Ge/Si nanostructures with diameter ranging from 150 to 50 nm were fabricated and investigated. The main limitation corresponds to a simultaneous detection of (a) the strain partitioning phenomenon between Ge and Si and (b) the absence of defects on the nano-scale. In this respect, synchrotron-based grazing incidence X-ray diffraction was applied to study the epitaxial relationship, defect and strain characteristics with high resolution and sensitivity in a non-destructive way. Raman spectroscopy supported by finite element method calculations were used to investigate the strain distribution within a single Ge/Si nanostructure. Special focus was devoted to transmission electron microscopy to determine the quality of the Ge epilayer. It was found, that although high quality Ge nanoclusters can be achieved by thermal annealing on Si pillars bigger than 50 nm in width, no proof of strain partitioning

  14. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    OpenAIRE

    Hsu, Hung-Pin; Yang, Pong-Hong; Huang, Jeng-Kuang; Wu, Po-Hung; Huang, Ying-Sheng; Li, Cheng; Huang, Shi-Hao; Tiong, Kwong-Kau

    2013-01-01

    We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW) structure on Ge-on-Si virtual substrate (VS) grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR) in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spec...

  15. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  16. Water-vapor-enhanced growth of Ge-GeOx core-shell nanowires and Si1-xGexOy nanowires

    International Nuclear Information System (INIS)

    Hsu, T-J; Ko, C-Y; Lin, W-T

    2007-01-01

    The effects of moist Ar on the growth of Ge-GeO x core-shell nanowires (Ge-GeO x NWs) and Si 1-x Ge x O y nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO 2 powders at 1100 deg. C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeO x NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 deg. C in enhancing the growth of SiGeONWs and Ge-GeO x NWs, respectively. The growth mechanisms of Ge-GeO x NWs and SiGeONWs are also discussed

  17. Annealing-induced Ge/Si(100) island evolution

    International Nuclear Information System (INIS)

    Zhang Yangting; Drucker, Jeff

    2003-01-01

    Ge/Si(100) islands were found to coarsen during in situ annealing at growth temperature. Islands were grown by molecular-beam epitaxy of pure Ge and annealed at substrate temperatures of T=450, 550, 600, and 650 deg. C, with Ge coverages of 6.5, 8.0, and 9.5 monolayers. Three coarsening mechanisms operate in this temperature range: wetting-layer consumption, conventional Ostwald ripening, and Si interdiffusion. For samples grown and annealed at T=450 deg. C, consumption of a metastably thick wetting layer causes rapid initial coarsening. Slower coarsening at longer annealing times occurs by conventional Ostwald ripening. Coarsening of samples grown and annealed at T=550 deg. C occurs via a combination of Si interdiffusion and conventional Ostwald ripening. For samples grown and annealed at T≥600 deg. C, Ostwald ripening of SiGe alloy clusters appears to be the dominant coarsening mechanism

  18. Analysis on the sequence of formation of Ti{sub 3}SiC{sub 2} and Ti{sub 3}SiC{sub 2}/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Radhakrishnan, R.; Bhaduri, S.B. [Idaho Univ., Moscow, ID (United States). Dept. of Mining and Metallurgy; Henager, C.H. Jr. [Pacific Northwest Lab., Richland, WA (United States)

    1995-05-01

    Ti{sub 3}SiC{sub 2}, a compound in the ternary Ti-Si-C system, is reported to be ductile. This paper reports the sequence of formation of Ti{sub 3}SiC{sub 2} and Ti{sub 3}SiC{sub 2}/SiC composites involving either combustion synthesis or by displacement reaction, respectively. Onset of exothermic reaction temperatures were determined using Differential Thermal Analysis (DTA). Phases present after the exothermic temperatures were analyzed by X-Ray diffraction. Based on these observations, a route to formation of Ti{sub 3}SiC{sub 2} and Ti{sub 3}SiC{sub 2}/SiC composites is proposed for the two`s thesis methods.

  19. Properties of Si{sub n}, Ge{sub n}, and Si{sub n}Ge{sub n} clusters

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yi; Rehman, Habib ur; Springborg, Michael [Physical and Theoretical Chemistry, University of Saarland, 66123 Saarbrücken (Germany)

    2015-01-22

    The structures of Si{sub n}, Ge{sub n}, and Si{sub n}Ge{sub n} clusters with up to 44 atoms have been determined theoretically using an unbiased structure-optimization method in combination with a parametrized, density-functional description of the total energy for a given structure. By analyzing the total energy in detail, particularly stable clusters are identified. Moreover, general trends in the structures are identified with the help of specifically constructed descriptors.

  20. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  1. Oblique roughness replication in strained SiGe/Si multilayers

    NARCIS (Netherlands)

    Holy, V.; Darhuber, A.A.; Stangl, J.; Bauer, G.; Nützel, J.-F.; Abstreiter, G.

    1998-01-01

    The replication of the interface roughness in SiGe/Si multilayers grown on miscut Si(001) substrates has been studied by means of x-ray reflectivity reciprocal space mapping. The interface profiles were found to be highly correlated and the direction of the maximal replication was inclined with

  2. Enhanced stability of magic clusters: A case study of icosahedric Al12X, X=B, Al, Ga, C, Si, Ge, Ti, As

    International Nuclear Information System (INIS)

    Gong, X.G.; Kumar, V.

    1992-10-01

    We present results of the electronic structure and stability of some 40 valence electron icosahedric Al 12 X (X=B, Al, Ga, C, Si, Ge, Ti and As) clusters within the local spin density functional theory. It is shown that the stability of Al 13 cluster can be substantially enhanced by proper doping. For neutral clusters, substitution of C at the center of the icosahedron leads to the largest gain in energy. However, Al 12 B - is the most bounded in this family. These results are in agreement with the recent experiments which also find Al 12 B - to be highly abundant. (author). 12 refs, 4 figs, 2 tabs

  3. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    Science.gov (United States)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  4. Thermodynamic modeling of the Ge-Ti system supported by key experiment

    International Nuclear Information System (INIS)

    Liu, Dandan; Yan, Huanli; Yuan, Xiaoming; Chung, Yoonsung; Du, Yong; Xu, Honghui; Liu, Libin; Nash, Philip

    2011-01-01

    Highlights: → All of the experimental phase diagram and thermodynamic data available for the Ge-Ti system have been critically evaluated. → The general feature of the Ge-Ti system and enthalpy of formation of Ti 5 Ge 3 have been checked via experiment. The annealed samples are characterized by X-ray diffraction, scanning electron microscope and differential thermal analysis. → An optimum thermodynamic data set for the Ge-Ti system was obtained. The comprehensive comparison shows that the calculated phase diagram and thermodynamic properties are in good agreement with the experimental data. - Abstract: A complete thermodynamic investigation of the Ge-Ti system was performed in this study. Seven samples were prepared by arc-melting the pure elements in order to check the literature data on phase diagram and enthalpy of formation of Ti 5 Ge 3 . The samples were annealed at certain temperatures for extended periods of time, and then quenched. Both the as-cast and annealed samples were examined by X-ray diffraction (XRD) analysis and scanning electron microscope (SEM) technology. The phase transformation temperatures were measured by differential thermal analysis (DTA). The measurement on enthalpy of formation for Ti 5 Ge 3 was performed using the Kleppa-type HTRC with the calorimeter temperature set at 1100 ± 2 o C. Based upon the literature data and current experimental results, the Ge-Ti system was critically assessed by means of CALPHAD approach. The calculated phase diagram and thermodynamic properties agree well with the literature data and the present experimental results.

  5. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  6. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  7. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  8. Axial Ge/Si nanowire heterostructure tunnel FETs.

    Energy Technology Data Exchange (ETDEWEB)

    Dayeh, Shadi A. (Los Alamos National Laboratory); Gin, Aaron V.; Huang, Jian Yu; Picraux, Samuel Thomas (Los Alamos National Laboratory)

    2010-03-01

    Axial Ge/Si heterostructure nanowires (NWs) allow energy band-edge engineering along the axis of the NW, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two significant advances in the area of heterostructure NWs and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure NWs with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these NWs for high-on currents and suppressed ambipolar behavior. Initial prototype devices with 10 nm PECVD SiN{sub x} gate dielectric resulted in a very high current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5} I{sub on}/I{sub off} ratios. Prior work on the synthesis of Ge/Si axial NW heterostructures through the VLS mechanism have resulted in axial Si/Si{sub 1-x}Ge{sub x} NW heterostructures with x{sub max} {approx} 0.3, and more recently 100% composition modulation was achieved with a solid growth catalyst. In this latter case, the thickness of the heterostructure cannot exceed few atomic layers due to the slow axial growth rate and concurrent radial deposition on the NW sidewalls leading to a mixture of axial and radial deposition, which imposes a big challenge for fabricating useful devices form these NWs in the near future. Here, we report the VLS growth of 100% doping and composition modulated axial Ge/Si heterostructure NWs with lengths appropriate for device fabrication by devising a growth procedure that eliminates Au diffusion on the NW sidewalls and minimizes random kinking in the heterostructure NWs as deduced from detailed microscopy analysis. Fig. 1 a shows a cross-sectional SEM image of epitaxial Ge/Si axial NW heterostructures grown on a Ge(111) surface. The interface abruptness in these Ge/Si heterostructure NWs is of the order of the NW diameter. Some of these NWs develop a crystallographic kink that is {approx

  9. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.

    Science.gov (United States)

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei

    2015-11-16

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.

  10. Anisotropy in elastic properties of TiSi2 (C49, C40 and C54), TiSi and Ti5Si3: an ab-initio density functional study

    International Nuclear Information System (INIS)

    Niranjan, Manish K

    2015-01-01

    We present a comparative study of the anisotropy in the elastic properties of the C49, C54 and C40 phases of TiSi 2 , as well as orthorhombic TiSi and hexagonal Ti 5 Si 3 . The elastic constants, elastic moduli, Debye temperature and sound velocities are computed within the framework of density functional theory. The computed values of the elastic constants and moduli are found to be in excellent agreement with available experimental values. The average elastic moduli, such as Young’s modulus, shear modulus, bulk modulus and Poisson’s ratio, of polycrystalline aggregates are computed using the computed elastic constants of single crystals. The anisotropy in elastic properties is analyzed using estimates of shear anisotropic factors, bulk modulus anisotropic factors and variations in Young’s and bulk moduli in different crystallographic directions. Among the Ti–Si phases, the computed directional Young’s modulus profiles of C49 TiSi 2 and C40 TiSi 2 are found to be quite similar to those of bulk Si and Ti, respectively. In addition to the elastic properties, the electronic structure of five Ti–Si phases is studied. The density of states and planar charge density profiles reveal mixed covalent–metallic bonding in all Ti–Si phases. (paper)

  11. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  12. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  13. Effect of Si/Ge ratio on resistivity and thermopower in Gd{sub 5}Si{sub x}Ge{sub 4-x} magnetocaloric compounds

    Energy Technology Data Exchange (ETDEWEB)

    Raj Kumar, D.M. [Defence Metallurgical Research Laboratory, Kanchanbagh, Hyderabad 500058 (India); Manivel Raja, M., E-mail: mraja@dmrl.drdo.i [Defence Metallurgical Research Laboratory, Kanchanbagh, Hyderabad 500058 (India); Prabahar, K.; Chandrasekaran, V. [Defence Metallurgical Research Laboratory, Kanchanbagh, Hyderabad 500058 (India); Poddar, Asok; Ranganathan, R. [Saha Institute of Nuclear Physics, Kolkata 700064 (India); Suresh, K.G. [Indian Institute of Technology Bombay, Mumbai 400076 (India)

    2011-07-15

    The effect of Si/Ge ratio on resistivity and thermopower behavior has been investigated in the magnetocaloric ferromagnetic Gd{sub 5}Si{sub x}Ge{sub 4-x} compounds with x=1.7-2.3. Microstructural studies reveal the presence of Gd{sub 5}(Si,Ge){sub 4}-matrix phase (5:4-type) along with traces of secondary phases (5:5 or 5:3-type). The x=1.7 and 2.0 samples display the presence of a first order structural transition from orthorhombic to monoclinic phase followed by a magnetic transition of the monoclinic phase. The alloys with x=2.2 and 2.3 display only magnetic transitions of the orthorhombic phase. A low temperature feature apparent in the AC susceptibility and resistivity data below 100 K reflects an antiferromagnetic transition of secondary phase(s) present in these compounds. The resistivity behavior study correlates with microstructural studies. A large change in thermopower of -8 {mu}V/K was obtained at the magneto-structural transition for the x=2 compound. - Research highlights: Effect of Si/Ge ratio on microstructure, magneto-structural transitions, resistivity ({rho}) and thermopower S(T) behaviour has been investigated in Gd{sub 5}Si{sub x}Ge{sub 4-x} compounds with x=1.7, 2.0, 2.2 and 2.3. Microstructural studies reveal the presence of a Gd{sub 5}(Si,Ge){sub 4} -matrix phase (5:4-type) along with traces of secondary phases (5:5 or 5:3-type). The resistivity behaviour has shown good correlation with the microstructural studies. A large change in thermopower of -8{mu}V/K was obtained at the magneto-structural transition for the x=2 compound. The resistivity and change in thermopower values were high for the alloys with Si/Ge ratio {<=}1 compared to that of the alloys with Si/Ge ratio >1.

  14. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  15. Recent progress in GeSi electro-absorption modulators

    International Nuclear Information System (INIS)

    Chaisakul, Papichaya; Marris-Morini, Delphine; Rouifed, Mohamed-Said; Coudevylle, Jean-René; Roux, Xavier Le; Edmond, Samson; Vivien, Laurent; Frigerio, Jacopo; Chrastina, Daniel; Isella, Giovanni

    2014-01-01

    Electro-absorption from GeSi heterostructures is receiving growing attention as a high performance optical modulator for short distance optical interconnects. Ge incorporation with Si allows strong modulation mechanism using the Franz–Keldysh effect and the quantum-confined Stark effect from bulk and quantum well structures at telecommunication wavelengths. In this review, we discuss the current state of knowledge and the on-going challenges concerning the development of high performance GeSi electro-absorption modulators. We also provide feasible future prospects concerning this research topic. (review)

  16. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    Science.gov (United States)

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  17. High-speed Si/GeSi hetero-structure Electro Absorption Modulator.

    Science.gov (United States)

    Mastronardi, L; Banakar, M; Khokhar, A Z; Hattasan, N; Rutirawut, T; Bucio, T Domínguez; Grabska, K M; Littlejohns, C; Bazin, A; Mashanovich, G; Gardes, F Y

    2018-03-19

    The ever-increasing demand for integrated, low power interconnect systems is pushing the bandwidth density of CMOS photonic devices. Taking advantage of the strong Franz-Keldysh effect in the C and L communication bands, electro-absorption modulators in Ge and GeSi are setting a new standard in terms of device footprint and power consumption for next generation photonics interconnect arrays. In this paper, we present a compact, low power electro-absorption modulator (EAM) Si/GeSi hetero-structure based on an 800 nm SOI overlayer with a modulation bandwidth of 56 GHz. The device design and fabrication tolerant process are presented, followed by the measurement analysis. Eye diagram measurements show a dynamic ER of 5.2 dB at a data rate of 56 Gb/s at 1566 nm, and calculated modulator power is 44 fJ/bit.

  18. Influence of Si and Ge on the magnetic phase transition and magnetocaloric properties of MnFe(P, Si, Ge)

    International Nuclear Information System (INIS)

    Cam Thanh, D.T.; Brueck, E.; Tegus, O.; Klaasse, J.C.P.; Buschow, K.H.J.

    2007-01-01

    Recently, we found a large magnetocaloric effect (MCE) and favourable magnetic properties in low cost and nontoxic MnFe(P, Si, Ge) compounds [D.T. Cam Thanh, E. Brueck, O. Tegus, J.C.P. Klaasse, T.J. Gortenmulder, K.H.J. Buschow, J. Appl. Phys. 99 (2006) 08Q107]. These compounds are promising for magnetic refrigeration applications. One of the interesting points in these compounds is a nonlinear dependence of the Curie temperature (T C ) on Si concentration. This dependence is associated with the change in the lattice parameters a and c, and their ratio c/a. Compounds with larger a parameter and smaller c/a ratio have higher T C . It is clear that Si and Ge atoms play an important role in the magnetic and magnetocaloric properties in the MnFe(P, Si, Ge) compounds. In this paper, we study the effect of Si and Ge on the magnetic phase transition in these materials. Our study shows that the temperature of the phase transition, from paramagnetic to ferromagnetic, can be tuned in the room temperature range without losing giant magnetocaloric properties

  19. Quantitative SIMS analysis of SiGe composition with low energy O2+ beams

    International Nuclear Information System (INIS)

    Jiang, Z.X.; Kim, K.; Lerma, J.; Corbett, A.; Sieloff, D.; Kottke, M.; Gregory, R.; Schauer, S.

    2006-01-01

    This work explored quantitative analyses of SiGe films on either Si bulk or SOI wafers with low energy SIMS by assuming a constant ratio between the secondary ion yields of Si + and Ge + inside SiGe films. SiGe samples with Ge contents ranging from 15 to 65% have been analyzed with a 1 keV O 2 + beam at normal incidence. For comparison, the samples were also analyzed with RBS and/or AES. The Ge content as measured with SIMS, based on a single SiGe/Si or SiGe/SOI standard, exhibited good agreement with the corresponding RBS and AES data. It was concluded that SIMS was capable of providing accurate characterization of the SiGe composition with the Ge content up to 65%

  20. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    Science.gov (United States)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  1. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  2. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  3. Silicon and Ge in the deep sea deduced from Si isotope and Ge measurements in giant glass sponges

    Science.gov (United States)

    Jochum, K. P.; Schuessler, J. A.; Haug, G. H.; Andreae, M. O.; Froelich, P. N.

    2016-12-01

    Biogenic silica, such as giant glass spicules of the deep-sea sponge Monorhaphis chuni, is an archive to monitor paleo-Si and -Ge in past seawater. Here we report on Si isotopes and Ge/Si ratios in up to 2.7 m long spicules using LA-(MC)-ICP-MS. Isotope ratios of Si are suitable proxies for Si concentrations in seawater, because Si isotope fractionation into biogenic silica is a function of seawater dissolved Si concentration. The δ30Si values for our specimens range from about - 0.5 ‰ to - 3.6 ‰ and are much lower than modern (>1000 m) seawater δ30Si of about 1.3 ‰. Interestingly, there is a systematic Si isotopic and Ge variation from the rim to the center of the cross sections, which we interpret as seawater paleo-Si and -Ge changes. The lifetime of the giant sponges appears to be between about 6 and 14 ka. These age estimates were obtained by comparing our analytical data with various paleo-markers of the glacial-interglacial termination. Thus, the entire Holocene and the end of the last glacial period are contained in the oldest giant spicules. The derived Si and Ge seawater concentrations are ca. 12 % higher and 20 % lower, respectively, during the late glacial than at present. Possible explanations for changing Si, Ge and Ge/Si during the deglaciation could be changes in riverine, glacial, and/or eolian deliveries of silica to the oceans and changes in marine sedimentary reverse weathering, which removes Ge into marine sediments during opal dissolution and diagenesis.

  4. Hydrogen interaction kinetics of Ge dangling bonds at the Si0.25Ge0.75/SiO2 interface

    International Nuclear Information System (INIS)

    Stesmans, A.; Nguyen Hoang, T.; Afanas'ev, V. V.

    2014-01-01

    The hydrogen interaction kinetics of the GeP b1 defect, previously identified by electron spin resonance (ESR) as an interfacial Ge dangling bond (DB) defect occurring in densities ∼7 × 10 12  cm −2 at the SiGe/SiO 2 interfaces of condensation grown (100)Si/a-SiO 2 /Ge 0.75 Si 0.25 /a-SiO 2 structures, has been studied as function of temperature. This has been carried out, both in the isothermal and isochronal mode, through defect monitoring by capacitance-voltage measurements in conjunction with ESR probing, where it has previously been demonstrated the defects to operate as negative charge traps. The work entails a full interaction cycle study, comprised of analysis of both defect passivation (pictured as GeP b1 -H formation) in molecular hydrogen (∼1 atm) and reactivation (GeP b1 -H dissociation) in vacuum. It is found that both processes can be suitably described separately by the generalized simple thermal (GST) model, embodying a first order interaction kinetics description based on the basic chemical reactions GeP b1  + H 2  → GeP b1 H + H and GeP b1 H → GeP b1  + H, which are found to be characterized by the average activation energies E f  = 1.44 ± 0.04 eV and E d  = 2.23 ± 0.04 eV, and attendant, assumedly Gaussian, spreads σE f  = 0.20 ± 0.02 eV and σE d  = 0.15 ± 0.02 eV, respectively. The substantial spreads refer to enhanced interfacial disorder. Combination of the separately inferred kinetic parameters for passivation and dissociation results in the unified realistic GST description that incorporates the simultaneous competing action of passivation and dissociation, and which is found to excellently account for the full cycle data. For process times t a  ∼ 35 min, it is found that even for the optimum treatment temperature ∼380 °C, only ∼60% of the GeP b1 system can be electrically silenced, still far remote from device grade level. This

  5. Narrow photoluminescence peak from Ge(Si) islands embedded between tensile-strained Si layers

    Energy Technology Data Exchange (ETDEWEB)

    Shaleev, Mikhail; Novikov, Alexey; Baydakova, Nataliya; Yablonskiy, Artem; Drozdov, Yuriy; Lobanov, Dmitriy; Krasilnik, Zakhary [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Kuznetsov, Oleg [Physical-Technical Research Institute, Nizhny Novgorod State University, pr. Gagarina 23, 603950 Nizhny Novgorod (Russian Federation)

    2011-03-15

    The influence of thickness of the strained Si layers, measurement temperature and optical pumping power on width of the photoluminescence line from Ge(Si) self-assembled nanoislands grown on relaxed SiGe/Si(001) buffer layers and embedded between tensile-stained Si layers was studied. This line appears due to the II-type optical transition between the holes localized in islands and the electrons confined in tensile-strained Si layers under and above the islands. The possibility of tuning the photoluminescence line width by changing the strained Si layer thicknesses under and above the islands is showed. The decrease of the photoluminescence line width from Ge(Si) islands down to values comparable with width of the PL line from InAs/GaAs quantum dots was achieved due to the quantum confinement of electrons in thin strained Si layers and taking into account of the higher diffusion-induced smearing of strained Si layer above the islands. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Cerium intermetallics with TiNiSi-type structure

    Energy Technology Data Exchange (ETDEWEB)

    Janka, Oliver; Niehaus, Oliver; Poettgen, Rainer [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie; Chevalier, Bernard [Bordeaux Univ. CNRS (UPR 9048), Pessac (France). Inst. de Chimie de la Matiere Condensee de Bordeaux (ICMCB)

    2016-08-01

    Intermetallic compounds with the equiatomic composition CeTX that crystallize with the orthorhombic TiNiSi-type structure can be synthesized with electron-rich transition metals (T) and X = Zn, Al, Ga, Si, Ge, Sn, As, Sb, and Bi. The present review focusses on the crystal chemistry and chemical bonding of these CeTX phases and on their physical properties, {sup 119}Sn and {sup 121}Sb Moessbauer spectra, high-pressure effects, hydrogenation reactions and the formation of solid solutions in order to elucidate structure-property relationships. This paper is the final one of a series of four reviews on equiatomic intermetallic cerium compounds [Part I: Z. Naturforsch. 2015, 70b, 289; Part II: Z. Naturforsch. 2015, 70b, 695; Part III: Z. Naturforsch. 2016, 71b, 165].

  7. Local atomic structure and chemical order in amorphous SiGe:H and SiC:H alloys

    International Nuclear Information System (INIS)

    Pisarkiewicz, T.; Stapinski, T.

    1994-01-01

    The local structure and chemical ordering in amorphous hydrogenated silicon-germanium and silicon-carbon alloys were analyzed mainly with the help of extended x-ray absorption fine structure (EXAFS) spectroscopy, Raman scattering and electron diffraction. Ge-Ge and Ge-Si distances were found to be independent of concentration and the composition of the first coordination shell around Ge is consistent with a random mixing of the two species in a-Si 1-x Ge:H alloy. The first-coordination-shell average bond lengths for Si-Si and SiC in a-Si 1-x C x :H are also constant with concentration x and the comparison of the first coordination shell composition around Si with average concentration indicates that the alloys tends to be chemically ordered. The degree of crystallinity in microcrystalline Si films determined by EXAFS is in agreement with that obtained in Raman scattering analysis. (author). 16 refs, 5 figs

  8. SiGe derivatization by spontaneous reduction of aryl diazonium salts

    Science.gov (United States)

    Girard, A.; Geneste, F.; Coulon, N.; Cardinaud, C.; Mohammed-Brahim, T.

    2013-10-01

    Germanium semiconductors have interesting properties for FET-based biosensor applications since they possess high surface roughness allowing the immobilization of a high amount of receptors on a small surface area. Since SiGe combined low cost of Si and intrinsic properties of Ge with high mobility carriers, we focused the study on this particularly interesting material. The comparison of the efficiency of a functionalization process involving the spontaneous reduction of diazonium salts is studied on Si(1 0 0), SiGe and Ge semiconductors. XPS analysis of the functionalized surfaces reveals the presence of a covalent grafted layer on all the substrates that was confirmed by AFM. Interestingly, the modified Ge derivatives have still higher surface roughness after derivatization. To support the estimated thickness by XPS, a step measurement of the organic layers is done by AFM or by profilometer technique after a O2 plasma etching of the functionalized layer. This original method is well-adapted to measure the thickness of thin organic films on rough substrates such as germanium. The analyses show a higher chemical grafting on SiGe substrates compared with Si and Ge semiconductors.

  9. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  10. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  11. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  12. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  13. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Directory of Open Access Journals (Sweden)

    Quanlong Liu

    Full Text Available Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001 substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM. The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  14. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Science.gov (United States)

    Liu, Quanlong; Zhao, Chunwang; Su, Shaojian; Li, Jijun; Xing, Yongming; Cheng, Buwen

    2013-01-01

    Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001) substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM). The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  15. Biomineralisation with Saos-2 bone cells on TiSiN sputtered Ti alloys.

    Science.gov (United States)

    V V, Anusha Thampi; Bendavid, Avi; Martin, P J; Vaithilingam, Vijay; Bean, Penelope A; Evans, Margaret D M; Subramanian, B

    2017-07-01

    Surface modifications of metallic implants are important in order to protect the underlying metals from the harsh corrosive environment inside the human body and to minimize the losses caused by wear. Recently, researches are carried out in developing bioactive surfaces on metallic implants, which supports the growth and proliferation of cells on to these surfaces. Titanium silicon nitride (TiSiN) hard nanocomposites thin films were fabricated on Ti alloys (Ti-6Al-4V) by pulsed direct current (DC) reactive magnetron sputtering. The films were characterized for its microstructural and electrochemical behavior. The higher charge transfer resistance (Rct) and positive shift in Ecorr value of TiSiN/Ti alloys than the bare Ti-alloys indicates a better corrosion resistance offered by the TiSiN thin films to the underlying substrates. The biological response to TiSiN/Ti alloys and control bare Ti-alloys was measured in vitro using cell-based assays with two main outcomes. Firstly, neither the Ti alloy nor the TiSiN thin film was cytotoxic to cells. Secondly, the TiSiN thin film promoted differentiation of human bone cells above the bare control Ti alloy as measured by alkaline phosphatase and calcium production. TiSiN thin films provide better corrosion resistance and protect the underlying metal from the corrosive environment. The thin film surface is both biocompatible and bioactive as indicated from the cytotoxicity and biomineralization studies. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  17. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  18. Temperature dependence of the interband critical points of bulk Ge and strained Ge on Si

    Science.gov (United States)

    Fernando, Nalin S.; Nunley, T. Nathan; Ghosh, Ayana; Nelson, Cayla M.; Cooke, Jacqueline A.; Medina, Amber A.; Zollner, Stefan; Xu, Chi; Menendez, Jose; Kouvetakis, John

    2017-11-01

    Epitaxial Ge layers on a Si substrate experience a tensile biaxial stress due to the difference between the thermal expansion coefficients of the Ge epilayer and the Si substrate, which can be measured using asymmetric X-ray diffraction reciprocal space maps. This stress depends on temperature and affects the band structure, interband critical points, and optical spectra. This manuscripts reports careful measurements of the temperature dependence of the dielectric function and the interband critical point parameters of bulk Ge and Ge epilayers on Si using spectroscopic ellipsometry from 80 to 780 K and from 0.8 to 6.5 eV. The authors find a temperature-dependent redshift of the E1 and E1 + Δ1 critical points in Ge on Si (relative to bulk Ge). This redshift can be described well with a model based on thermal expansion coefficients, continuum elasticity theory, and the deformation potential theory for interband transitions. The interband transitions leading to E0‧ and E2 critical points have lower symmetry and therefore are not affected by the stress.

  19. Interfacial sharpness and intermixing in a Ge-SiGe multiple quantum well structure

    Science.gov (United States)

    Bashir, A.; Gallacher, K.; Millar, R. W.; Paul, D. J.; Ballabio, A.; Frigerio, J.; Isella, G.; Kriegner, D.; Ortolani, M.; Barthel, J.; MacLaren, I.

    2018-01-01

    A Ge-SiGe multiple quantum well structure created by low energy plasma enhanced chemical vapour deposition, with nominal well thickness of 5.4 nm separated by 3.6 nm SiGe spacers, is analysed quantitatively using scanning transmission electron microscopy. Both high angle annular dark field imaging and electron energy loss spectroscopy show that the interfaces are not completely sharp, suggesting that there is some intermixing of Si and Ge at each interface. Two methods are compared for the quantification of the spectroscopy datasets: a self-consistent approach that calculates binary substitutional trends without requiring experimental or computational k-factors from elsewhere and a standards-based cross sectional calculation. Whilst the cross section approach is shown to be ultimately more reliable, the self-consistent approach provides surprisingly good results. It is found that the Ge quantum wells are actually about 95% Ge and that the spacers, whilst apparently peaking at about 35% Si, contain significant interdiffused Ge at each side. This result is shown to be not just an artefact of electron beam spreading in the sample, but mostly arising from a real chemical interdiffusion resulting from the growth. Similar results are found by use of X-ray diffraction from a similar area of the sample. Putting the results together suggests a real interdiffusion with a standard deviation of about 0.87 nm, or put another way—a true width defined from 10%-90% of the compositional gradient of about 2.9 nm. This suggests an intrinsic limit on how sharp such interfaces can be grown by this method and, whilst 95% Ge quantum wells (QWs) still behave well enough to have good properties, any attempt to grow thinner QWs would require modifications to the growth procedure to reduce this interdiffusion, in order to maintain a composition of ≥95% Ge.

  20. On the Potential Application of the Wrinkled SiGe/SiGe Nanofilms

    Czech Academy of Sciences Publication Activity Database

    Fedorchenko, Alexander I.; Cheng, H. H.; Wang, W.; Ch.

    2016-01-01

    Roč. 6, č. 2 (2016), s. 19-23 ISSN 2160-049X Institutional support: RVO:61388998 Keywords : wrinkled SiGe nanofilms * terahertz radiation * terahertz gap Subject RIV: BE - Theoretical Physics http://www.scirp.org/journal/wjm,http://dx.doi.org/10.4236/wjm.2016.62003

  1. Electrical characterisation of SiGe heterojunction bipolar transistors and Si pseudo-HBTS

    Science.gov (United States)

    De Barros, O.; Le Tron, B.; Woods, R. C.; Giroult-Matlakowski, G.; Vincent, G.; Brémond, G.

    1996-08-01

    This paper reports an electrical characterisation of the emitter-base junction of Si pseudo-HBTs and SiGe HBTs fabricated in a CMOS compatible single polysilicon self-aligned process. From the reverse characteristics it appears that the definition of the emitter-base junction by plasma etching induces peripheral defects that increase the base current of the transistors. Deep level transient spectroscopy measurements show a deep level in the case of SiGe base, whose spatial origin is not fully determinate up to now.

  2. Heteroepitaxial Ge-on-Si by DC magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Martin Steglich

    2013-07-01

    Full Text Available The growth of Ge on Si(100 by DC Magnetron Sputtering at various temperatures is studied by Spectroscopic Ellipsometry and Transmission Electron Microscopy. Smooth heteroepitaxial Ge films are prepared at relatively low temperatures of 380°C. Typical Stransky-Krastanov growth is observed at 410°C. At lower temperatures (320°C, films are essentially amorphous with isolated nanocrystallites at the Si-Ge interface. A minor oxygen contamination at the interface, developing after ex-situ oxide removal, is not seen to hinder epitaxy. Compensation of dislocation-induced acceptors in Ge by sputtering from n-doped targets is proposed.

  3. Properties of laser-crystallized polycrystalline SiGe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Weizman, Moshe

    2008-06-06

    In this thesis, structural, electrical, and optical properties of laser-crystallized polycrystalline Si{sub 1-x}Ge{sub x} thin films with 0Si{sub 1-x}Ge{sub x} thin films with 0.3SiGe samples that are exposed to a single laser pulse exhibit a ripple structure that evolves into a hillock structure when the samples are irradiated with additional laser pulses. - It is maintained that the main mechanism behind the structure formation is an instability of the propagating solid-liquid interface during solidification. - The study of defects with electron spin resonance showed that laser-crystallized poly-Si{sub 1-x}Ge{sub x} thin films with 0Ge content. The defect density for solid-phase crystallized SiGe films was lower and amounted to N{sub s}=7 x 10{sup 17} cm{sup -3}. - Germanium-rich laser-crystallized poly-SiGe thin films exhibited mostly a broad atypical electric dipole spin resonance (EDSR) signal that was accompanied by a nearly temperature-independent electrical conductivity in the range 20-100 K. - Most likely, the origin of the grain boundary conductance is due to dangling-bond defects and not impurities. Metallic-like conductance occurs when the dangling-bond defect density is above a critical value of about N{sub C} {approx} 10{sup 18} cm{sup -3}. - Laser crystallized poly-Si{sub 1-x}Ge{sub x} thin films with x{>=}0.5 exhibit optical absorption behavior that is characteristic for disordered SiGe, implying that the absorption occurs primarily at the grain boundaries. A sub-band-gap absorption peak was found for

  4. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  5. Vacancy-induced brittle to ductile transition of W-M co-doped Al3Ti (M=Si, Ge, Sn and Pb).

    Science.gov (United States)

    Zhu, Mingke; Wu, Ping; Li, Qiulin; Xu, Ben

    2017-10-25

    We investigated the effect of vacancy formation on brittle (D0 22 ) to ductile (L1 2 -like) transition in Al 3 Ti using DFT calculations. The well-known pseudogap on the density of states of Al 3 Ti migrates towards its Fermi level from far above, via a W - M co-doping strategy, where M is Si, Ge, Sn or Pb respectively. In particular, by a W - M co-doping the underline electronic structure of the pseudogap approaches an octahedral (L1 2 : t 2g , e g ) from the tetragonal (D0 22 : e g , b 2g , a 1g , b 1g ) crystal field. Our calculations demonstrated that (1) a W-doping is responsible for the close up of the energy gap between a 1g and b 1g so that they tend to merge into an e g symmetry, and (2) all M-doping lead to a narrower gap between e g and b 2g (moving towards a t 2g symmetry). Thus, a brittle to ductile transition in Al 3 Ti is possible by adopting this W - M co-doping strategy. We further recommend the use of W-Pb co-doped Al 3 Ti to replace the less anodic Al electrode in Al-battery, due to its improved ductility and high Al diffusivity. Finally this study opens a new field in physics to tailor mechanical properties by manipulating electron energy level(s) towards higher symmetry via vacancy optimization.

  6. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  7. Microstructural evolution and mechanical properties of Ti3SiC2-TiC composites

    International Nuclear Information System (INIS)

    Tian, WuBian; Sun, ZhengMing; Hashimoto, Hitoshi; Du, YuLei

    2010-01-01

    Ti 3 SiC 2 -TiC composites were fabricated by pulse discharge sintering technique using three different sets of powder mixtures, i.e. Ti/Si/TiC (TC30), Ti/Si/C/TiC (SI30) and Ti/Si/C (TSC30). Based on X-ray diffraction (XRD) analysis and microstructural observations, starting powder reactants were found to have little effect on phase content but strong influence on the microstructure in terms of phase distribution. The phase distribution mainly relies on the heat released from reaction and the liquid phase content formed during sintering. The mechanical properties of the fabricated dense samples demonstrate that more homogeneous phase distribution, available by choosing the starting reactants of SI30, results in higher flexural strength, whereas the Vickers hardness is almost independent of the microstructure. The enhanced flexural strength in sample SI30 sintered at 1400 o C is mainly attributed to the homogeneous TiC distribution in the microstructure.

  8. Electrolytic Production of Ti5Si3/TiC Composites by Solid Oxide Membrane Technology

    Science.gov (United States)

    Zheng, Kai; Zou, Xingli; Xie, Xueliang; Lu, Changyuan; Chen, Chaoyi; Xu, Qian; Lu, Xionggang

    2018-02-01

    This paper investigated the electrolytic production of Ti5Si3/TiC composites from TiO2/SiO2/C in molten CaCl2. The solid-oxide oxygen-ion-conducting membrane tube filled with carbon-saturated liquid tin was served as the anode, and the pressed spherical TiO2/SiO2/C pellet was used as the cathode. The electrochemical reduction process was carried out at 1273 K and 3.8 V. The characteristics of the obtained cathode products and the reaction mechanism of the electroreduction process were studied by a series of time-dependent electroreduction experiments. It was found that the electroreduction process generally proceeds through the following steps: TiO2/SiO2/C → Ti2O3, CaTiO3, Ca2SiO4, SiC → Ti5Si3, TiC. The morphology observation and the elemental distribution analysis indicate that the reaction routes for Ti5Si3 and TiC products are independent during the electroreduction process.

  9. SiGe-based re-engineering of electronic warfare subsystems

    CERN Document Server

    Lambrechts, Wynand

    2017-01-01

    This book equips readers with a thorough understanding of the applicability of new-generation silicon-germanium (SiGe) electronic subsystems for the military purposes of electronic warfare and defensive countermeasures. The theoretical and technical background is extensively explained and all aspects of the integration of SiGe as an enabling technology for maritime, land, and airborne (including space) electronic warfare are addressed, including research, design, development, and implementation. The coverage is supported by mathematical derivations, informative illustrations, practical examples, and case studies. While SiGe technology provides speed, performance, and price advantages in many markets, sharing of information on its use in electronic warfare systems has to date been limited, especially in developing nations. This book will therefore be warmly welcomed as an engineering guideline that focuses especially on the speed and reliability of current-generation SiGe circuits and highlights emerging innov...

  10. C and Si delta doping in Ge by CH_3SiH_3 using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Mai, Andreas; Tillack, Bernd

    2016-01-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH_3SiH_3 is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H_2 or N_2 carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N_2 as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH_3SiH_3 is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H_2 as carrier gas, lower incorporated C is observed in comparison to Si. CH_3SiH_3 injected with H_2 carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N_2 at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH_3SiH_3 exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH_3SiH_3 adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  11. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  12. Ion beam analysis of the dry thermal oxidation of thin polycrystalline SiGe films

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Nanoparticles of Ge embedded in a formed dielectric matrix appear as very promising systems for electronic and photonic applications. We present here an exhaustive characterization of the oxidation process of polycrystalline SiGe layers from the starting of its oxidation process to the total oxidation of it. We have characterized the process by RBS, FTIR and Raman spectroscopy, showing the necessity to use different techniques in order to get a full view of the process. First the Si-Si and Si-Ge bonds are oxidized growing SiO 2 , and Ge segregates from the SiO 2 . As soon as all Si is oxidized GeO 2 is growing gradually. RBS has demonstrated to be very useful to characterize the SiO 2 and the remaining non-oxidized poly-SiGe layer thickness, as well as for the determination of the Ge fraction, where the high sensitivity of this technique allows to explore its whole range. On the other hand, for the reliable determination of the GeO 2 thickness, information on the amount of Ge-O bonding had to be obtained from FTIR spectra. Raman spectroscopy yields detailed information about the oxidation processes for different bonds (Si-Si, Si-Ge, Ge-Ge)

  13. Enhanced Emission of Quantum System in Si-Ge Nanolayer Structure.

    Science.gov (United States)

    Huang, Zhong-Mei; Huang, Wei-Qi; Dong, Tai-Ge; Wang, Gang; Wu, Xue-Ke

    2016-12-01

    It is very interesting that the enhanced peaks near 1150 and 1550 nm are observed in the photoluminescence (PL) spectra in the quantum system of Si-Ge nanolayer structure, which have the emission characteristics of a three-level system with quantum dots (QDs) pumping and emission of quasi-direct-gap band, in our experiment. In the preparing process of Si-Ge nanolayer structure by using a pulsed laser deposition method, it is discovered that the nanocrystals of Si and Ge grow in the (100) and (111) directions after annealing or electron beam irradiation. The enhanced PL peaks with multi-longitudinal-mode are measured at room temperature in the super-lattice of Si-Ge nanolayer quantum system on SOI.

  14. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    Science.gov (United States)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  15. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  16. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    Science.gov (United States)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  17. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M.C.; Elliman, R.G.; Rao, M.R. [Australian National Univ., Canberra, ACT (Australia); Baribeau, J.M. [National Research Council of Canada, Ottawa, ON (Canada)

    1993-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  18. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M C; Elliman, R G; Rao, M R [Australian National Univ., Canberra, ACT (Australia); Baribeau, J M [National Research Council of Canada, Ottawa, ON (Canada)

    1994-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  19. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    Science.gov (United States)

    Prakash, A. P. Gnana; Praveen, K. C.; Pushpa, N.; Cressler, John D.

    2015-05-01

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to 60Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  20. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, A. P. Gnana, E-mail: gnanaprakash@physics.uni-mysore.ac.in; Praveen, K. C. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore, Karnataka-570006 (India); Pushpa, N. [Department of PG Studies in Physics, JSS College, Ooty Road, Mysore-570025 (India); Cressler, John D. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, 30332 (United States)

    2015-05-15

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to {sup 60}Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  1. Comparative study of the luminescence of structures with Ge nanocrystals formed by dry and wet oxidation of SiGe films

    International Nuclear Information System (INIS)

    RodrIguez, A; Ortiz, M I; Sangrador, J; RodrIguez, T; Avella, M; Prieto, A C; Torres, A; Jimenez, J; Kling, A; Ballesteros, C

    2007-01-01

    The luminescence emission of structures containing Ge nanocrystals embedded in a dielectric matrix obtained by dry and wet oxidation of polycrystalline SiGe layers has been studied as a function of the oxidation time and initial SiGe layer thickness. A clear relationship between the intensity of the luminescence, the structure of the sample, the formation of Ge nanocrystals and the oxidation process parameters that allows us to select the appropriate process conditions to get the most efficient emission has been established. The evolution of the composition and thickness of the growing oxides and the remaining SiGe layer during the oxidation processes has been characterized using Raman spectroscopy, x-ray diffraction, Fourier-transform infrared spectroscopy, Rutherford backscattering spectrometry and transmission electron microscopy. For dry oxidation, the luminescence appears suddenly, regardless of the initial SiGe layer thickness, when all the Si of the SiGe has been oxidized and the remaining layer of the segregated Ge starts to be oxidized forming Ge nanocrystals. Luminescence is observed as long as Ge nanocrystals are present. For wet oxidation, the luminescence appears from the first stages of the oxidation, and is related to the formation of Ge-rich nanoclusters trapped in the mixed (Si and Ge) growing oxide. A sharp increase of the luminescence intensity for long oxidation times is also observed, due to the formation of Ge nanocrystals by the oxidation of the layer of segregated Ge. For both processes the luminescence is quenched when the oxidation time is long enough to cause the full oxidation of the Ge nanocrystals. The intensity of the luminescence in the dry oxidized samples is about ten times higher than in the wet oxidized ones for equal initial thickness of the SiGe layer

  2. Structural transition in Ge growth on Si mediated by sub-monolayer carbon

    International Nuclear Information System (INIS)

    Itoh, Yuhki; Hatakeyama, Shinji; Washio, Katsuyoshi

    2014-01-01

    Ge growth on Si mediated by sub-monolayer (ML) carbon (C) covered directly on Si surface was studied. C and Ge layers were grown on Si(100) substrates by using solid-source molecular beam epitaxy system. After Si surface cleaning by heating up to 900 °C, C up to 0.45 ML was deposited and then 10 to 15-nm-thick Ge were deposited. Reflection high energy electron diffraction patterns after sub-ML C deposition changed from streaks to halo depending on C coverage. The Ge dots were formed at low C coverage of 0.08–0.16 ML. Octagonal dots had three same facet planes of (001), (111), and (113) and consisted of the mixture of single crystals with dislocations along [111]. This is due to the event that the incorporation of small amount of C into Si surface gave rise to a strain. As a result, Si surface weaved Si(100) 2 × 1 with Si-C c(4 × 4) and Ge atoms adsorbed selectively on Si(100) 2 × 1 forming dome-shaped dots. A drastic structural transition from dots to films occurred at C coverage of 0.20 ML. The Ge films, consisting of relaxed poly- and amorphous-Ge, formed at C coverage of 0.20–0.45 ML. This is because a large amount of Si-C bonds induced strong compressive strain and surface roughening. In consequence, the growth mode changed from three-dimensional (3D) to 2D due to the reduction of Ge diffusion length. - Highlights: • Ge growth on Si mediated by sub-monolayer (ML) carbon (C) was studied. • Ge dots were formed at low C coverage of 0.08–0.16 ML. • Drastic structural transition from dots to films occurred at C coverage of 0.20 ML. • Ge films consisted of relaxed poly- and amorphous-Ge at C coverage of 0.20–0.45 ML

  3. Effects of SiC amount on phase compositions and properties of Ti3SiC2-based composites

    Institute of Scientific and Technical Information of China (English)

    蔡艳芝; 殷小玮; 尹洪峰

    2015-01-01

    The phase compositions and properties of Ti3SiC2-based composites with SiC addition of 5%−30% in mass fraction fabricated by in-situ reaction and hot pressing sintering were studied. SiC addition effectively prevented TiC synthesis but facilitated SiC synthesis. The Ti3SiC2/TiC−SiC composite had better oxidation resistance when SiC added quantity reached 20% but poorer oxidation resistance with SiC addition under 15%than Ti3SiC2/TiC composite at higher temperatures. There were more than half of the original SiC and a few Ti3SiC2 remaining in Ti3SiC2/TiC−SiC with 20% SiC addition, but all constituents in Ti3Si2/TiC composite were oxidized after 12 h in air at 1500 °C. The oxidation scale thickness of TS30, 1505.78μm, was near a half of that of T, 2715μm, at 1500 °C for 20 h. Ti3SiC2/TiC composite had a flexural strength of 474 MPa, which was surpassed by Ti3SiC2/TiC−SiC composites when SiC added amount reached 15%. The strength reached the peak of 518 MPa at 20%SiC added amount.

  4. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  5. Shallow acceptors in Ge/GeSi heterostructures with quantum wells in magnetic field

    International Nuclear Information System (INIS)

    Aleshkin, V.Ya.; Antonov, A.V.; Veksler, D.B.; Gavrilenko, V.I.; Erofeeva, I.V.; Ikonnikov, A.V.; Kozlov, D.V.; Spirin, K.E.; Kuznetsov, O.A.

    2005-01-01

    One investigated both theoretically and experimentally into shallow acceptors in Ge/GeSi heterostructures with quantum wells (QW) in a magnetic field. It is shown that alongside with lines of cyclotron resonance in magnetoabsorption spectra one observes transitions from the ground state of acceptor to the excited ones associated with the Landau levels from the first and the second subbands of dimensional quantization, and resonance caused by ionization of A + -centres. To describe impurity transitions in Ge/GeSi heterostructures with QW in a magnetic field and to interpret the experiment results in detail one uses numerical method of calculation based on expansion of wave function of acceptor in terms of basis of wave functions of holes in QW in the absence of magnetic field [ru

  6. Enhanced formation of Ge nanocrystals in Ge : SiO2 layers by swift heavy ions

    International Nuclear Information System (INIS)

    Antonova, I V; Volodin, V A; Marin, D M; Skuratov, V A; Smagulova, S A; Janse van Vuuren, A; Neethling, J; Jedrzejewski, J; Balberg, I

    2012-01-01

    In this paper we report the ability of swift heavy Xe ions with an energy of 480 MeV and a fluence of 10 12 cm -2 to enhance the formation of Ge nanocrystals within SiO 2 layers with variable Ge contents. These Ge-SiO 2 films were fabricated by the co-sputtering of Ge and quartz sources which followed various annealing procedures. In particular, we found that the irradiation of the Ge : SiO 2 films with subsequent annealing at 500 °C leads to the formation of a high concentration of nanocrystals (NCs) with a size of 2-5 nm, whereas without irradiation only amorphous inclusions were observed. This effect, as evidenced by Raman spectra, is enhanced by pre-irradiation at 550 °C and post-irradiation annealing at 600 °C, which also leads to the observation of room temperature visible photoluminescence. (paper)

  7. Surface tension and density of Si-Ge melts

    Science.gov (United States)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  8. Efficient tunable luminescence of SiGe alloy sheet polymers

    International Nuclear Information System (INIS)

    Vogg, G.; Meyer, A. J.-P.; Miesner, C.; Brandt, M. S.; Stutzmann, M.

    2001-01-01

    Crystalline SiGe alloy sheet polymers were topotactically prepared from epitaxially grown calcium germanosilicide Ca(Si 1-x Ge x ) 2 precursor films in the whole composition range. These polygermanosilynes are found to be a well-defined mixture of the known siloxene and polygermyne sheet polymers with the OH groups exclusively bonded to silicon. The optical properties determined by photoluminescence and optical reflection measurements identify the mixed SiGe sheet polymers as direct semiconductors with efficient luminescence tunable in the energy range between 2.4 and 1.3 eV. [copyright] 2001 American Institute of Physics

  9. Effect of Ti and Si interlayer materials on the joining of SiC ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Yang Il; Park, Jung Hwan; Kim, Hyun Gil; Park, Dong Jun; Park, Jeong Yong; Kim, Weon Ju [LWR Fuel Technology Division, Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-08-15

    SiC-based ceramic composites are currently being considered for use in fuel cladding tubes in light-water reactors. The joining of SiC ceramics in a hermetic seal is required for the development of ceramic-based fuel cladding tubes. In this study, SiC monoliths were diffusion bonded using a Ti foil interlayer and additional Si powder. In the joining process, a very low uniaxial pressure of ∼0.1 MPa was applied, so the process is applicable for joining thin-walled long tubes. The joining strength depended strongly on the type of SiC material. Reaction-bonded SiC (RB-SiC) showed a higher joining strength than sintered SiC because the diffusion reaction of Si was promoted in the former. The joining strength of sintered SiC was increased by the addition of Si at the Ti interlayer to play the role of the free Si in RB-SiC. The maximum joint strength obtained under torsional stress was ∼100 MPa. The joint interface consisted of TiSi{sub 2}, Ti{sub 3}SiC{sub 2}, and SiC phases formed by a diffusion reaction of Ti and Si.

  10. Preparation and mechanical properties of in situ TiC{sub x}–Ni (Si, Ti) alloy composites

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wenjuan [Institute of Materials Science and Engineering, School of Mechanical, Electronic and Control Engineering, Beijing Jiaotong University, Beijing 100044 (China); Zhai, Hongxiang, E-mail: hxzhai@sina.com [Institute of Materials Science and Engineering, School of Mechanical, Electronic and Control Engineering, Beijing Jiaotong University, Beijing 100044 (China); Chen, Lin; Huang, Zhenying [Institute of Materials Science and Engineering, School of Mechanical, Electronic and Control Engineering, Beijing Jiaotong University, Beijing 100044 (China); Bei, Guoping; Baumgärtner, Christoph; Greil, Peter [Department of Materials Science (Glass and Ceramics), University of Erlangen-Nuernberg, Martensstr. 5, 91058 Erlangen (Germany)

    2014-10-20

    Novel in situ TiC{sub x} reinforced Ni (Si, Ti) alloy composites with superior mechanical properties were prepared at 1250 °C for 30 min by pressureless sintering Ti{sub 3}SiC{sub 2} (10 and 20 vol%) and Ni as precursors. The Ti{sub 3}SiC{sub 2} particles decomposed into substoichiometric TiC{sub x} phase, while the additional Si and partial Ti atoms derived from Ti{sub 3}SiC{sub 2} diffused into Ni matrix to form Ni (Si, Ti) alloy. The in situ formed TiC{sub x} phases are mainly dispersed on the grain boundaries of the Ni (Si, Ti) alloying, forming a strong skeleton and refining the microstructures of the metal matrix. The hardness, the yield stress σ{sub 0.2%} and ultimate compressive strength of 20.6 vol%TiC{sub x}–Ni(Si, Ti) composite can reach 2.15±0.04 GPa, 466.8±55.8 MPa and 733.3±78.4 MPa, respectively. The enhanced mechanical properties of TiC{sub x}–Ni(Si, Ti) composites are due to the in situ formation of TiC{sub x} skeleton, the refined microstructures of Ni (Si, Ti) alloys and solid solution effects as well as good wettability between TiC{sub x} and Ni (Si, Ti) matrix.

  11. The cross-plane thermoelectric properties of p-Ge/Si0.5Ge0.5 superlattices

    International Nuclear Information System (INIS)

    Ferre Llin, L.; Samarelli, A.; Weaver, J. M. R.; Dobson, P. S.; Paul, D. J.; Cecchi, S.; Chrastina, D.; Isella, G.; Etzelstorfer, T.; Stangl, J.; Müller Gubler, E.

    2013-01-01

    The electrical conductivity, Seebeck coefficients, and thermal conductivities of a range of p-type Ge/Si 0.5 Ge 0.5 superlattices designed for thermoelectric generation and grown by low energy plasma enhanced chemical vapor deposition have been measured using a range of microfabricated test structures. For samples with barriers around 0.5 nm in thickness, the measured Seebeck coefficients were comparable to bulk p-SiGe at similar doping levels suggesting the holes see the material as a random bulk alloy rather than a superlattice. The Seebeck coefficients for Ge quantum wells of 2.85 ± 0.85 nm increased up to 533 ± 25 μV/K as the doping was reduced. The thermal conductivities are between 4.5 to 6.0 Wm −1 K −1 which are lower than comparably doped bulk Si 0.3 Ge 0.7 but higher than undoped Si/Ge superlattices. The highest measured figure of merit ZT was 0.080 ± 0.011 obtained for the widest quantum well studied. Analysis suggests that interface roughness is presently limiting the performance and a reduction in the strain between the quantum wells and barriers has the potential to improve the thermoelectric performance

  12. Transformation of point defects under annealing of neutron-irradiated Si and Si:Ge

    International Nuclear Information System (INIS)

    Pomozov, Yu.V.; Khirunenko, L.I.; Shakhovtsev, V.I.; Yashnik, V.I.

    1990-01-01

    Transformation of point radiation defects under isochronous annealing of neurton-irradaited Si and Si:Ge is studied. It is determined, that occurence of several new centers which produce A-centre range absorption bands is observed at annealing within 423-493 K temperature range. It is shown that vacancy and oxygen are included in the centers composition. It is found that VO centre transformation into VO 2 at annealing occurs via intermediate stage in contrast to that occuring in electron-irradiated crystals via VO direct diffusion to interstitial oxygen. Transformation of centers under Si ansd Si:Ge annealing occurs similarly

  13. Atomistic simulations of thermal transport in Si and SiGe based materials: From bulk to nanostructures

    Science.gov (United States)

    Savic, Ivana; Mingo, Natalio; Donadio, Davide; Galli, Giulia

    2010-03-01

    It has been recently proposed that Si and SiGe based nanostructured materials may exhibit low thermal conductivity and overall promising properties for thermoelectric applications. Hence there is a considerable interest in developing accurate theoretical and computational methods which can help interpret recent measurements, identify the physical origin of the reduced thermal conductivity, as well as shed light on the interplay between disorder and nanostructuring in determining a high figure of merit. In this work, we investigate the capability of an atomistic Green's function method [1] to describe phonon transport in several types of Si and SiGe based systems: amorphous Si, SiGe alloys, planar and nanodot Si/SiGe multilayers. We compare our results with experimental data [2,3], and with the findings of molecular dynamics simulations and calculations based on the Boltzmann transport equation. [1] I. Savic, N. Mingo, and D. A. Stewart, Phys. Rev. Lett. 101, 165502 (2008). [2] S.-M. Lee, D. G. Cahill, and R. Venkatasubramanian, Appl. Phys. Lett. 70, 2957 (1997). [3] G. Pernot et al., submitted.

  14. Thermoelectric cross-plane properties on p- and n-Ge/Si{sub x}Ge{sub 1-x} superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Ferre Llin, L.; Samarelli, A. [University of Glasgow, School of Engineering, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Cecchi, S.; Chrastina, D.; Isella, G. [L-NESS, Politecnico di Milano, Via Anzani 42, 22100 Como (Italy); Müller Gubler, E. [ETH, Electron Microscopy ETH Zurich, Wolgang-Pauli-Str. Ch-8093 Zurich (Switzerland); Etzelstorfer, T.; Stangl, J. [Johannes Kepler Universität, Institute of Semiconductor and Solid State Physics, A-4040 Linz (Austria); Paul, D.J., E-mail: Douglas.Paul@glasgow.ac.uk [University of Glasgow, School of Engineering, Oakfield Avenue, Glasgow G12 8LT (United Kingdom)

    2016-03-01

    Silicon and germanium materials have demonstrated an increasing attraction for energy harvesting, due to their sustainability and integrability with complementary metal oxide semiconductor and micro-electro-mechanical-system technology. The thermoelectric efficiencies for these materials, however, are very poor at room temperature and so it is necessary to engineer them in order to compete with telluride based materials, which have demonstrated at room temperature the highest performances in literature [1]. Micro-fabricated devices consisting of mesa structures with integrated heaters, thermometers and Ohmic contacts were used to extract the cross-plane values of the Seebeck coefficient and the thermal conductivity from p- and n-Ge/Si{sub x}Ge{sub 1-x} superlattices. A second device consisting in a modified circular transfer line method structure was used to extract the electrical conductivity of the materials. A range of p-Ge/Si{sub 0.5}Ge{sub 0.5} superlattices with different doping levels was investigated in detail to determine the role of the doping density in dictating the thermoelectric properties. A second set of n-Ge/Si{sub 0.3}Ge{sub 0.7} superlattices was fabricated to study the impact that quantum well thickness might have on the two thermoelectric figures of merit, and also to demonstrate a further reduction of the thermal conductivity by scattering phonons at different wavelengths. This technique has demonstrated to lower the thermal conductivity by a 25% by adding different barrier thicknesses per period. - Highlights: • Growth of epitaxial Ge/SiGe superlattices on Si substrates as energy harvesters • Study of cross-plane thermoelectric properties of Ge/SiGe superlattices at 300 K • Thermoelectric figures of merit studied as a function of doping density • Phonon scattering at different wavelengths to reduce thermal transport.

  15. Combined RBS and TEM characterization of nano-SiGe layers embedded in SiO2

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Sangrador, J.; Rodriguez, A.; Rodriguez, T.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Grazing incidence RBS has been tested as a technique to detect and characterize SiGe nanoparticles embedded in a SiO 2 matrix. Suitable structures were deposited by low pressure chemical vapour deposition and characterized by TEM and RBS. The layers containing nanoparticles have been modelled by stacks of sublayers consisting of SiGeO layers with compositions calculated according to presumed shapes, sizes, Si/Ge ratios and particle area densities and used as input for RUMP. The nanoparticle parameters obtained by fitting the experimental RBS spectra agree well with the findings by TEM. This demonstrates that RBS is a useful and fast technique to characterize this kind of structures

  16. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  17. Muonium hyperfine parameters in Si1-x Ge x alloys

    International Nuclear Information System (INIS)

    King, Philip; Lichti, Roger; Cottrell, Stephen; Yonenaga, Ichiro

    2006-01-01

    We present studies of muonium behaviour in bulk, Czochralski-grown Si 1- x Ge x alloy material, focusing in particular on the hyperfine parameter of the tetrahedral muonium species. In contrast to the bond-centred species, the hyperfine parameter of the tetrahedral-site muonium centre (Mu T ) appears to vary non-linearly with alloy composition. The temperature dependence of the Mu T hyperfine parameter observed in low-Ge alloy material is compared with that seen in pure Si, and previous models of the Mu T behaviour in Si are discussed in the light of results from Si 1- x Ge x alloys

  18. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  19. Thermal transport through Ge-rich Ge/Si superlattices grown on Ge(0 0 1)

    Science.gov (United States)

    Thumfart, L.; Carrete, J.; Vermeersch, B.; Ye, N.; Truglas, T.; Feser, J.; Groiss, H.; Mingo, N.; Rastelli, A.

    2018-01-01

    The cross-plane thermal conductivities of Ge-rich Si/Ge superlattices have been measured using both time-domain thermoreflectance and the differential 3ω method. The superlattices were grown by molecular beam epitaxy on Ge(0 0 1) substrates. Crystal quality and structural information were investigated by x-ray diffractometry and transmission electron microscopy. The influence of segregation during growth on the composition profiles was modeled using the experimental growth temperatures and deposition rates. Those profiles were then employed to obtain parameter-free theoretical estimates of the thermal conductivity by combining first-principles calculations, Boltzmann transport theory and phonon Green’s functions. Good agreement between theory and experiment is observed. The thermal conductivity shows a strong dependence on the composition and the thickness of the samples. Moreover, the importance of the composition profile is reflected in the fact that the thermal conductivity of the superlattices is considerably lower than predicted values for alloys with the same average composition and thickness. Measurement on different samples with the same Si layer thickness and number of periods, but different Ge layer thickness, show that the thermal resistance is only weakly dependent on the Ge layers. We analyze this phenomenon based on the first-principles mode, and build an approximate parametrization showing that, in this regime, the resistivity of a SL is roughly linear on the amount of Si.

  20. Synthesis, microstructure and mechanical properties of Ti3SiC2-TiC composites pulse discharge sintered from Ti/Si/TiC powder mixture

    International Nuclear Information System (INIS)

    Tian Wubian; Sun Zhengming; Hashimoto, Hitoshi; Du Yulei

    2009-01-01

    Ti 3 SiC 2 -TiC composites with the volume fractions of TiC from 0 to 90% were fabricated by pulse discharge sintering (PDS) technique using Ti-Si-TiC as starting powders in the sintering temperature range of 1250-1400 deg. C. Phase content and microstructure of the synthesized samples were analyzed by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The samples sintered at 1400 deg. C are almost fully dense for all compositions with relative density higher than 98%. The phase distribution in the synthesized samples is non-uniform. The Vickers hardness increases almost linearly with the volume fraction of TiC up to a value of 20.1 ± 1.4 GPa at 90 vol.% TiC. The flexural strength increases with the volume fraction of TiC to a maximum value of 655 ± 10 MPa at 50 vol.% TiC. The relationship between microstructure and mechanical properties is discussed.

  1. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    Science.gov (United States)

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  2. The microstructure investigation of GeTi thin film used for non-volatile memory

    International Nuclear Information System (INIS)

    Shen Jie; Liu Bo; Song Zhitang; Xu Cheng; Liang Shuang; Feng Songlin; Chen Bomy

    2008-01-01

    GeTi thin film has been found to have the reversible resistance switching property in our previous work. In this paper, the microstructure of this material with a given composition was investigated. The film was synthesized by magnetron sputtering and treated by the rapid temperature process. The results indicate a coexist status of amorphous and polycrystalline states in the as-deposited GeTi film, and the grains in the film are extremely fine. Furthermore, not until the film annealed at 600 deg. C, can the polycrystalline state be detected by X-ray diffraction. Based on the morphological analysis, the sputtered GeTi has the column growth tendency, and the column structure vanishes with the temperature increasing. The microstructure and thermal property analysis indicate that GeTi does not undergo evident phase change process during the annealing process, which makes the switching mechanism of GeTi different from that of chalcogenide memory material, the most widely used phase change memory material

  3. Alleviation of Fermi level pinning at metal/n-Ge interface with lattice-matched Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer on Ge

    Science.gov (United States)

    Suzuki, Akihiro; Nakatsuka, Osamu; Sakashita, Mitsuo; Zaima, Shigeaki

    2018-06-01

    The impact of a silicon germanium tin (Si x Ge1‑ x ‑ y Sn y ) ternary alloy interlayer on the Schottky barrier height (SBH) of metal/Ge contacts with various metal work functions has been investigated. Lattice matching at the Si x Ge1‑ x ‑ y Sn y /Ge heterointerface is a key factor for controlling Fermi level pinning (FLP) at the metal/Ge interface. The Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer having a small lattice mismatch with the Ge substrate can alleviate FLP at the metal/Ge interface significantly. A Si0.11Ge0.86Sn0.03 interlayer increases the slope parameter for the work function dependence of the SBH to 0.4. An ohmic behavior with an SBH below 0.15 eV can be obtained with Zr and Al/Si0.11Ge0.86Sn0.03/n-Ge contacts at room temperature.

  4. Structural transformation in mechanosynthesized bcc Fe-Al-Si(Ge) solid solutions during heating

    International Nuclear Information System (INIS)

    Kubalova, L.M.; Sviridov, I.A.; Vasilyeva, O.Ya.; Fadeeva, V.I.

    2007-01-01

    X-ray diffractometry and Moessbauer spectroscopy study of Fe 50 Al 25 Si 25 and Fe 50 Al 25 Ge 25 alloys obtained by mechanical alloying (MA) of elementary powders was carried out. Phase transformation during heating of synthesized products was studied using differential scanning calorimetry (DSC). After 2.5 h of MA monophase alloys containing bcc Fe(Al, Ge) solid solutions Fe(Al, Si) are formed. Fe(Al, Si) is partially ordered B2 type and Fe(Al, Ge) is completely disordered. DSC curves of synthesized alloys displayed the presence of exothermal peaks caused by phase transformation. The metastable Fe(Al, Si) solid solution transformed into FeAl 1-x Si x (B2) and FeSi 1-x Al x (B20) equilibrium phases. The Fe(Al, Ge) solid solution transformed into equilibrium phases through intermediate stage of Fe 6 Ge 3 Al 2 metastable phase formation. The Fe 6 Ge 3 Al 2 phase dissociated into three equilibrium phases: FeAl 1-x Ge x (B2), χ-Fe 6 Ge 5 and η-Fe 13 (Ge, Al) 8 (B8 2 ). The structure of Fe 6 Ge 3 Al 2 was calculated by Rietveld method, the distribution of Al and Ge in the elementary cell and its parameters were calculated. Moessbauer study showed that Fe(Al, Si) and Fe(Al, Ge) solid solutions are paramagnetic. In the equilibrium state the alloy containing Si is also paramagnetic while the alloy with Ge showed ferromagnetic properties

  5. On the radiative recombination and tunneling of charge carriers in SiGe/Si heterostructures with double quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipmras.ru; Zhukavin, R. Kh.; Bekin, N. A.; Novikov, A. V.; Yurasov, D. V.; Shaleev, M. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-12-15

    For SiGe/Si(001) epitaxial structures with two nonequivalent SiGe quantum wells separated by a thin Si barrier, the spectral and time characteristics of interband photoluminescence corresponding to the radiative recombination of excitons in quantum wells are studied. For a series of structures with two SiGe quantum wells different in width, the characteristic time of tunneling of charge carriers (holes) from the narrow quantum well, distinguished by a higher exciton recombination energy, to the wide quantum well is determined as a function of the Si barrier thickness. It is shown that the time of tunneling of holes between the Si{sub 0.8}5Ge{sub 0.15} layers with thicknesses of 3 and 9 nm steadily decreases from ~500 to <5 ns, as the Si barrier thickness is reduced from 16 to 8 nm. At intermediate Si barrier thicknesses, an increase in the photoluminescence signal from the wide quantum well is observed, with a characteristic time of the same order of magnitude as the luminescence decay time of the narrow quantum well. This supports the observation of the effect of the tunneling of holes from the narrow to the wide quantum well. A strong dependence of the tunneling time of holes on the Ge content in the SiGe layers at the same thickness of the Si barrier between quantum wells is observed, which is attributed to an increase in the effective Si barrier height.

  6. Diffusion Mechanisms and Lattice Locations of Thermal-Equilibrium Defects in Si-Ge Alloys

    CERN Multimedia

    Lyutovich, K; Touboltsev, V; Laitinen, P O; Strohm, A

    2002-01-01

    It is generally accepted that Ge and Si differ considerably with respect to intrinsic-point-defect-mediated diffusion. In Ge, the native point defects dominating under thermal-equilibium conditions at all solid-state temperatures accessible in diffusion experiments are vacancies, and therefore Ge self-diffusion is vacancy-controlled. In Si, by contrast, self-interstitials and vacancies co-exist in thermal equilibrium. Whereas in the most thoroughly investigated temperature regime above about 1000$^\\circ$C Si self-diffusion is self-interstitial-controlled, it is vacancy-controlled at lower temperatures. According to the scenario displayed above, self-diffusion in Si-Ge alloys is expected to change from an interstitialcy mechanism on the Si side to a vacancy mechanism on the Ge side. Therefore, $^{71}$Ge self-diffusion experiments in Si$_{1- \\it y}$Ge$_{\\it y}$ as a function of composition Y are highly interesting. In a first series of experiments the diffusion of Ge in 0.4 to 10 $\\mu$m thick, relaxed, low-disl...

  7. Reduced thermal conductivity due to scattering centers in p-type SiGe alloys

    International Nuclear Information System (INIS)

    Beaty, J.S.; Rolfe, J.L.; Vandersande, J.; Fleurial. J.P.

    1992-01-01

    This paper reports that a theoretical model has been developed that predicts that the addition of ultra-fine, inert, phonon-scattering centers to SiGe thermoelectric material will reduce its thermal conductivity and improve its figure-of-merit. To investigate this prediction, ultra-fine particulates (20 Angstrom to 200 Angstrom) of boron nitride have been added to boron doped, p-type, 80/20 SiGe. All previous SiGe samples produced from ultra-fine SiGe powder without additions had lower thermal conductivities than standard SiGe, but high temperature (1525 K) heat treatment increased their thermal conductivity back to the value for standard SiGe. Transmission Electron Microscopy has been used to confirm the presence of occluded particulates and X-ray diffraction has been used to determine the composition to be BN

  8. Simulation of thermal stress and buckling instability in Si/Ge and Ge/Si core/shell nanowires.

    Science.gov (United States)

    Das, Suvankar; Moitra, Amitava; Bhattacharya, Mishreyee; Dutta, Amlan

    2015-01-01

    The present study employs the method of atomistic simulation to estimate the thermal stress experienced by Si/Ge and Ge/Si, ultrathin, core/shell nanowires with fixed ends. The underlying technique involves the computation of Young's modulus and the linear coefficient of thermal expansion through separate simulations. These two material parameters are combined to obtain the thermal stress on the nanowires. In addition, the thermally induced stress is perceived in the context of buckling instability. The analysis provides a trade-off between the geometrical and operational parameters of the nanostructures. The proposed methodology can be extended to other materials and structures and helps with the prediction of the conditions under which a nanowire-based device might possibly fail due to elastic instability.

  9. Strain distribution analysis in Si/SiGe line structures for CMOS technology using Raman spectroscopy

    International Nuclear Information System (INIS)

    Hecker, M; Roelke, M; Hermann, P; Zschech, E; Vartanian, V

    2010-01-01

    Strained silicon underneath the field-effect transistor gate increases significantly the charge carrier mobility and thus improves the performance of leading-edge Complementary Metal Oxide Semiconductor (CMOS) devices. For better understanding of the structure-strain relationship on the nanoscale and for optimization of device structures, the measurement of the local strain state has become essential. Raman spectroscopy is used in the present investigation to analyze the strain distribution in and close to silicon/embedded silicon-germanium (SiGe) line structures in conjunction with strain modeling applying finite element analysis. Both experimental results and modeling indicate the impact of geometry on the stress state. An increase of compressive stress within the Si lines is obtained for increasing SiGe line widths and decreasing Si line widths. The stress state within the Si lines is shown to be a mixed one deviating from a pure uniaxial state. Underneath the SiGe cavities, the presence of a tensile stress was observed. To investigate a procedure to scale down the spatial resolution of the Raman measurements, tip-enhanced Raman scattering experiments have been performed on free-standing SiGe lines with 100nm line width and line distance. The results show superior resolution and strain information not attainable in conventional Raman scans.

  10. Electronic and magnetic properties of Si substituted Fe3Ge

    International Nuclear Information System (INIS)

    Shanavas, K. V.; McGuire, Michael A.; Parker, David S.

    2015-01-01

    Using first principles calculations, we studied the effect of Si substitution in the hexagonal Fe 3 Ge. We find the low temperature magnetic anisotropy in this system to be planar and originating mostly from the spin-orbit coupling in Fe-d states. Reduction of the unitcell volume reduces the magnitude of in-plane magnetic anisotropy, eventually turning it positive which reorients the magnetic moments to the axial direction. Substituting Ge with the smaller Si ions also increases the anisotropy, potentially enhancing the region of stability of the axial magnetization, which is beneficial for magnetic applications such as permanent magnets. Our experimental measurements on samples of Fe 3 Ge 1−x Si x confirm these predictions and show that substitution of about 6% of the Ge with Si increases by approximately 35 K the temperature range over which anisotropy is uniaxial

  11. Silicon Based Mid Infrared SiGeSn Heterostructure Emitters and Detectors

    Science.gov (United States)

    2016-05-16

    AFRL-AFOSR-JP-TR-2016-0054 Silicon based mid infrared SiGeSn heterostrcture emitters and detectors Greg Sun UNIVERSITY OF MASSACHUSETTS Final Report... Silicon Based Mid Infrared SiGeSn Heterostructure Emitters and Detectors ” February 10, 2016 Principal Investigator: Greg Sun Engineering...diodes are incompatible with the CMOS process and therefore cannot be easily integrated with Si electronics . The GeSn mid IR detectors developed in

  12. Thermal conductivity of hexagonal Si, Ge, and Si1-xGex alloys from first-principles

    Science.gov (United States)

    Gu, Xiaokun; Zhao, C. Y.

    2018-05-01

    Hexagonal Si and Ge with a lonsdaleite crystal structure are allotropes of silicon and germanium that have recently been synthesized. These materials as well as their alloys are promising candidates for novel applications in optoelectronics. In this paper, we systematically study the phonon transport and thermal conductivity of hexagonal Si, Ge, and their alloys by using the first-principle-based Peierls-Boltzmann transport equation approach. Both three-phonon and four-phonon scatterings are taken into account in the calculations as the phonon scattering mechanisms. The thermal conductivity anisotropy of these materials is identified. While the thermal conductivity parallel to the hexagonal plane for hexagonal Si and Ge is found to be larger than that perpendicular to the hexagonal plane, alloying effectively tunes the thermal conductivity anisotropy by suppressing the thermal conductivity contributions from the middle-frequency phonons. The importance of four-phonon scatterings is assessed by comparing the results with the calculations without including four-phonon scatterings. We find that four-phonon scatterings cannot be ignored in hexagonal Si and Ge as the thermal conductivity would be overestimated by around 10% (40%) at 300 K (900) K. In addition, the phonon mean free path distribution of hexagonal Si, Ge, and their alloys is also discussed.

  13. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100)

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-01-01

    The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100°C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~00 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance. PMID:28788521

  14. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100

    Directory of Open Access Journals (Sweden)

    Mastura Shafinaz Zainal Abidin

    2014-02-01

    Full Text Available The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100 substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100 orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  15. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  16. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  17. Internal photoemission study on charge trapping behavior in rapid thermal oxides on strained-Si/SiGe heterolayers

    International Nuclear Information System (INIS)

    Bera, M.K.; Mahata, C.; Bhattacharya, S.; Chakraborty, A.K.; Armstrong, B.M.; Gamble, H.S.; Maiti, C.K.

    2008-01-01

    A comparative study on the nature of defects and their relationship to charge trapping with enhanced photosensitivity has been investigated through magnetic resonance and internal photoemission (IPE) experiments for rapid thermal grown oxides (RTO) on strained-Si/Si 0.8 Ge 0.2 and on co-processed bulk-Si (1 0 0) substrates. Both the band and defect-related electronic states were characterized through EPR, IPE, C-V and I-V measurements under UV-illumination. Surface chemical characterization of as-grown ultrathin oxides (5-7 nm) has been performed using high-resolution XPS. Enhancement in Ge-segregation with increasing oxidation temperature is reported. Comparative studies on interface properties and leakage current behavior of rapid thermal oxides have also been studied through fabricating metal-oxide-semiconductor capacitor structures. A degraded electrical property with increasing oxidation temperature is reported. Constant voltage stressing (CVS) in the range of 5.5-7 V was used to study the breakdown characteristics of different samples. We observe a distinguishably different time-to-breakdown (t bd ) phenomenon for bulk-Si and strained-Si/SiGe samples. Whereas the oxide on bulk-Si shows a typical breakdown behavior, the RTO grown oxide on strained-Si/SiGe samples showed a quasi-or soft-breakdown with lower t bd value. It may be pointed out that quasi-breakdown may be a stronger reliability limiting factor for strained-Si/SiGe devices in the oxide thickness range studied

  18. Microscopic parameters of heterostructures containing nanoclusters and thin layers of Ge in Si matrix

    CERN Document Server

    Erenburg, S B; Stepina, N P; Nikiforov, A I; Nenashev, A V; Mazalov, L N

    2001-01-01

    GeK XAFS measurements have been performed using the total electron yield detection mode for pseudomorphous Ge films deposited on Si(0 0 1) substrate via molecular beam epitaxy at 300 deg. C. The samples have been produced by thrice repeating the growing procedure separated by deposition of blocking Si layers at 500 deg. C. The local microstructure parameters (interatomic distances, Ge coordination numbers) are linked to nanostructure morphology and adequate models are suggested and discussed. It was established that pseudomorphous 4-monolayer Ge films contain 50% of Si atoms on the average. Pyramid-like, pure Ge islands formed in the Stranski-Krastanov growth are characterized by the interatomic Ge-Ge distances of 2.41 A (by 0.04 A less than in bulk Ge) and the Ge-Si distances of 2.37 A. It was revealed that the pure Ge nanoclusters are covered by a 1-2-monolayer film with admixture on the average of a 50% Si atom impurity from blocking Si layers.

  19. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  20. Superconducting single electron transistor for charge sensing in Si/SiGe-based quantum dots

    Science.gov (United States)

    Yang, Zhen

    Si-based quantum devices, including Si/SiGe quantum dots (QD), are promising candidates for spin-based quantum bits (quits), which are a potential platform for quantum information processing. Meanwhile, qubit readout remains a challenging task related to semiconductor-based quantum computation. This thesis describes two readout devices for Si/SiGe QDs and the techniques for developing them from a traditional single electron transistor (SET). By embedding an SET in a tank circuit and operating it in the radio-frequency (RF) regime, a superconducting RF-SET has quick response as well as ultra high charge sensitivity and can be an excellent charge sensor for the QDs. We demonstrate such RF-SETs for QDs in a Si/SiGe heterostructure. Characterization of the SET in magnetic fields is studied for future exploration of advanced techniques such as spin detection and spin state manipulation. By replacing the tank circuit with a high-quality-factor microwave cavity, the embedded SET will be operated in the supercurrent regime as a single Cooper pair transistor (CPT) to further increase the charge sensitivity and reduce any dissipation. The operating principle and implementation of the cavity-embedded CPT (cCPT) will be introduced.

  1. Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)

    Science.gov (United States)

    Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.

    2000-09-01

    This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.

  2. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  3. First-principles quantum molecular calculations of structural and mechanical properties of TiN/SiNx heterostructures, and the achievable hardness of the nc-TiN/SiNx nanocomposites

    International Nuclear Information System (INIS)

    Ivashchenko, V.I.; Veprek, S.; Argon, A.S.; Turchi, P.E.A.; Gorb, L.; Hill, F.; Leszczynski, J.

    2015-01-01

    TiN/SiN x heterostructures with one monolayer of the interfacial SiN x have been investigated in the framework of first-principles molecular dynamics calculations in the temperature range of 0 to 1400 K with subsequent static relaxation. The atomic configurations, thermal stability and stress–strain relations have been calculated. Among the heterostructures studied, only the TiN(111)/SiN/TiN(111) and TiN(111)/Si 2 N 3 /TiN(111) ones are thermally stable. Upon tensile load, decohesion occurs between the Ti−N bonds adjacent to the SiN x interfacial layer for TiN(001)/SiN/TiN(001) and TiN(111)/Si 2 N 3 /TiN(111) heterostructures, and inside the TiN slab for TiN(001)/Si 3 N 4 /TiN(001) and TiN(110)/SiN/TiN(110) ones. Upon shear, failure occurs in TiN near the interfaces in all the heterostructures, except for the TiN(001)/Si 3 N 4 /TiN(001) one, for which the plastic flow occurs inside the TiN slab. Based on these results we estimate the maximum achievable hardness of nc-TiN/Si 3 N 4 nanocomposites free of impurities to be about 170 GPa. - Highlights: • Interface stability in TiN/SiN x heterostructures at T ≤ 1400 K is studied by quantum molecular dynamics. • Ideal decohesion and shear strengths of the heterostructures have been calculated. • Achievable hardness of nc-TiN/Si 3 N 4 -like nanocomposites of about 170 GPa is calculated. • Experimentally achieved lower hardness is limited by flaws, such as oxygen impurities

  4. Properties of three-dimensional structures prepared by Ge dewetting from Si(111) at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Shklyaev, Alexander, E-mail: shklyaev@isp.nsc.ru [A. V. Rzhanov Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Bolotov, Leonid; Poborchii, Vladimir; Tada, Tetsuya [National Institute of Advanced Industrial Science and Technology, Higashi 1-1-1, Tsukuba, Ibaraki 305-8562 (Japan)

    2015-05-28

    The formation of three-dimensional (3D) structures during Ge deposition on Si(111) at about 800 °C is studied with scanning tunneling, Kelvin probe and electron microscopies, and scanning tunneling and Raman spectroscopies. The observed surface morphology is formed by dewetting of Ge from Si(111), since it occurs mainly by means of minimization of surface and interfacial energies. The dewetting proceeds through massive Si eroding around growing 3D structures, providing them to be composed of SiGe with about a 30% Ge content, and leads to the significant reduction of the SiGe/Si interface area. It is found that the SiGe top component of 3D structures forms sharp interfaces with the underlying Si. The minimization of interfacial and strain energies occurs on the way that the 3D structures appear to get the dendrite-like shape. The Ge distribution in the 3D SiGe structures is inhomogeneous in the lateral dimension with a higher Ge concentration in their central areas and Ge segregation on their surface.

  5. Properties of three-dimensional structures prepared by Ge dewetting from Si(111) at high temperatures

    International Nuclear Information System (INIS)

    Shklyaev, Alexander; Bolotov, Leonid; Poborchii, Vladimir; Tada, Tetsuya

    2015-01-01

    The formation of three-dimensional (3D) structures during Ge deposition on Si(111) at about 800 °C is studied with scanning tunneling, Kelvin probe and electron microscopies, and scanning tunneling and Raman spectroscopies. The observed surface morphology is formed by dewetting of Ge from Si(111), since it occurs mainly by means of minimization of surface and interfacial energies. The dewetting proceeds through massive Si eroding around growing 3D structures, providing them to be composed of SiGe with about a 30% Ge content, and leads to the significant reduction of the SiGe/Si interface area. It is found that the SiGe top component of 3D structures forms sharp interfaces with the underlying Si. The minimization of interfacial and strain energies occurs on the way that the 3D structures appear to get the dendrite-like shape. The Ge distribution in the 3D SiGe structures is inhomogeneous in the lateral dimension with a higher Ge concentration in their central areas and Ge segregation on their surface

  6. Amorphous SiOx nanowires catalyzed by metallic Ge for optoelectronic applications

    International Nuclear Information System (INIS)

    Nie Tianxiao; Chen Zhigang; Wu Yueqin; Lin Jianhui; Zhang Jiuzhan; Fan Yongliang; Yang Xinju; Jiang Zuimin; Zou Jin

    2011-01-01

    Research highlights: → Metallic Ge has been demonstrated as an effective catalyst for the growth of SiO x nanowires on Si substrates. → Such a catalyst may avoid catalyst contamination caused by their unconsciousness left in the nanowires. → Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources. - Abstract: Amorphous SiO x nanowires, with diameters of ∼20 nm and lengths of tens of μm, were grown from self-organized GeSi quantum dots or GeSi alloy epilayers on Si substrates. The morphologies and yield of these amorphous nanowires depend strongly upon the synthesis temperature. Comparative experiments indicate that the present SiO x nanowires are induced by metallic Ge as catalysts via the solid liquid solid growth mechanism. Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources.

  7. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  8. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  9. Sc-W-Si and Sc-W-Ge ternary systems

    International Nuclear Information System (INIS)

    Kotur, B.Ya.; Voznyak, O.M.; Bodak, O.I.

    1989-01-01

    Phase equilibria in Sc-W-Si and Sc-W-Ge ternary systems are investigated at 1070 K. Sc 2+x W 3-x Si 4 ternary compound (0≤x≤1) is determined, its crystal structure (Ce 2 Sc 3 Si 4 structural type), as well as, change of elementary cell parameters and microhardness within homogeneity range are determined. Regularities of component interaction within Sc-M-Si(Ge) (M-Cr, Mo, W) ternary system are determined. Ternary systems with Mo and W are more closer to each other according to the phase equilibria character, than to ternary systems with Cr

  10. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  11. Monolithic Ge-on-Si lasers for large-scale electronic-photonic integration

    Science.gov (United States)

    Liu, Jifeng; Kimerling, Lionel C.; Michel, Jurgen

    2012-09-01

    A silicon-based monolithic laser source has long been envisioned as a key enabling component for large-scale electronic-photonic integration in future generations of high-performance computation and communication systems. In this paper we present a comprehensive review on the development of monolithic Ge-on-Si lasers for this application. Starting with a historical review of light emission from the direct gap transition of Ge dating back to the 1960s, we focus on the rapid progress in band-engineered Ge-on-Si lasers in the past five years after a nearly 30-year gap in this research field. Ge has become an interesting candidate for active devices in Si photonics in the past decade due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) processing. In 2007, we proposed combing tensile strain with n-type doping to compensate the energy difference between the direct and indirect band gap of Ge, thereby achieving net optical gain for CMOS-compatible diode lasers. Here we systematically present theoretical modeling, material growth methods, spontaneous emission, optical gain, and lasing under optical and electrical pumping from band-engineered Ge-on-Si, culminated by recently demonstrated electrically pumped Ge-on-Si lasers with >1 mW output in the communication wavelength window of 1500-1700 nm. The broad gain spectrum enables on-chip wavelength division multiplexing. A unique feature of band-engineered pseudo-direct gap Ge light emitters is that the emission intensity increases with temperature, exactly opposite to conventional direct gap semiconductor light-emitting devices. This extraordinary thermal anti-quenching behavior greatly facilitates monolithic integration on Si microchips where temperatures can reach up to 80 °C during operation. The same band-engineering approach can be extended to other pseudo-direct gap semiconductors, allowing us to achieve efficient light emission at wavelengths previously

  12. Monolithic Ge-on-Si lasers for large-scale electronic–photonic integration

    International Nuclear Information System (INIS)

    Liu, Jifeng; Kimerling, Lionel C; Michel, Jurgen

    2012-01-01

    A silicon-based monolithic laser source has long been envisioned as a key enabling component for large-scale electronic–photonic integration in future generations of high-performance computation and communication systems. In this paper we present a comprehensive review on the development of monolithic Ge-on-Si lasers for this application. Starting with a historical review of light emission from the direct gap transition of Ge dating back to the 1960s, we focus on the rapid progress in band-engineered Ge-on-Si lasers in the past five years after a nearly 30-year gap in this research field. Ge has become an interesting candidate for active devices in Si photonics in the past decade due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) processing. In 2007, we proposed combing tensile strain with n-type doping to compensate the energy difference between the direct and indirect band gap of Ge, thereby achieving net optical gain for CMOS-compatible diode lasers. Here we systematically present theoretical modeling, material growth methods, spontaneous emission, optical gain, and lasing under optical and electrical pumping from band-engineered Ge-on-Si, culminated by recently demonstrated electrically pumped Ge-on-Si lasers with >1 mW output in the communication wavelength window of 1500–1700 nm. The broad gain spectrum enables on-chip wavelength division multiplexing. A unique feature of band-engineered pseudo-direct gap Ge light emitters is that the emission intensity increases with temperature, exactly opposite to conventional direct gap semiconductor light-emitting devices. This extraordinary thermal anti-quenching behavior greatly facilitates monolithic integration on Si microchips where temperatures can reach up to 80 °C during operation. The same band-engineering approach can be extended to other pseudo-direct gap semiconductors, allowing us to achieve efficient light emission at wavelengths previously

  13. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  14. Interface bond relaxation on the thermal conductivity of Si/Ge core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weifeng; He, Yan; Ouyang, Gang, E-mail: gangouy@hunnu.edu.cn [Key Laboratory of Low-Dimensional Quantum Structures and Quantum Control of Ministry of Education, Synergetic Innovation Center for Quantum Effects and Applications(SICQEA), Hunan Normal University, Changsha 410081 (China); Sun, Changqing [School of Electrical & Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2016-01-15

    The thermal conductivity of Si/Ge core-shell nanowires (CSNWs) is investigated on the basis of atomic-bond-relaxation consideration and continuum mechanics. An analytical model is developed to clarify the interface bond relaxation of Si/Ge CSNWs. It is found that the thermal conductivity of Si core can be modulated through covering with Ge epitaxial layers. The change of thermal conductivity in Si/Ge CSNWs should be attributed to the surface relaxation and interface mismatch between inner Si nanowire and outer Ge epitaxial layer. Our results are in well agreement with the experimental measurements and simulations, suggesting that the presented method provides a fundamental insight of the thermal conductivity of CSNWs from the atomistic origin.

  15. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  16. Conversion Matrix Analysis of SiGe HBT Gilbert Cell Mixers

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2004-01-01

    The frequency response of SiGe HBT active mixers based on the Gilbert cell topology is analyzed theoretically. The time-varying operation of the Gilbert cell mixer is taken into account by applying conversion matrix analysis. The main bandwidth limiting mechanisms experienced in SiGe HBT Gilbert ...

  17. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  18. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.

    Science.gov (United States)

    Zaumseil, P; Yamamoto, Y; Schubert, M A; Capellini, G; Skibitzki, O; Zoellner, M H; Schroeder, T

    2015-09-04

    We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at the growth temperature of the buffer that reduces the misfit and supports defect-free initial growth. Elastic relaxation plays the dominant role in the further increase of the buffer thickness and subsequent Ge deposition. This method leads to Ge nanostructures on Si that are free from misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

  19. Voltage tunable two-band MIR detection based on Si/SiGe quantum cascade injector structures

    International Nuclear Information System (INIS)

    Grydlik, M.; Rauter, P.; Meduna, M.; Fromherz, T.; Bauer, G.; Falub, C.; Dehlinger, G.; Sigg, H.; Gruetzmacher, D.

    2004-01-01

    We report the results of photocurrent spectroscopy in the mid-infrared (MIR) spectral region performed on p-type Si/SiGe cascade structures. The samples were grown by MBE and consist of a series of five SiGe quantum wells with ground states that can be coupled through thin Si barriers by aligning them in energy with an externally applied electric field E bi . Quantum wells and barriers are Boron doped to a level of 2.5 10 17 cm -3 . Our samples contain 10 sequences of the 5 quantum wells separated by 500 nm thick, undoped Si barriers. Vertical photocurrent spectroscopy has been performed for various electric fields applied perpendicular to the quantum wells at temperatures between 10 K and 100 K. Depending on the direction of the externally applied electric field relative to E bi , the photoresponse of our samples can be switched between two MIR detection bands with maxima at 230 meV and 400 meV. Due to the inversion asymmetry of the samples, at 0 V external voltage the samples deliver a short circuit current in the high-energy spectral band. Since the quantum cascades are formed in the valence band of the Si/SiGe structures, the quantum well transitions responsible for the observed photocurrents are allowed for radiation polarized parallel to the quantum wells. Therefore, these structures appear to be suitable for voltage tuneable MIR detection under normal incident radiation. By comparing the experimental results to model calculations, design strategies to optimize the responsivity of the Si/SiGe cascade structures are discussed. (author)

  20. C and Si delta doping in Ge by CH{sub 3}SiH{sub 3} using reduced pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Yuji, E-mail: yamamoto@ihp-microelectronics.com [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Ueno, Naofumi; Sakuraba, Masao [Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, 2-1-1, Katahira, Aoba-Ku, Sendai 980-8577 (Japan); Murota, Junichi [Micro System Integration Center, Tohoku University, 519-1176, Aramaki aza Aoba, Aoba-ku, Sendai 980-0845 (Japan); Mai, Andreas [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Tillack, Bernd [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Technische Universität Berlin, HFT4, Einsteinufer 25, 10587 Berlin (Germany)

    2016-03-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH{sub 3}SiH{sub 3} is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H{sub 2} or N{sub 2} carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N{sub 2} as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH{sub 3}SiH{sub 3} is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H{sub 2} as carrier gas, lower incorporated C is observed in comparison to Si. CH{sub 3}SiH{sub 3} injected with H{sub 2} carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N{sub 2} at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH{sub 3}SiH{sub 3} exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH{sub 3}SiH{sub 3} adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  1. The influence of a Si cap on self-organized SiGe islands and the underlying wetting layer

    International Nuclear Information System (INIS)

    Brehm, M.; Grydlik, M.; Groiss, H.; Hackl, F.; Schaeffler, F.; Fromherz, T.; Bauer, G.

    2011-01-01

    For the prototypical SiGe/Si(001) Stranski-Krastanow (SK) growth system, the influence of intermixing caused by the deposition of a Si cap layer at temperatures T cap between 300 deg. C and 700 deg. C is studied both for the SiGe wetting layer (WL) and the SiGe islands. Systematic growth experiments were carried out with an ultrahigh resolution of down to 0.005 monolayers (ML) of deposited Ge. The properties of the samples were investigated via photoluminescence (PL) spectroscopy, atomic force microscopy (AFM), and transmission electron microscopy. We studied in detail the influence of T cap in the three main coverage regions of SiGe SK growth, which are (i) the WL build-up regime, (ii) the island nucleation regime, where most of the Ge is supplied via material transfer from the WL, and (iii) the saturation regime, where the WL thickness remains initially stable. At T cap = 300 deg. C, we found that both the WL and the island are essentially preserved in composition and shape, whereas at 500 deg. C the WL becomes heavily alloyed during capping, and at 700 deg. C the islands also become alloyed. At T cap = 500 deg. C we found enhanced WL intermixing in the presence of dome-shaped islands, whereas at T cap 700 deg. C the WL properties become dominated by the dissolution of pyramid-shaped islands upon capping. At Ge coverages above ≅6 ML, we found an unexpected thickening of the WL, almost independently of T cap . This finding suggests that the density and the volume of the dome-shaped islands have an upper limit, beyond which excess Ge from the external source again becomes incorporated into the WL. Finally, we compared PL spectra with AFM-based evaluations of the integral island volumes in order to determine in a straightforward manner the average composition of the SiGe islands.

  2. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    International Nuclear Information System (INIS)

    Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel

  3. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)

    2008-07-30

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.

  4. Growth and characterization of Ge nano-structures on Si(113) by adsorbate-mediated epitaxy; Wachstum und Charakterisierung von Ge-Nanostrukturen auf Si(113) durch Adsorbat-modifizierte Epitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Clausen, T.

    2006-11-15

    In the work presented here Ge nano-structures on Si(113) substrates have been grown by adsorbate-mediated epitaxy at sample temperatures between 400 C and 700 C. The Ge nano-islands and nano-layers have been investigated regarding their atomic reconstruction, morphology, strain state, chemical composition and defect structure. Various in-situ and ex-situ experimental techniques have been used, as there are low-energy electron diffraction, low-energy electron microscopy, X-ray photoemission electron microscopy, spot profile analysis low-energy electron diffraction, grazing incidence X-ray diffraction, scanning tunneling microscopy, atomic force microscopy, scanning electron microscopy and transmission electron microscopy. On a clean Si(113) surface Ge preferentially nucleates at surface step edges and forms a wetting layer exhibiting a Ge-(2 x 2) surface reconstruction. With increasing growth temperature the Ge islands are elongated in the [33 anti 2] direction. Simultaneously, the average island size increases with decreasing island density. From the Arrhenius-like behaviour of the island density, a Ge adatom diffusion barrier height of about 0.53 eV is deduced. At 600 C the Si concentration of the islands amounts to about 41% and the residual lattice strain of the islands is found to about 23 %. The adsorption of Gallium on a clean Si(113) substrate leads to the formation of well ordered surface facets in the [1 anti 10] direction with a periodicity of about 43 nm in the [33 anti 2] direction. From reciprocal space maps in different ({kappa} {sub perpendicular} {sub to} -{kappa} {sub parallel}) planes both facet angles are determined to be about 9.8 with respect to the [113] direction. Thus the facet orientations are identified to be (112) and (115), showing (6 x 1) and (4 x 1) surface reconstructions, respectively. Ge deposition on the faceted Si(113) leads to a high density of ordered 3D Ge nano-islands beaded at the surface facets. The size of these islands is

  5. Thermal effect of TiC in the Mo/TiC/SiC system at elevated temperature

    International Nuclear Information System (INIS)

    Roger, Jerome; Audubert, Fabienne; Le Petitcorps, Yann

    2010-01-01

    In this study, we examined the effect induced by the addition of a TiC interlayer on the stability of the Mo/SiC system at high temperature. Indeed, Mo/SiC couple is unstable at high temperature with formation of Mo 2 C and Mo 5 Si 3 C x phases. In order to limit the degradation of Mo mechanical properties, a TiC film was inserted between Mo and SiC. Samples used in this work were prepared on metallic wires substrates, SiC and TiC being deposited by CVD. The protection given by TiC layer was considered in the 1473-1673 K temperature range and for TiC thicknesses up to about 60 μm. From our results, TiC is not effective enough to mitigate C and Si atoms diffusion. Nevertheless, a notable reduction of the reaction extent is obtained at any temperatures. The so-observed effect depends on the TiC thickness and the temperature. In actual fact, TiC efficiency increases when temperature and/or TiC layer thickness increases without reaching a complete protection.

  6. Tailoring the spin polarization in Ge/SiGe multiple quantum wells

    International Nuclear Information System (INIS)

    Giorgioni, Anna; Pezzoli, Fabio; Gatti, Eleonora; Grilli, Emanuele; Guzzi, Mario; Bottegoni, Federico; Cecchi, Stefano; Ciccacci, Franco; Isella, Giovanni; Trivedi, Dhara; Song, Yang; Li, Pengki; Dery, Hanan

    2013-01-01

    We performed spin-resolved photoluminescence measurements on Ge/SiGe multiple quantum wells with different well thickness and using different exciting power densities. The polarization of the direct emission strongly depends on the relative weight of electrons photoexcited from the light and the heavy hole subbands. The study of the polarization as a function of the exciting power highlights the role of the carrier-carrier interactions in determining spin depolarization

  7. Wafer-scale high-throughput ordered arrays of Si and coaxial Si/Si(1-x)Ge(x) wires: fabrication, characterization, and photovoltaic application.

    Science.gov (United States)

    Pan, Caofeng; Luo, Zhixiang; Xu, Chen; Luo, Jun; Liang, Renrong; Zhu, Guang; Wu, Wenzhuo; Guo, Wenxi; Yan, Xingxu; Xu, Jun; Wang, Zhong Lin; Zhu, Jing

    2011-08-23

    We have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-in. wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultrahigh vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate an array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. Inspired by the excellent antireflection properties of the Si/SiGe wire arrays, we built solar cells based on the arrays of these wires containing radial junctions, an example of which exhibits an open circuit voltage (V(oc)) of 650 mV, a short-circuit current density (J(sc)) of 8.38 mA/cm(2), a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion. © 2011 American Chemical Society

  8. Optical and structural investigations of self-assembled Ge/Si bi-layer containing Ge QDs

    Energy Technology Data Exchange (ETDEWEB)

    Samavati, Alireza, E-mail: alireza.samavati@yahoo.com [Ibn Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia); Othaman, Z., E-mail: zulothaman@gmail.com [Ibn Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia); Ghoshal, S.K.; Dousti, M.R. [Advanced Optical Material Research Group, Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, 81310 UTM Skudai, Johor (Malaysia)

    2014-10-15

    We report the influence of Si spacer thickness variation (10–40 nm) on structural and optical properties of Ge quantum dots (QDs) in Ge/Si(1 0 0) bi-layer grown by radio frequency magnetron sputtering. AFM images reveal the spacer dependent width, height, root mean square roughness and number density of QDs vary in the range of ∼12–25 nm, ∼2–6 nm, ∼1.95–1.05 nm and ∼0.55×10{sup 11}–2.1×10{sup 11} cm{sup −2}, respectively. XRD patterns exhibit the presence of poly-oriented structures of Ge with preferred growth along (1 1 1) direction accompanied by a reduction in strain from 4.9% to 1.2% (estimated from Williamson–Hall plot) due to bi-layering. The room temperature luminescence displays strong blue–violet peak associated with a blue shift as much as 0.05 eV upon increasing the thickness of Si spacer. This shift is attributed to the quantum size effect, the material intermixing and the strain mediation. Raman spectra for both mono and bi-layer samples show intense Ge–Ge optical phonon mode that is shifted towards higher frequency. Furthermore, the first order features of Raman spectra affirm the occurrence of interfacial intermixing and phase formation during deposition. The excellent features of the results suggest that our systematic method may constitute a basis for the tunable growth of Ge QDs suitable in nanophotonics. - Highlights: • High quality bilayered hetero-structure Ge/Si using economic and easy rf magnetron sputtering fabrication method. • The role of phonon-confinement and strain relaxation mechanisms. • Influence of bilayering on evolutionary growth dynamics. • Band gap shift of visible PL upon bilayering.

  9. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    The present work describes an experimental investigation of the influence of the step properties on the submonolayer growth at the Si(111) surface. In particular the influence of step properties on the morphology, shape and structural stability of 2D Si/Ge nanostructures was explored. Visualization, morphology and composition measurements of the 2D SiGe nanostructures were carried out by scanning tunneling microscopy (STM). The formation of Ge nanowire arrays on highly ordered kink-free Si stepped surfaces is demonstrated. The crystalline nanowires with minimal kink densities were grown using Bi surfactant mediated epitaxy. The nanowires extend over lengths larger than 1 {mu}m have a width of 4 nm. To achieve the desired growth conditions for the formation of such nanowire arrays, a modified variant of surfactant mediated epitaxy was explored. It was shown that controlling the surfactant coverage at the surface and/or at step edges modifies the growth properties of surface steps in a decisive way. The surfactant coverage at step edges can be associated with Bi passivation of the step edges. The analysis of island size distributions showed that the step edge passivation can be tuned independently by substrate temperature and by Bi rate deposition. The measurements of the island size distributions for Si and Ge in surfactant mediated growth reveal different scaling functions for different Bi deposition rates on Bi terminated Si(111) surface. The scaling function changes also with temperature. The main mechanism, which results in the difference of the scaling functions can be revealed with data of Kinetic Monte-Carlo simulations. According to the data of the Si island size distributions at different growth temperatures and different Bi deposition rates the change of SiGe island shape and preferred step directions were attributed to the change of the step edge passivation. It was shown that the change of the step edge passivation is followed by a change of the

  10. Mechanical characterization of poly-SiGe layers for CMOS–MEMS integrated application

    International Nuclear Information System (INIS)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; De Wolf, Ingrid; Puers, Robert

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups

  11. Mechanical characterization of poly-SiGe layers for CMOS-MEMS integrated application

    Science.gov (United States)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; Puers, Robert; De Wolf, Ingrid

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups.

  12. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  13. Direct growth of Ge quantum dots on a graphene/SiO2/Si structure using ion beam sputtering deposition.

    Science.gov (United States)

    Zhang, Z; Wang, R F; Zhang, J; Li, H S; Zhang, J; Qiu, F; Yang, J; Wang, C; Yang, Y

    2016-07-29

    The growth of Ge quantum dots (QDs) using the ion beam sputtering deposition technique has been successfully conducted directly on single-layer graphene supported by SiO2/Si substrate. The results show that the morphology and size of Ge QDs on graphene can be modulated by tuning the Ge coverage. Charge transfer behavior, i.e. doping effect in graphene has been demonstrated at the interface of Ge/graphene. Compared with that of traditional Ge dots grown on Si substrate, the positions of both corresponding photoluminescence (PL) peaks of Ge QDs/graphene hybrid structure undergo a large red-shift, which can probably be attributed to the lack of atomic intermixing and the existence of surface states in this hybrid material. According to first-principles calculations, the Ge growth on the graphene should follow the so-called Volmer-Weber mode instead of the Stranski-Krastanow one which is observed generally in the traditional Ge QDs/Si system. The calculations also suggest that the interaction between Ge and graphene layer can be enhanced with the decrease of the Ge coverage. Our results may supply a prototype for fabricating novel optoelectronic devices based on a QDs/graphene hybrid nanostructure.

  14. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  15. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  16. Heavy Ion Current Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; Vizkelethy, Gyorgy; McMorrow, Dale; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philipe; Duhanel, Olivier; Phillips, Stanley D.; Sutton, Akil K.; hide

    2009-01-01

    Time-resolved ion beam induced charge reveals heavy ion response of IBM 5AM SiGe HBT: a) Position correlation[ b) Unique response for different bias schemes; c) Similarities to TPA pulsed-laser data. Heavy ion broad-beam transients provide more realistic device response: a) Feedback using microbeam data; b) Overcome issues of LET and ion range with microbeam. Both micro- and broad-beam data sets yield valuable input for TCAD simulations. Uncover detailed mechanisms for SiGe HBTs and other devices fabricated on lightly-doped substrates.

  17. Irradiation damages in Ti3SiC2

    International Nuclear Information System (INIS)

    Nappe, J.C.; Grosseau, Ph.; Guilhot, B.; Audubert, F.; Beauvy, M.

    2007-01-01

    Carbides, by their remarkable properties, are considered as possible materials (fuel cans) in reactor of generation IV. Among those studied, Ti 3 SiC 2 is particularly considered because it joins both the ceramics and metals properties. Nevertheless, its behaviour under irradiation is not known. Characterizations have been carried out on samples irradiated at 75 MeV krypton ions. They have revealed that TiO 2 (formed at the surface of Ti 3 SiC 2 ) is pulverized by the irradiation and that the crystal lattice of Ti 3 SiC 2 dilates with c. (O.M.)

  18. Improvement of photoluminescence from Ge layer with patterned Si3N4 stressors

    International Nuclear Information System (INIS)

    Oda, Katsuya; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-01-01

    Lattice strain applied by patterned Si 3 N 4 stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si 3 N 4 stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si 3 N 4 layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si 3 N 4 stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si 3 N 4 stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si 3 N 4 stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si 3 N 4 stressors. • Photoluminescence (PL) intensity was increased with the Si 3 N 4 stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers

  19. The Leakage Current Improvement of a Ni-Silicided SiGe/Si Junction Using a Si Cap Layer and the PAI Technique

    International Nuclear Information System (INIS)

    Chang Jian-Guang; Wu Chun-Bo; Ji Xiao-Li; Ma Hao-Wen; Yan Feng; Shi Yi; Zhang Rong

    2012-01-01

    We investigate the leakage current of ultra-shallow Ni-silicided SiGe/Si junctions for 45 nm CMOS technology using a Si cap layer and the pre-amorphization implantation (PAI) process. It is found that with the conventional Ni silicide method, the leakage current of a p + (SiGe)—n(Si) junction is large and attributed to band-to-band tunneling and the generation-recombination process. The two leakage contributors can be suppressed quite effectively when a Si cap layer is added in the Ni silicide method. The leakage reduction is about one order of magnitude and could be associated with the suppression of the agglomeration of the Ni germano-silicide film. In addition, the PAI process after the application of a Si cap layer has little effect on improving the junction leakage but reduces the sheet resistance of the silicide film. As a result, the novel Ni silicide method using a Si cap combined with PAI is a promising choice for SiGe junctions in advanced technology. (cross-disciplinary physics and related areas of science and technology)

  20. Comparative study of GeO{sub 2}/Ge and SiO{sub 2}/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Daichi; Kawai, Kentaro; Morita, Mizuho; Arima, Kenta, E-mail: arima@prec.eng.osaka-u.ac.jp [Department of Precision Science and Technology, Graduate School of Engineering, Osaka University, 2-1, Yamada-oka, Suita, Osaka 565-0871 (Japan); Oka, Hiroshi; Hosoi, Takuji; Watanabe, Heiji [Department of Material and Life Science, Graduate School of Engineering, Osaka University, 2-1, Yamada-oka, Suita, Osaka 565-0871 (Japan); Crumlin, Ethan J.; Liu, Zhi [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)

    2016-09-07

    The energy difference between the oxide and bulk peaks in X-ray photoelectron spectroscopy (XPS) spectra was investigated for both GeO{sub 2}/Ge and SiO{sub 2}/Si structures with thickness-controlled water films. This was achieved by obtaining XPS spectra at various values of relative humidity (RH) of up to ∼15%. The increase in the energy shift is more significant for thermal GeO{sub 2} on Ge than for thermal SiO{sub 2} on Si above ∼10{sup −4}% RH, which is due to the larger amount of water molecules that infiltrate into the GeO{sub 2} film to form hydroxyls. Analyzing the origins of this energy shift, we propose that the positive charging of a partially hydroxylated GeO{sub 2} film, which is unrelated to X-ray irradiation, causes the larger energy shift for GeO{sub 2}/Ge than for SiO{sub 2}/Si. A possible microscopic mechanism of this intrinsic positive charging is the emission of electrons from adsorbed water species in the suboxide layer of the GeO{sub 2} film to the Ge bulk, leaving immobile cations or positively charged states in the oxide. This may be related to the reported negative shift of flat band voltages in metal-oxide-semiconductor diodes with an air-exposed GeO{sub 2} layer.

  1. Cryogenic operation of a 24 GHz MMIC SiGe HBT medium power amplifier

    International Nuclear Information System (INIS)

    Qin, Guoxuan; Jiang, Ningyue; Seo, Jung-Hun; Cho, Namki; Van der Weide, Daniel; Ma, Zhenqiang; Ponchak, George E; Ma, Pingxi; Stetson, Scott; Racanelli, Marco

    2010-01-01

    The performance of a SiGe heterojunction bipolar transistor (HBT) millimetre-wave power amplifier (PA) operating at cryogenic temperature was reported and analysed for the first time. A 24 GHz two-stage medium PA employing common-emitter and common-base SiGe power HBTs in the first and the second stage, respectively, showed a significant power gain increase at 77 K in comparison with that measured at room temperature. Detailed analyses indicate that cryogenic operation of SiGe HBT-based PAs mainly affects (improves) the performance of the SiGe HBTs in the circuits due to transconductance enhancement through magnified, favourable changes of SiGe bandgap due to cooling (ΔE g /kT) and minimized thermal effects, with little influence on the passive components of the circuits

  2. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    Science.gov (United States)

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  3. Ge/Si(001) heterostructures with dense arrays of Ge quantum dots: morphology, defects, photo-emf spectra and terahertz conductivity.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V; Storozhevykh, Mikhail S; Chapnin, Valery A; Chizh, Kirill V; Uvarov, Oleg V; Kalinushkin, Victor P; Zhukova, Elena S; Prokhorov, Anatoly S; Spektor, Igor E; Gorshunov, Boris P

    2012-07-23

    : Issues of Ge hut cluster array formation and growth at low temperatures on the Ge/Si(001) wetting layer are discussed on the basis of explorations performed by high resolution STM and in-situ RHEED. Dynamics of the RHEED patterns in the process of Ge hut array formation is investigated at low and high temperatures of Ge deposition. Different dynamics of RHEED patterns during the deposition of Ge atoms in different growth modes is observed, which reflects the difference in adatom mobility and their 'condensation' fluxes from Ge 2D gas on the surface for different modes, which in turn control the nucleation rates and densities of Ge clusters. Data of HRTEM studies of multilayer Ge/Si heterostructures are presented with the focus on low-temperature formation of perfect films.Heteroepitaxial Si p-i-n-diodes with multilayer stacks of Ge/Si(001) quantum dot dense arrays built in intrinsic domains have been investigated and found to exhibit the photo-emf in a wide spectral range from 0.8 to 5 μm. An effect of wide-band irradiation by infrared light on the photo-emf spectra has been observed. Photo-emf in different spectral ranges has been found to be differently affected by the wide-band irradiation. A significant increase in photo-emf is observed in the fundamental absorption range under the wide-band irradiation. The observed phenomena are explained in terms of positive and neutral charge states of the quantum dot layers and the Coulomb potential of the quantum dot ensemble. A new design of quantum dot infrared photodetectors is proposed.By using a coherent source spectrometer, first measurements of terahertz dynamical conductivity (absorptivity) spectra of Ge/Si(001) heterostructures were performed at frequencies ranged from 0.3 to 1.2 THz in the temperature interval from 300 to 5 K. The effective dynamical conductivity of the heterostructures with Ge quantum dots has been discovered to be significantly higher than that of the structure with the same amount of bulk

  4. Synthesis and fundamental properties of stable Ph(3)SnSiH(3) and Ph(3)SnGeH(3) hydrides: model compounds for the design of Si-Ge-Sn photonic alloys.

    Science.gov (United States)

    Tice, Jesse B; Chizmeshya, Andrew V G; Groy, Thomas L; Kouvetakis, John

    2009-07-06

    The compounds Ph(3)SnSiH(3) and Ph(3)SnGeH(3) (Ph = C(6)H(5)) have been synthesized as colorless solids containing Sn-MH(3) (M = Si, Ge) moieties that are stable in air despite the presence of multiple and highly reactive Si-H and Ge-H bonds. These molecules are of interest since they represent potential model compounds for the design of new classes of IR semiconductors in the Si-Ge-Sn system. Their unexpected stability and high solubility also makes them a safe, convenient, and potentially useful delivery source of -SiH(3) and -GeH(3) ligands in molecular synthesis. The structure and composition of both compounds has been determined by chemical analysis and a range of spectroscopic methods including multinuclear NMR. Single crystal X-ray structures were determined and indicated that both compounds condense in a Z = 2 triclinic (P1) space group with lattice parameters (a = 9.7754(4) A, b = 9.8008(4) A, c = 10.4093(5) A, alpha = 73.35(10)(o), beta = 65.39(10)(o), gamma = 73.18(10)(o)) for Ph(3)SnSiH(3) and (a = 9.7927(2) A, b = 9.8005(2) A, c = 10.4224(2) A, alpha = 74.01(3)(o), beta = 65.48(3)(o), gamma = 73.43(3)(o)) for Ph(3)SnGeH(3). First principles density functional theory simulations are used to corroborate the molecular structures of Ph(3)SnSiH(3) and Ph(3)SnGeH(3), gain valuable insight into the relative stability of the two compounds, and provide correlations between the Si-Sn and Ge-Sn bonds in the molecules and those in tetrahedral Si-Ge-Sn solids.

  5. RBS/channeling study of buried Ge quantum dots grown in a Si layer

    International Nuclear Information System (INIS)

    Fonseca, A.; Alves, E.; Barradas, N.P.; Leitao, J.P.; Sobolev, N.A.; Carmo, M.C.; Nikiforov, A.I.; Presting, H.

    2006-01-01

    In last decades we have been assisting to a crescent importance of low dimensional systems for the fabrication of nano- and opto-electronic devices. Ge quantum dots (QDs) are well suited for fulfilling these requirements. In this work we present and discuss Ge/Si multilayer and single layer samples grown by molecular beam epitaxy. RBS/channeling results reveal the evidence for the presence of Ge QD for the thickest (with 1 ML of SiO 2 and 0.9 nm of Ge) single layer sample. On the other hand Ge atoms are fully substitutional incorporated in the Si matrix for the thinner sample, excluding the formation of Ge QDs. Multilayer sample shows an angular deviation of the Ge curve (-0.48 o ) with respect to the Si ones, indicating the compressive strain of the films. A tetragonal distortion of (1.78 ± 0.19%) was calculated

  6. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  7. Extended deep level defects in Ge-condensed SiGe-on-Insulator structures fabricated using proton and helium implantations

    International Nuclear Information System (INIS)

    Kwak, D.W.; Lee, D.W.; Oh, J.S.; Lee, Y.H.; Cho, H.Y.

    2012-01-01

    SiGe-on-Insulator (SGOI) structures were created using the Ge condensation method, where an oxidation process is performed on the SiGe/Si structure. This method involves rapid thermal chemical vapor deposition and H + /He + ion-implantations. Deep level defects in these structures were investigated using deep level transient spectroscopy (DLTS) by varying the pulse injection time. According to the DLTS measurements, a deep level defect induced during the Ge condensation process was found at 0.28 eV above the valence band with a capture cross section of 2.67 × 10 −17 cm 2 , two extended deep levels were also found at 0.54 eV and 0.42 eV above the valence band with capture cross sections of 3.17 × 10 −14 cm 2 and 0.96 × 10 −15 cm 2 , respectively. In the SGOI samples with ion-implantation, the densities of the newly generated defects as well as the existing defects were decreased effectively. Furthermore, the Coulomb barrier heights of the extended deep level defects were drastically reduced. Thus, we suggest that the Ge condensation method with H + ion implantation could reduce deep level defects generated from the condensation and control the electrical properties of the condensed SiGe layers. - Highlights: ► We have fabricated low-defective SiGe-on-Insulator (SGOI) with implantation method. ► H + and He + -ions are used for ion-implantation method. ► We have investigated the deep level defects of SGOI layers. ► Ge condensation method using H + ion implantation could reduce extended defects. ► They could enhance electrical properties.

  8. In-situ synthesis of SiC particles by the structural evolution of TiCx in Al–Si melt

    International Nuclear Information System (INIS)

    Nie, Jinfeng; Li, Dakui; Wang, Enzhao; Liu, Xiangfa

    2014-01-01

    Highlights: • A facile method to in-situ synthesize SiC was developed utilizing the structural evolution of TiC x in Al–Si melt. • The SiC particles have the size range from 2.5 to 7.5 μm and a block-like morphology. • The SiC particles and (SiC + TiB 2 ) hybrid-particles reinforced Al–18Si composite were prepared. • The wear resistance effect of SiC on the based alloy was investigated. - Abstract: A facile method has been developed to in-situ synthesize SiC particles utilizing the structural instability and evolution of TiC x in Al–Si melt. It is considered that the synthesis of SiC particles occurs via the gradual reaction between TiC x and Si atoms, whilst Si content plays the crucial role in this approach. If the Si content in the melt is above 30%, TiC x directly reacts with Si and Al to form SiC, but the needle-like TiAl x Si y phase formed simultaneously will do harm to the mechanical properties of the composites. Thus, it is proposed to add B element in the melt to transform the TiAl x Si y into TiB 2 particles. Therefore, the SiC and (SiC + TiB 2 ) hybrid-particles reinforced Al–18Si composites were successfully prepared using the method. In the composites, the SiC particles have the size range from 2.5 to 7.5 μm and a block-like morphology. Furthermore, the mechanical properties of base alloy, including the wear resistance and macro-hardness, have been obviously improved by the in-situ SiC particles. Besides, the relevant underlying mechanisms are also discussed

  9. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  10. Delayed plastic relaxation limit in SiGe islands grown by Ge diffusion from a local source

    Energy Technology Data Exchange (ETDEWEB)

    Vanacore, G. M.; Zani, M.; Tagliaferri, A., E-mail: alberto.tagliaferri@polimi.it [CNISM-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Nicotra, G. [IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy); Bollani, M. [CNR-IFN, LNESS, Via Anzani 42, I-22100 Como (Italy); Bonera, E.; Montalenti, F.; Picco, A.; Boioli, F. [Dipartimento di Scienza dei Materiali and L-NESS, Università Milano-Bicocca, via Cozzi 53, I-20125 Milano (Italy); Capellini, G. [Department of Sciences at the Università Roma Tre, Via Vasca Navale 79, 00146 Roma (Italy); Isella, G. [CNISM, LNESS, Dipartimento di Fisica, Politecnico di Milano (Polo di Como), Via Anzani 42, I-22100 Como (Italy); Osmond, J. [ICFO–The Institute of Photonic Sciences, Av. Carl Friedrich Gauss, 3, E-08860 Castelldefels (Barcelona) (Spain)

    2015-03-14

    The hetero-epitaxial strain relaxation in nano-scale systems plays a fundamental role in shaping their properties. Here, the elastic and plastic relaxation of self-assembled SiGe islands grown by surface-thermal-diffusion from a local Ge solid source on Si(100) are studied by atomic force and transmission electron microscopies, enabling the simultaneous investigation of the strain relaxation in different dynamical regimes. Islands grown by this technique remain dislocation-free and preserve a structural coherence with the substrate for a base width as large as 350 nm. The results indicate that a delay of the plastic relaxation is promoted by an enhanced Si-Ge intermixing, induced by the surface-thermal-diffusion, which takes place already in the SiGe overlayer before the formation of a critical nucleus. The local entropy of mixing dominates, leading the system toward a thermodynamic equilibrium, where non-dislocated, shallow islands with a low residual stress are energetically stable. These findings elucidate the role of the interface dynamics in modulating the lattice distortion at the nano-scale, and highlight the potential use of our growth strategy to create composition and strain-controlled nano-structures for new-generation devices.

  11. Electronic and magnetic properties of Si substituted Fe{sub 3}Ge

    Energy Technology Data Exchange (ETDEWEB)

    Shanavas, K. V., E-mail: kavungalvees@ornl.gov; McGuire, Michael A.; Parker, David S. [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831-6056 (United States)

    2015-09-28

    Using first principles calculations, we studied the effect of Si substitution in the hexagonal Fe{sub 3}Ge. We find the low temperature magnetic anisotropy in this system to be planar and originating mostly from the spin-orbit coupling in Fe-d states. Reduction of the unitcell volume reduces the magnitude of in-plane magnetic anisotropy, eventually turning it positive which reorients the magnetic moments to the axial direction. Substituting Ge with the smaller Si ions also increases the anisotropy, potentially enhancing the region of stability of the axial magnetization, which is beneficial for magnetic applications such as permanent magnets. Our experimental measurements on samples of Fe{sub 3}Ge{sub 1−x}Si{sub x} confirm these predictions and show that substitution of about 6% of the Ge with Si increases by approximately 35 K the temperature range over which anisotropy is uniaxial.

  12. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  13. Growth Mechanism and Surface Structure of Ge Nanocrystals Prepared by Thermal Annealing of Cosputtered GeSiO Ternary Precursor

    Directory of Open Access Journals (Sweden)

    Bo Zhang

    2014-01-01

    Full Text Available Ge nanocrystals (Ge-ncs embedded in a SiO2 superlattice structure were prepared by magnetron cosputtering and postdeposition annealing. The formation of spherical nanocrystals was confirmed by transmission electron microscopy and their growth process was studied by a combination of spectroscopic techniques. The crystallinity volume fraction of Ge component was found to increase with crystallite size, but its overall low values indicated a coexistence of crystalline and noncrystalline phases. A reduction of Ge-O species was observed in the superlattice during thermal annealing, accompanied by a transition from oxygen-deficient silicon oxide to silicon dioxide. A growth mechanism involving phase separation of Ge suboxides (GeOx was then proposed to explain these findings and supplement the existing growth models for Ge-ncs in SiO2 films. Further analysis of the bonding structure of Ge atoms suggested that Ge-ncs are likely to have a core-shell structure with an amorphous-like surface layer, which is composed of GeSiO ternary complex. The surface layer thickness was extracted to be a few angstroms and equivalent to several atomic layer thicknesses.

  14. Structural evolution of Ge-rich Si1−xGex films deposited by jet-ICPCVD

    Directory of Open Access Journals (Sweden)

    Yu Wang

    2015-11-01

    Full Text Available Amorphous Ge-rich Si1−xGex films with local Ge-clustering were deposited by dual-source jet-type inductively coupled plasma chemical-vapor deposition (jet-ICPCVD. The structural evolution of the deposited films annealed at various temperatures (Ta is investigated. Experimental results indicate that the crystallization occurs to form Ge and Si clusters as Ta = 500 °C. With raising Ta up to 900 °C, Ge clusters percolate together and Si diffuses and redistributes to form a Ge/SiGe core/shell structure, and some Ge atoms partially diffuse to the surface as a result of segregation. The present work will be helpful in understanding the structural evolution process of a hybrid SiGe films and beneficial for further optimizing the microstructure and properties.

  15. Ge-rich graded-index Si1-xGex devices for MID-IR integrated photonics

    Science.gov (United States)

    Ramirez, J. M.; Vakarin, V.; Liu, Q.; Frigerio, J.; Ballabio, A.; Le Roux, X.; Benedikovic, D.; Alonso-Ramos, C.; Isella, G.; Vivien, L.; Marris-Morini, D.

    2018-02-01

    Mid-infrared (mid-IR) silicon photonics is becoming a prominent research with remarkable potential in several applications such as in early medical diagnosis, safe communications, imaging, food safety and many more. In the quest for the best material platform to develop new photonic systems, Si and Ge depart with a notable advantage over other materials due to the high processing maturity accomplished during the last part of the 20th century through the deployment of the CMOS technology. From an optical viewpoint, combining Si with Ge to obtain SiGe alloys with controlled stoichiometry is also of interest for the photonic community since permits to increase the effective refractive index and the nonlinear parameter, providing a fascinating playground to exploit nonlinear effects. Furthermore, using Ge-rich SiGe gives access to a range of deep mid-IR wavelengths otherwise inaccessible (λ 2-20 μm). In this paper, we explore for the first time the limits of this approach by measuring the spectral loss characteristic over a broadband wavelength range spanning from λ = 5.5 μm to 8.5 μm. Three different SiGe waveguide platforms are compared, each one showing higher compactness than the preceding through the engineering of the vertical Ge profile, giving rise to different confinement characteristics to the propagating modes. A flat propagation loss characteristic of 2-3 dB/cm over the entire wavelength span is demonstrated in Ge-rich graded-index SiGe waveguides of only 6 μm thick. Also, the role of the overlap fraction of the confined optical mode with the Si-rich area at the bottom side of the epitaxial SiGe waveguide is put in perspective, revealing a lossy characteristic compared to the other designs were the optical mode is located in the Ge-rich area at the top of the waveguide uniquely. These Ge-rich graded-index SiGe waveguides may pave the way towards a new generation of photonic integrated circuits operating at deep mid-IR wavelengths.

  16. Noise Parameter Analysis of SiGe HBTs for Different Sizes in the Breakdown Region

    Directory of Open Access Journals (Sweden)

    Chie-In Lee

    2016-01-01

    Full Text Available Noise parameters of silicon germanium (SiGe heterojunction bipolar transistors (HBTs for different sizes are investigated in the breakdown region for the first time. When the emitter length of SiGe HBTs shortens, minimum noise figure at breakdown decreases. In addition, narrower emitter width also decreases noise figure of SiGe HBTs in the avalanche region. Reduction of noise performance for smaller emitter length and width of SiGe HBTs at breakdown resulted from the lower noise spectral density resulting from the breakdown mechanism. Good agreement between experimental and simulated noise performance at breakdown is achieved for different sized SiGe HBTs. The presented analysis can benefit the RF circuits operating in the breakdown region.

  17. Direct band gap light emission and detection at room temperature in bulk germanium diodes with HfGe/Ge/TiN structure

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dong, E-mail: wang.dong.539@m.kyushu-u.ac.jp [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Maekura, Takayuki [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Yamamoto, Keisuke; Nakashima, Hiroshi [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2016-03-01

    Direct band gap (DBG) electroluminescence (EL) and photo detection were studied at room temperature for n-type bulk germanium (Ge) diodes with a fin type lateral HfGe/Ge/TiN structure. DBG EL spectra peaked at 1.55 μm were clearly observed due to small hole and electron barrier heights of HfGe/Ge and TiN/Ge contacts. DBG EL peak intensity increased with increasing doping level of Ge substrate due to increased electron population in direct conduction band. The integrated intensity of DBG EL spectrum is proportional to the area of active region, implying a good surface-uniformity of EL efficiency. Small dark current intensity was measured as 2.4 × 10{sup −7} A under a reverse bias voltage of − 1 V, corresponding to dark current densities of 5.3 × 10{sup −10} A/μm or 3.2 × 10{sup −10} A/μm{sup 2}. At the wavelength of 1.55 μm, a linear dependence of photo current intensity on laser power was observed with a responsivity of 0.44 A/W at a reverse bias voltage of − 1 V. - Highlights: • Lateral HfGe/Ge/TiN diodes were fabricated on bulk Ge substrates. • The highest temperature was 400 °C for the entire fabrication process. • Electroluminescence spectra were measured for HfGe/Ge/TiN diodes with different parameters. • Dark current densities were 5.3 × 10{sup −10} A/μm or 3.2 × 10{sup −10} A/μm{sup 2} at − 1 V. • Responsivity was 0.44 A/W, corresponding to an external quantum efficiency of 35.2%.

  18. Additive manufacturing of Ti-Si-N ceramic coatings on titanium

    International Nuclear Information System (INIS)

    Zhang, Yanning; Sahasrabudhe, Himanshu; Bandyopadhyay, Amit

    2015-01-01

    Highlights: • 3D Printing or additive manufacturing of hard Ti-Si-N based ceramics coating on Ti metal substrate. • Understanding of phase transformation as a function of compositional variation. • Evaluation of influence of processing parameters and composition on wear resistance. - Abstract: In this study, Laser Engineered Net Shaping (LENS TM ) was employed towards Additive Manufacturing/3D Printing of Ti-Si-N coatings with three different Ti-Si ratios on commercially pure titanium (cp-Ti) substrate. Microstructural analysis, phase analysis using X-ray diffraction, wear resistance and hardness measurements were done on LENS™ processed 3D printed coatings. Coatings showed graded microstructures and in situ formed phases. Results showed that microstructural variations and phase changes influence coating's hardness and wear resistance directly. High hardness values were obtained from all samples’ top surface where the hardness of coatings can be ranked as 90% Ti-10% Si-N coating (2093.67 ± 144 HV 0.2 ) > 100% Ti-N coating (1846 ± 68.5 HV 0.2 ) > 75% Ti-25% Si-N coating (1375.3 ± 61.4 HV 0.2 ). However, wear resistance was more dependent on inherent Si content, and samples with higher Si content showed better wear resistance

  19. Additive manufacturing of Ti-Si-N ceramic coatings on titanium

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yanning; Sahasrabudhe, Himanshu; Bandyopadhyay, Amit, E-mail: amitband@wsu.edu

    2015-08-15

    Highlights: • 3D Printing or additive manufacturing of hard Ti-Si-N based ceramics coating on Ti metal substrate. • Understanding of phase transformation as a function of compositional variation. • Evaluation of influence of processing parameters and composition on wear resistance. - Abstract: In this study, Laser Engineered Net Shaping (LENS{sup TM}) was employed towards Additive Manufacturing/3D Printing of Ti-Si-N coatings with three different Ti-Si ratios on commercially pure titanium (cp-Ti) substrate. Microstructural analysis, phase analysis using X-ray diffraction, wear resistance and hardness measurements were done on LENS™ processed 3D printed coatings. Coatings showed graded microstructures and in situ formed phases. Results showed that microstructural variations and phase changes influence coating's hardness and wear resistance directly. High hardness values were obtained from all samples’ top surface where the hardness of coatings can be ranked as 90% Ti-10% Si-N coating (2093.67 ± 144 HV{sub 0.2}) > 100% Ti-N coating (1846 ± 68.5 HV{sub 0.2}) > 75% Ti-25% Si-N coating (1375.3 ± 61.4 HV{sub 0.2}). However, wear resistance was more dependent on inherent Si content, and samples with higher Si content showed better wear resistance.

  20. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan

    2018-04-03

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  1. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan; Lee, Kwang Hong; Anjum, Dalaver H.; Zhang, Qiang; Zhang, Xixiang; Tan, Chuan Seng; Xia, Guangrui

    2018-01-01

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  2. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    Directory of Open Access Journals (Sweden)

    Artoni Pietro

    2011-01-01

    Full Text Available Abstract Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C, in this study, it is proved that Si and Ge nanowires (NWs growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  3. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    Science.gov (United States)

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  4. Synthesis and photoluminescence of Ca-(Sn,Ti)-Si-O compounds

    International Nuclear Information System (INIS)

    Abe, Shunsuke; Yamane, Hisanori; Yoshida, Hisashi

    2010-01-01

    The phase relation of the compounds prepared in the CaO-SnO 2 -SiO 2 system at 1673 K and in the CaO-TiO 2 -SiO 2 system at 1573 K was investigated in order to explore new Ti 4+ -activated stannate phosphors. Solid solutions of Ca(Sn 1-x Ti x )SiO 5 and Ca 3 (Sn 1-y Ti y )Si 2 O 9 were synthesized at x = 0-1.0 and y = 0-0.10, respectively, and their crystal structures were analyzed by powder X-ray diffraction. Photoluminescence of these solid solutions was observed in a broad range of a visible light wavelength region under ultraviolet (UV) light excitation. The peaks of the emission band of Ca(Sn 0.97 Ti 0.03 )SiO 5 and Ca 3 (Sn 0.925 Ti 0.075 )Si 2 O 9 were at 510 nm under excitation of 252 nm and at 534 nm under excitation of 258 nm, respectively. The absorption edges estimated by the diffuse reflectance spectra were at 300 nm (4.1 eV) for CaSnSiO 5 and at 270 nm (4.6 eV) for Ca 3 SnSi 2 O 9 , suggesting that the excitation levels in Ca(Sn 1-x Ti x )SiO 5 were above the band gap of the host, although the levels in Ca 3 (Sn 1-y Ti y )Si 2 O 9 were within the band gap and near the conduction band edge.

  5. Spin-polarized photoemission from SiGe heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ferrari, A.; Bottegoni, F.; Isella, G.; Cecchi, S.; Chrastina, D.; Finazzi, M.; Ciccacci, F. [LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano (Italy)

    2013-12-04

    We apply the principles of Optical Orientation to measure by Mott polarimetry the spin polarization of electrons photoemitted from different group-IV heterostructures. The maximum measured spin polarization, obtained from a Ge/Si{sub 0.31}Ge{sub 0.69} strained film, undoubtedly exceeds the maximum value of 50% attainable in bulk structures. The explanation we give for this result lies in the enhanced band orbital mixing between light hole and split-off valence bands as a consequence of the compressive strain experienced by the thin Ge layer.

  6. Templated self-assembly of SiGe quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Dais, Christian

    2009-08-19

    This PhD thesis reports on the fabrication and characterization of exact aligned SiGe quantum dot structures. In general, SiGe quantum dots which nucleate via the Stranski-Krastanov growth mode exhibit broad size dispersion and nucleate randomly on the surface. However, to tap the full potential of SiGe quantum dots it is necessary to control the positioning and size of the dots on a nanometer length, e.g. for electronically addressing of individual dots. This can be realized by so-called templated self-assembly, which combines top-down lithography with bottom-up selfassembly. In this process the lithographically defined pits serve as pre-defined nucleation points for the epitaxially grown quantum dots. In this thesis, extreme ultraviolet interference lithography at a wavelength of e=13.4 nm is employed for prepatterning of the Si substrates. This technique allows the precise and fast fabrication of high-resolution templates with a high degree of reproducibility. The subsequent epitaxial deposition is either performed by molecular beam epitaxy or low-pressure chemical vapour deposition. It is shown that the dot nucleation on pre-patterned substrates depends strongly on the lithography parameters, e.g. size and periodicity of the pits, as well as on the epitaxy parameters, e.g. growth temperature or material coverage. The interrelations are carefully analyzed by means of scanning force microscopy, transmission electron microscopy and X-ray diffraction measurements. Provided that correct template and overgrowth parameters are chosen, perfectly aligned and uniform SiGe quantum dot arrays of different period, size as well as symmetry are created. In particular, the quantum dot arrays with the so far smallest period (35 nm) and smallest size dispersion are fabricated in this thesis. Furthermore, the strain fields of the underlying quantum dots allow the fabrication of vertically aligned quantum dot stacks. Combining lateral and vertical dot alignment results in three

  7. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  8. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  9. Tuning ZrFe{sub 4}Si{sub 2} by Ge and Y substitution

    Energy Technology Data Exchange (ETDEWEB)

    Weber, Katharina [Max Planck Institute for Chemical Physics of Solids, Dresden (Germany); Institute of Solid State Physics, TU Dresden (Germany); Mufti, Nandang; Bergmann, Christoph; Rosner, Helge; Geibel, Christoph [Max Planck Institute for Chemical Physics of Solids, Dresden (Germany); Goltz, Til; Klauss, Hans-Henning [Institute of Solid State Physics, TU Dresden (Germany); Woike, Theo [Institute for Structural Physics, TU Dresden (Germany)

    2016-07-01

    The intermetallic compound series AFe{sub 4}X{sub 2} (A = Y, Lu, Zr; X = Si, Ge) presents a rare case of magnetic frustrated metallic systems. In particular ZrFe{sub 4}Si{sub 2} is of strong interest because our results indicate this system to be very close to a quantum critical point (QCP) where Fe magnetic order disappears. To get a deeper insight into its ground state, we performed a detailed study of Ge and Y substituted ZrFe{sub 4}Si{sub 2}. The isovalent substitution of Ge for Si induces a negative chemical pressure as Ge is larger than Si. As expected from this, the substitution results in the formation of a well-defined antiferromagnetic order with Neel temperatures increasing up to 25 K at 40 % Ge. This confirms ZrFe{sub 4}Si{sub 2} to be extremely close to the QCP, just on the magnetic side of it. With the second substitution series Y{sub x}Zr{sub 1-x}Fe{sub 4}Si{sub 2} we investigate the development from the highly reduced antiferromagnetic order in ZrFe{sub 4}Si{sub 2} towards the two magnetic transitions at 56 K and 76 K, which we see in YFe{sub 4}Si{sub 2}.

  10. SiGe Integrated Circuit Developments for SQUID/TES Readout

    Science.gov (United States)

    Prêle, D.; Voisin, F.; Beillimaz, C.; Chen, S.; Piat, M.; Goldwurm, A.; Laurent, P.

    2018-03-01

    SiGe integrated circuits dedicated to the readout of superconducting bolometer arrays for astrophysics have been developed since more than 10 years at APC. Whether for Cosmic Microwave Background (CMB) observations with the QUBIC ground-based experiment (Aumont et al. in astro-ph.IM, 2016. arXiv:1609.04372) or for the Hot and Energetic Universe science theme with the X-IFU instrument on-board of the ATHENA space mission (Barret et al. in SPIE 9905, space telescopes & instrumentation 2016: UV to γ Ray, 2016. https://doi.org/10.1117/12.2232432), several kinds of Transition Edge Sensor (TES) (Irwin and Hilton, in ENSS (ed) Cryogenic particle detection, Springer, Berlin, 2005) arrays have been investigated. To readout such superconducting detector arrays, we use time or frequency domain multiplexers (TDM, FDM) (Prêle in JINST 10:C08015, 2016. https://doi.org/10.1088/1748-0221/10/08/C08015) with Superconducting QUantum Interference Devices (SQUID). In addition to the SQUID devices, low-noise biasing and amplification are needed. These last functions can be obtained by using BiCMOS SiGe technology in an Application Specific Integrated Circuit (ASIC). ASIC technology allows integration of highly optimised circuits specifically designed for a unique application. Moreover, we could reach very low-noise and wide band amplification using SiGe bipolar transistor either at room or cryogenic temperatures (Cressler in J Phys IV 04(C6):C6-101, 1994. https://doi.org/10.1051/jp4:1994616). This paper discusses the use of SiGe integrated circuits for SQUID/TES readout and gives an update of the last developments dedicated to the QUBIC telescope and to the X-IFU instrument. Both ASIC called SQmux128 and AwaXe are described showing the interest of such SiGe technology for SQUID multiplexer controls.

  11. Stable and low contact resistance electrical contacts for high temperature SiGe thermoelectric generators

    KAUST Repository

    Zhang, Bo

    2018-04-14

    The thermal stability and contact resistance of TaAlN thin films as electrical contacts to SiGe thermoelectric elements are reported. We demonstrate that a sharp interface is maintained after the device annealed at 800°C for over 100h, indicating that no interdiffusion takes place between TaAlN and SiGe. A specific contact resistivity of (2.1±1.3)×10−6Ω-cm2 for p-type SiGe and (2.8±1.6)×10−5 Ω-cm2 for n-type SiGe is demonstrated after the high temperature annealing. These results show that TaAlN is a promising contact material for high temperature thermoelectrics such as SiGe.

  12. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  13. Study of Ge loss during Ge condensation process

    International Nuclear Information System (INIS)

    Xue, Z.Y.; Di, Z.F.; Ye, L.; Mu, Z.Q.; Chen, D.; Wei, X.; Zhang, M.; Wang, X.

    2014-01-01

    Ge loss during Ge condensation process was investigated by transmission electron microscopy, Raman spectroscopy, secondary ion mass spectrometry and Rutherford backscattering spectrometry. This work reveals that Ge loss can be attributed to the Ge oxidation at SiO 2 /SiGe interface, Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface. During Ge condensation process, with the increase of the Ge content, the Si atoms become insufficient for selective oxidation at the oxide/SiGe interface. Consequently, the Si and Ge are oxidized simultaneously. When the Ge composition in SiGe layer increases further and approaches 100%, the Ge atoms begin to diffuse into the top SiO 2 layer and buried SiO 2 layer. However, the X-ray photoelectron spectrometry analysis manifests that the chemical states of the Ge in top SiO 2 layer are different from those in buried SiO 2 layer, as the Ge atoms diffused into top SiO 2 layer are oxidized to form GeO 2 in the subsequent oxidation step. With the increase of the diffusion time, a quantity of Ge atoms diffuse through buried SiO 2 layer and pile up at buried SiO 2 /Si interface due to the interfacial trapping. The SiO 2 /Si interface acts like a pump, absorbing Ge from a Ge layer continuously through a pipe-buried SiO 2 layer. With the progress of Ge condensation process, the quantity of Ge accumulated at SiO 2 /Si interface increases remarkably. - Highlights: • Ge loss during Ge condensation process is attributed to the Ge oxidation at SiO 2 /SiGe interface. • Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface • When Ge content in SiGe layer approaches 100%, Ge diffusion into the SiO 2 layer is observed. • Ge then gradually diffuses through buried SiO 2 layer and pile up at SiO 2 /Si interface

  14. Nitride passivation of the interface between high-k dielectrics and SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, California 92093-0411 (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, California 92093-0411 (United States); Tang, Kechao; McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States); Madisetti, Shailesh; Oktyabrsky, Serge [Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York 12222 (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES US, Inc., Albany, New York 12203 (United States); Yoshida, Naomi; Kachian, Jessica; Dong, Lin [Applied Materials, Inc., Santa Clara, California 95054 (United States); Fruhberger, Bernd [California Institute for Telecommunications and Information Technology, University of California San Diego, La Jolla, California 92093-0436 (United States); Kummel, Andrew C., E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States)

    2016-01-04

    In-situ direct ammonia (NH{sub 3}) plasma nitridation has been used to passivate the Al{sub 2}O{sub 3}/SiGe interfaces with Si nitride and oxynitride. X-ray photoelectron spectroscopy of the buried Al{sub 2}O{sub 3}/SiGe interface shows that NH{sub 3} plasma pre-treatment should be performed at high temperatures (300 °C) to fully prevent Ge nitride and oxynitride formation at the interface and Ge out-diffusion into the oxide. C-V and I-V spectroscopy results show a lower density of interface traps and smaller gate leakage for samples with plasma nitridation at 300 °C.

  15. Ordered Arrays of SiGe Islands from Low-Energy PECVD

    Directory of Open Access Journals (Sweden)

    Chrastina D

    2010-01-01

    Full Text Available Abstract SiGe islands have been proposed for applications in the fields of microelectronics, optoelectronics and thermoelectrics. Although most of the works in literature are based on MBE, one of the possible advantages of low-energy plasma-enhanced chemical vapor deposition (LEPECVD is a wider range of deposition rates, which in turn results in the possibility of growing islands with a high Ge concentration. We will show that LEPECVD can be effectively used for the controlled growth of ordered arrays of SiGe islands. In order to control the nucleation of the islands, patterned Si (001 substrates were obtained by e-beam lithography (EBL and dry etching. We realized periodic circular pits with diameters ranging from 80 to 300 nm and depths from 65 to 75 nm. Subsequently, thin films (0.8–3.2 nm of pure Ge were deposited by LEPECVD, resulting in regular and uniform arrays of Ge-rich islands. LEPECVD allowed the use of a wide range of growth rates (0.01–0.1 nm s−1 and substrates temperatures (600–750°C, so that the Ge content of the islands could be varied. Island morphology was characterized by AFM, while μ-Raman was used to analyze the Ge content inside the islands and the composition differences between islands on patterned and unpatterned areas of the substrate.

  16. Extended x-ray absorption fine structure studies of amorphous and crystalline Si-Ge alloys with synchrotron radiation

    International Nuclear Information System (INIS)

    Kajiyama, Hiroshi

    1988-01-01

    Extended X-ray absorption fine structure (EXAFS) is a powerful probe to study the local structure around the atom of a specific element. In conventional EXAFS analysis, it has been known that reliable structures are obtained with the different values of absorption edge energy for different neighboring atoms. It is shown in this study that the Ge-K edge EXAFS resulting from the Ge-Ge and Ge-Si bonds in hydrogenated amorphous Si-Ge alloys was able to be excellently explained by a unique absorption edge energy value, provided that a newly developed formula based on the spherical wave function of photoelectrons is used. The microscopic structures of hydrogenated amorphous Si-Ge alloys and crystalline Si-Ge alloys have been determined using the EXAFS method. The lengths of Ge-Ge and Ge-Si bonds were constant throughout their entire composition range, and it was found that the length of Ge-Si bond was close to the average value of the bond lengths of both Ge and Si crystals. In crystalline Si-Ge alloys, it has been shown that the bonds relaxed completely, while the lattice constant varied monotonously with the composition. (Kako, I.)

  17. Comparison Study on the Microstructure of Nanocrystalline TiO2 in Different Ti-Si Binary Oxides

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Three different Ti-Si oxide structuares, silica supported titania, silica coated titania and intimately mixed silicatitania, containing 10%-40% SiO2, were made by sol-gel process. The variations of microstructure parameters of nanocrystalline (nc) TiO2-anatase in the three kirds of binary oxides, including in-plane spacing d, cell constants (a0, CQ), cell volume V, cell axial ratio c0/a0 and crystal grain size, were comparatively investigated by high resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). It is found that the microstructure parameters vary remarkably with increasing SiO2 content and annealing temperature. Different structured Ti-Si binary oxides lead to different variation tendencies of microstructure parameters. The more SiO2 the binary oxide contains, the more lattice defects of nc TiO2-anatase appear; diffusion or migration of Si cations could be an important influential factor in the variations of microstructure. The grain size of nc TiO2 in the three kinds of binary oxides not only depends on SiO2 content and annealing temperature but also on the degree of lattice microstrain and distortion of nc TiO2-anatase. Both grain size and phase transformation of nc TiO2-anatase are effectively inhibited with increasing SiOa content.

  18. Quantum-confined Stark effect at 1.3 μm in Ge/Si(0.35)Ge(0.65) quantum-well structure.

    Science.gov (United States)

    Rouifed, Mohamed Said; Chaisakul, Papichaya; Marris-Morini, Delphine; Frigerio, Jacopo; Isella, Giovanni; Chrastina, Daniel; Edmond, Samson; Le Roux, Xavier; Coudevylle, Jean-René; Vivien, Laurent

    2012-10-01

    Room-temperature quantum-confined Stark effect in a Ge/SiGe quantum-well structure is reported at the wavelength of 1.3 μm. The operating wavelength is tuned by the use of strain engineering. Low-energy plasma-enhanced chemical vapor deposition is used to grow 20 periods of strain-compensated quantum wells (8 nm Ge well and 12 nm Si(0.35)Ge(0.65) barrier) on Si(0.21)Ge(0.79) virtual substrate. The fraction of light absorbed per well allows for a strong modulation around 1.3 μm. The half-width at half-maximum of the excitonic peak of only 12 meV allows for a discussion on physical mechanisms limiting the performances of such devices.

  19. Generation of uniaxial tensile strain of over 1% on a Ge substrate for short-channel strained Ge n-type Metal–Insulator–Semiconductor Field-Effect Transistors with SiGe stressors

    International Nuclear Information System (INIS)

    Moriyama, Yoshihiko; Kamimuta, Yuuichi; Ikeda, Keiji; Tezuka, Tsutomu

    2012-01-01

    Tensile strain of over 1% in Ge stripes sandwiched between a pair of SiGe source-drain stressors was demonstrated. The Metal–Oxide–Semiconductor Field-Effect Transistor (MOSFET)-like structures were fabricated on a (001)-Ge substrate having SiO 2 dummy-gate stripes with widths down to 26 nm. Recess-regions adjacent to the dummy-gate stripes were formed by an anisotropic wet etching technique. A damage-free and well-controlled anisotropic wet etching process is developed in order to avoid plasma-induced damage during a conventional Reactive-ion Etching process. The SiGe stressors were epitaxially grown on the recesses to simulate strained Ge n-channel Metal–Insulator–Semiconductor Field-Effect Transistors (MISFETs) having high electron mobility. A micro-Raman spectroscopy measurement revealed tensile strain in the narrow Ge regions which became higher for narrower regions. Tensile strain of up to 1.2% was evaluated from the measurement under an assumption of uniaxial strain configuration. These results strongly suggest that higher electron mobility than the upper limit for a Si-MOSFET is obtainable in short-channel strained Ge-nMISFETs with the embedded SiGe stressors.

  20. First-principles study on the thermodynamic stability, magnetism, and half-metallicity of full-Heusler alloy Ti{sub 2}FeGe (001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Yan; Zhang, Jian-Min, E-mail: jmzhang@snnu.edu.cn

    2017-05-10

    For the Ti{sub 2}FeGe Heusler alloy, the surface stability, electronic and magnetic properties of the various (001) surfaces have been studied by using first-principles calculations. The TiGe termination is the most stable one while the GeGe* termination is the most unstable one. Both the density of states (DOS) and atomic magnetic moments (AMMs) of the central layers are similar to the corresponding bulk characters due to no influence of surface effect as we expected. The TiGe termination has the highest spin polarization 96.67%, followed by the TiFe (67.17%), GeGe* (66.51%) and FeFe* terminations (62.02%). The TiTi* terminations has the lowest spin polarization 61.31%. The magnetic moments for atoms on the surfaces and subsurfaces of these terminations are different from the bulk case. - Highlights: • TiGe termination is the most stable while GeGe* termination is the most unstable. • TiGe termination has the highest spin polarization followed by TiFe, GeGe*, FeFe* and TiTi*. • Atomic magnetic moments at the (001) surfaces are greatly different from the bulk values.

  1. Effect of Ti concentration on the structure and texture of SiTiOC glasses

    International Nuclear Information System (INIS)

    Tellez, L.; Rubio, J.; Valenzuela, M.A.; Rubio, F.; Oteo, J.L.

    2009-01-01

    Five different silicon-titanium organic-inorganic hybrid materials were prepared by the reaction of tetraethoxysilane, titanium tetrabutoxide and silanol-terminated polydimethylsiloxane. Si-Ti oxycarbide glasses were prepared by pyrolysis of the hybrid materials in nitrogen atmosphere in the range of 400 to 1500 deg. C. The obtained Si-Ti oxycarbide materials were characterized by Fourier Transform-Infra Red spectroscopy, X-ray-diffraction, mercury porosimetry and SEM. The study indicated that the reactivity towards water increases up to 1000 deg. C, at higher temperatures it decreases for all samples. During the pyrolysis, Ti atoms were incorporated into the silicate network leading to the formation of Si-Ti oxycarbide glasses, except with sample containing 7% of Ti which presented also the formation of β-SiC and TiC crystalline phases. The porosity was increased up to 600 deg. C and then decreased tending to disappear at 1500 deg. C. When the titanium concentration increased from 1 to 3% in the oxycarbide glass, the porosity decreased; for higher concentration, an increase in the porosity was observed.

  2. Effect of Ti concentration on the structure and texture of SiTiOC glasses

    Energy Technology Data Exchange (ETDEWEB)

    Tellez, L. [Dpt. Ing. Metalurgica. ESIQIE-Instituto Politecnico Nacional. Zacatenco, 07738 Mexico D.F. (Mexico); Rubio, J. [Instituto de Ceramica y Vidrio. C.S.I.C. Campus de la Universidad Autonoma de Madrid, Canto Blanco 28049, Madrid (Spain); Valenzuela, M.A., E-mail: mavalenz@ipn.mx [Lab. Catalisis y Materiales, ESIQIE-Instituto Politecnico Nacional. Zacatenco, 07738 Mexico D.F. (Mexico); Rubio, F.; Oteo, J.L. [Instituto de Ceramica y Vidrio. C.S.I.C. Campus de la Universidad Autonoma de Madrid, Canto Blanco 28049, Madrid (Spain)

    2009-06-15

    Five different silicon-titanium organic-inorganic hybrid materials were prepared by the reaction of tetraethoxysilane, titanium tetrabutoxide and silanol-terminated polydimethylsiloxane. Si-Ti oxycarbide glasses were prepared by pyrolysis of the hybrid materials in nitrogen atmosphere in the range of 400 to 1500 deg. C. The obtained Si-Ti oxycarbide materials were characterized by Fourier Transform-Infra Red spectroscopy, X-ray-diffraction, mercury porosimetry and SEM. The study indicated that the reactivity towards water increases up to 1000 deg. C, at higher temperatures it decreases for all samples. During the pyrolysis, Ti atoms were incorporated into the silicate network leading to the formation of Si-Ti oxycarbide glasses, except with sample containing 7% of Ti which presented also the formation of {beta}-SiC and TiC crystalline phases. The porosity was increased up to 600 deg. C and then decreased tending to disappear at 1500 deg. C. When the titanium concentration increased from 1 to 3% in the oxycarbide glass, the porosity decreased; for higher concentration, an increase in the porosity was observed.

  3. Electron-electron interaction in p-SiGe/Ge quantum wells

    International Nuclear Information System (INIS)

    Roessner, Benjamin; Kaenel, Hans von; Chrastina, Daniel; Isella, Giovanni; Batlogg, Bertram

    2005-01-01

    The temperature dependent magnetoresistance of high mobility p-SiGe/Ge quantum wells is studied with hole densities ranging from 1.7 to 5.9 x 10 11 cm -2 . At magnetic fields below the onset of quantum oscillations that reflect the high mobility values (up to 75000 cm 2 /Vs), we observe the clear signatures of electron-electron interaction. We compare our experiment with the theory of electron-electron interaction including the Zeeman band splitting. The observed magnetoresistance is well explained as a superposition of band structure induced positive magnetoresistance and the negative magntoresistance due to the electron-electron interaction effect

  4. Mode analysis and structure parameter optimization of a novel SiGe-OI rib optical waveguide

    Energy Technology Data Exchange (ETDEWEB)

    Feng Song; Gao Yong; Yang Yuan [Department of Electronic Engineering, Xi' an University of Technology, Xi' an 710048 (China); Feng Yuchun, E-mail: vonfs@yahoo.com.c [Key Laboratories of Optoelectronic Devices and Systems, Shenzhen University, Shenzhen 518060 (China)

    2009-08-15

    The mode of a novel SiGe-OI optical waveguide is analyzed, and its single-mode conditions are derived. The Ge content and structure parameters of SiGe-OI optical waveguides are respectively optimized. Under an operation wavelength of 1300 nm, the structures of SiGe-OI rib optical waveguides are built and analyzed with Optiwave software, and the optical field and transmission losses of the SiGe-OI rib optical waveguides are analyzed. The optimization results show that when the structure parameters H, h, W are respectively 500 nm, 250 nm, 500 nm and the Ge content is 5%, the total power loss of SiGe-OI rib waveguides is 0.3683 dB/cm considering the loss of radiation outside the waveguides and materials, which is less than the traditional value of 0.5 dB/cm. The analytical technique for SiGe-OI optical waveguides and structure parameters computed by this paper are proved to be accurate and computationally efficient compared with the beam propagation method (BPM) and the experimental results. (semiconductor devices)

  5. Theoretical calculation of performance enhancement in lattice-matched SiGeSn/GeSn p-channel tunneling field-effect transistor with type-II staggered tunneling junction

    Science.gov (United States)

    Wang, Hongjuan; Han, Genquan; Wang, Yibo; Peng, Yue; Liu, Yan; Zhang, Chunfu; Zhang, Jincheng; Hu, Shengdong; Hao, Yue

    2016-04-01

    In this work, a lattice-matched SiGeSn/GeSn heterostructure p-channel tunneling field-effect transistor (hetero-PTFET) with a type-II staggered tunneling junction (TJ) is investigated theoretically. Lattice matching and type-II band alignment at the Γ-point is obtained at the SiGeSn/GeSn interface by tuning Sn and Si compositions. A steeper subthreshold swing (SS) and a higher on state current (I ON) are demonstrated in SiGeSn/GeSn hetero-PTFET than in GeSn homo-PTFET. Si0.31Ge0.49Sn0.20/Ge0.88Sn0.12 hetero-PTFET achieves a 2.3-fold higher I ON than Ge0.88Sn0.12 homo-PTFET at V DD of 0.3 V. Hetero-PTFET achieves a more abrupt hole profile and a higher carrier density near TJ than the homo-PTFET, which contributes to the significantly enhanced band-to-band tunneling (BTBT) rate and tunneling current in hetero-PTFET.

  6. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  7. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  8. N-Heterocyclic Carbene Coinage Metal Complexes of the Germanium-Rich Metalloid Clusters [Ge9R3]− and [Ge9RI2]2− with R = Si(iPr3 and RI = Si(TMS3

    Directory of Open Access Journals (Sweden)

    Felix S. Geitner

    2017-07-01

    Full Text Available We report on the synthesis of novel coinage metal NHC (N-heterocyclic carbene compounds of the germanium-rich metalloid clusters [Ge9R3]− and [Ge9RI2]2− with R = Si(iPr3 and RI = Si(TMS3. NHCDippCu{η3Ge9R3} with R = Si(iPr3 (1 represents a less bulky silyl group-substituted derivative of the known analogous compounds with R = Si(iBu3 or Si(TMS3. The coordination of the [NHCDippCu]+ moiety to the cluster unit occurs via one triangular face of the tri-capped trigonal prismatic [Ge9] cluster. Furthermore, a series of novel Zintl cluster coinage metal NHC compounds of the type (NHCM2{η3Ge9RI2} (RI = Si(TMS3 M = Cu, Ag and Au; NHC = NHCDipp or NHCMes is presented. These novel compounds represent a new class of neutral dinuclear Zintl cluster coinage metal NHC compounds, which are obtained either by the stepwise reaction of a suspension of K12Ge17 with Si(TMS3Cl and the coinage metal carbene complexes NHCMCl (M = Cu, Ag, Au, or via a homogenous reaction using the preformed bis-silylated cluster K2[Ge9(Si(TMS32] and the corresponding NHCMCl (M = Cu, Ag, Au complex. The molecular structures of NHCDippCu{η3Ge9(Si(iPr33} (1 and (NHCDippCu2{η3-Ge9(Si(TMS32} (2 were determined by single crystal X-ray diffraction methods. In 2, the coordination of the [NHCDippCu]+ moieties to the cluster unit takes place via both open triangular faces of the [Ge9] entity. Furthermore, all compounds were characterized by means of NMR spectroscopy (1H, 13C, 29Si and ESI-MS.

  9. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  10. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  11. Irradiation damages in Ti{sub 3}SiC{sub 2}; Dommages d'irradiation dans Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Nappe, J.C.; Grosseau, Ph. [Ecole Nationale Superieure des Mines, Centre SPIN, Lab. PMMC et LPMG UMR CNRS 5148, 42 - Saint-Etienne (France); Guilhot, B. [Ecole Nationale Superieure des Mines, Centre CIS, 42 - Saint-Etienne (France); Audubert, F.; Beauvy, M. [CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. d' Etudes des Combustibles; Iacconi, Ph.; Benabdesselam, M. [Nice Univ. - Sophia Antipolis, Lab. LPES-CRESA, 06 (France)

    2007-07-01

    Carbides, by their remarkable properties, are considered as possible materials (fuel cans) in reactor of generation IV. Among those studied, Ti{sub 3}SiC{sub 2} is particularly considered because it joins both the ceramics and metals properties. Nevertheless, its behaviour under irradiation is not known. Characterizations have been carried out on samples irradiated at 75 MeV krypton ions. They have revealed that TiO{sub 2} (formed at the surface of Ti{sub 3}SiC{sub 2}) is pulverized by the irradiation and that the crystal lattice of Ti{sub 3}SiC{sub 2} dilates with c. (O.M.)

  12. Effect of TiC content on the microstructure and properties of Ti3SiC2-TiC composites in situ fabricated by spark plasma sintering

    International Nuclear Information System (INIS)

    Zhang Jianfeng; Wang Lianjun; Jiang Wan; Chen Lidong

    2008-01-01

    Spark plasma sintering technique was used to in situ fabricate high dense Ti 3 SiC 2 -TiC composites. The calculated TiC volume content from X-ray diffraction (XRD) is close to the theoretical one. It is found from fracture surface observation that TiC is about 1 μm, and Ti 3 SiC 2 is about 2-10 μm in grain size. The fracture modes consist of intergranular mainly for Ti 3 SiC 2 and transgranular fracture mainly for TiC. With the increasing of TiC volume content, Vickers hardness increases to the maximum value of 13 GPa for Ti 3 SiC 2 -40 vol.%TiC. Fracture toughness and flexural strength of the composites are also improved compared with those of monolithic Ti 3 SiC 2 except for Ti 3 SiC 2 -40 vol.%TiC composite. The main reasons for the sudden decrease of fracture toughness and flexural strength of Ti 3 SiC 2 -40 vol.%TiC composite can be attributed to the relatively lower density, some clusters of TiC in the composite and the transition of fracture mode from intergranular to transgranular. The thermal conductivities decreased with the addition of TiC. The minimum thermal conductivity is 22 W m deg. C -1 for Ti 3 SiC 2 -40 vol.%TiC composite

  13. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  14. First-principles quantum molecular calculations of structural and mechanical properties of TiN/SiN{sub x} heterostructures, and the achievable hardness of the nc-TiN/SiN{sub x} nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Ivashchenko, V.I., E-mail: ivash@ipms.kiev.ua [Institute of Problems of Material Science, National Academy of Science of Ukraine, Krzhyzhanosky Str. 3, 03142 Kyiv (Ukraine); Veprek, S., E-mail: stan.veprek@lrz.tum.de [Department of Chemistry, Technical University Munich, Lichtenbergstrasse 4, D-85747 Garching (Germany); Argon, A.S. [Department of Mechanical Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States); Turchi, P.E.A. [Lawrence Livermore National Laboratory (L-352), P.O. Box 808, Livermore, CA 94551 (United States); Gorb, L. [Badger Technical Services, LLC, Vicksburg, MS 39180 (United States); U.S. Army ERDC, Vicksburg, MS 39180 (United States); Hill, F. [U.S. Army ERDC, Vicksburg, MS 39180 (United States); Leszczynski, J. [Department of Chemistry and Biochemistry, Interdisciplinary Center for Nanotoxicity, Jackson State University, Jackson, MS 39217 (United States)

    2015-03-02

    TiN/SiN{sub x} heterostructures with one monolayer of the interfacial SiN{sub x} have been investigated in the framework of first-principles molecular dynamics calculations in the temperature range of 0 to 1400 K with subsequent static relaxation. The atomic configurations, thermal stability and stress–strain relations have been calculated. Among the heterostructures studied, only the TiN(111)/SiN/TiN(111) and TiN(111)/Si{sub 2}N{sub 3}/TiN(111) ones are thermally stable. Upon tensile load, decohesion occurs between the Ti−N bonds adjacent to the SiN{sub x} interfacial layer for TiN(001)/SiN/TiN(001) and TiN(111)/Si{sub 2}N{sub 3}/TiN(111) heterostructures, and inside the TiN slab for TiN(001)/Si{sub 3}N{sub 4}/TiN(001) and TiN(110)/SiN/TiN(110) ones. Upon shear, failure occurs in TiN near the interfaces in all the heterostructures, except for the TiN(001)/Si{sub 3}N{sub 4}/TiN(001) one, for which the plastic flow occurs inside the TiN slab. Based on these results we estimate the maximum achievable hardness of nc-TiN/Si{sub 3}N{sub 4} nanocomposites free of impurities to be about 170 GPa. - Highlights: • Interface stability in TiN/SiN{sub x} heterostructures at T ≤ 1400 K is studied by quantum molecular dynamics. • Ideal decohesion and shear strengths of the heterostructures have been calculated. • Achievable hardness of nc-TiN/Si{sub 3}N{sub 4}-like nanocomposites of about 170 GPa is calculated. • Experimentally achieved lower hardness is limited by flaws, such as oxygen impurities.

  15. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  16. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  17. Nanostructured Si/TiC composite anode for Li-ion batteries

    International Nuclear Information System (INIS)

    Zeng, Z.Y.; Tu, J.P.; Yang, Y.Z.; Xiang, J.Y.; Huang, X.H.; Mao, F.; Ma, M.

    2008-01-01

    Si/TiC nanocomposite anode was synthesized by a surface sol-gel method in combination with a following heat-treatment process. Through this process, nanosized Si was homogeneously distributed in a titanium carbide matrix. The electrochemically less active TiC working as a buffer matrix successfully prevented Si from cracking/crumbling during the charging/discharging process. The interspaces in the Si/TiC nanocomposite could offer convenient channels for Li ions to react with active Si. The Si/TiC composite exhibited a reversible charge/discharge capacity of about 1000 mAh g -1 with average discharge capacity fading of 1.8 mAh g -1 (0.18%) from 2nd to 100th cycle, indicating its excellent cyclability when used as anode materials for lithium-ion batteries

  18. Strain-free Ge/GeSiSn Quantum Cascade Lasers Based on L-Valley Intersubband Transitions

    National Research Council Canada - National Science Library

    Soret, R. A; Sun, G; Cheng, H; Menendez, J; Khurgin, J

    2007-01-01

    The authors propose a Ge/Ge0.76Si0.19Sn0.05 quantum cascade laser using intersubband transitions at L valleys of the conduction band which has a clean offset of 150 meV situated below other energy valleys Gamma and X...

  19. Oxidation kinetics of Si and SiGe by dry rapid thermal oxidation, in-situ steam generation oxidation and dry furnace oxidation

    Science.gov (United States)

    Rozé, Fabien; Gourhant, Olivier; Blanquet, Elisabeth; Bertin, François; Juhel, Marc; Abbate, Francesco; Pribat, Clément; Duru, Romain

    2017-06-01

    The fabrication of ultrathin compressively strained SiGe-On-Insulator layers by the condensation technique is likely a key milestone towards low-power and high performances FD-SOI logic devices. However, the SiGe condensation technique still requires challenges to be solved for an optimized use in an industrial environment. SiGe oxidation kinetics, upon which the condensation technique is founded, has still not reached a consensus in spite of various studies which gave insights into the matter. This paper aims to bridge the gaps between these studies by covering various oxidation processes relevant to today's technological needs with a new and quantitative analysis methodology. We thus address oxidation kinetics of SiGe with three Ge concentrations (0%, 10%, and 30%) by means of dry rapid thermal oxidation, in-situ steam generation oxidation, and dry furnace oxidation. Oxide thicknesses in the 50 Å to 150 Å range grown with oxidation temperatures between 850 and 1100 °C were targeted. The present work shows first that for all investigated processes, oxidation follows a parabolic regime even for thin oxides, which indicates a diffusion-limited oxidation regime. We also observe that, for all investigated processes, the SiGe oxidation rate is systematically higher than that of Si. The amplitude of the variation of oxidation kinetics of SiGe with respect to Si is found to be strongly dependent on the process type. Second, a new quantitative analysis methodology of oxidation kinetics is introduced. This methodology allows us to highlight the dependence of oxidation kinetics on the Ge concentration at the oxidation interface, which is modulated by the pile-up mechanism. Our results show that the oxidation rate increases with the Ge concentration at the oxidation interface.

  20. Total dose hardness of a commercial SiGe BiCMOS technology

    International Nuclear Information System (INIS)

    Van Vonno, N.; Lucas, R.; Thornberry, D.

    1999-01-01

    Over the past decade SiGe HBT technology has progress from the laboratory to actual commercial applications. When integrated into a BiMOS process, this technology has applications in low-cost space systems. In this paper, we report results of total dose testing of a SiGe/CMOS process accessible through a commercial foundry. (authors)

  1. Effect of Pressurizing during Compaction and Sintering on the Formation of Reaction-Bonded SiC–Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun-Han; Jung, Yang-Il; Rhee, Young-Woo; Park, Dong-Jun; Park, Jung-Hwan; Park, Jeong-Yong; Kim, Hyun-Gil; Koo, Yang-Hyun [LWR Fuel Technology Division, KAERI, Daejeon (Korea, Republic of)

    2016-05-15

    A reaction-bonded SiC-Ti{sub 3}SiC{sub 2} ceramic composite was produced for use in a ceramic-metal composite cladding tube. The diffusion reaction between TiC and Si was investigated with respect to process pressure. The mole-fraction of TiC and Si was controlled to be 3:2 to obtain a Ti{sub 3}SiC{sub 2} phase in the ceramic composite. Sintering was conducted at 1450 °C where TiC particles could react with melted Si. SiC ceramic composites consisting of Ti{sub 3}SiC{sub 2} and TiSi{sub 2} matrix phases were obtained. The formation of the constituent phases was strongly related to the processing pressure. The number of second phases in the SiC-Ti{sub 3}SiC{sub 2} composite was controlled by adjusting the processing pressure. When the powder compacts were not pressurized, no Ti{sub 3}SiC{sub 2} phase was formed. However, the Ti{sub 3}SiC{sub 2} phase was formed under pressurizing during compaction and/or sintering. The higher the pressure the higher the purity of SiC-Ti{sub 3}SiC{sub 2}. The dual-phased SiC-Ti{sub 3}SiC{sub 2} composite, however, revealed the decreased resistance to high-temperature oxidation. It is suggested that the incorporation of TiSi{sub 2} in the composite increases the oxidation resistance as well as mechanical property.

  2. Thermoelectric energy conversion in layered structures with strained Ge quantum dots grown on Si surfaces

    Science.gov (United States)

    Korotchenkov, Oleg; Nadtochiy, Andriy; Kuryliuk, Vasyl; Wang, Chin-Chi; Li, Pei-Wen; Cantarero, Andres

    2014-03-01

    The efficiency of the energy conversion devices depends in many ways on the materials used and various emerging cost-effective nanomaterials have promised huge potentials in highly efficient energy conversion. Here we show that thermoelectric voltage can be enhanced by a factor of 3 using layer-cake growth of Ge quantum dots through thermal oxidation of SiGe layers stacked in SiO2/Si3N4 multilayer structure. The key to achieving this behavior has been to strain the Ge/Si interface by Ge dots migrating to Si substrate. Calculations taking into account the carrier trapping in the dot with a quantum transmission into the neighboring dot show satisfactory agreement with experiments above ≈200 K. The results may be of interest for improving the functionality of thermoelectric devices based on Ge/Si.

  3. Single-shot readout of accumulation mode Si/SiGe spin qubits using RF reflectometry

    Science.gov (United States)

    Volk, Christian; Martins, Frederico; Malinowski, Filip; Marcus, Charles M.; Kuemmeth, Ferdinand

    Spin qubits based on gate-defined quantum dots are promising systems for realizing quantum computation. Due to their low concentration of nuclear-spin-carrying isotopes, Si/SiGe heterostructures are of particular interest. While high fidelities have been reported for single-qubit and two-qubit gate operations, qubit initialization and measurement times are relatively slow. In order to develop fast read-out techniques compatible with the operation of spin qubits, we characterize double and triple quantum dots confined in undoped Si/Si0.7Ge0.3 heterostructures using accumulation and depletion gates and a nearby RF charge sensor dot. We implement a RF reflectometry technique that allows single-shot charge read-out at integration times on the order of a few μs. We show our recent advancement towards implementing spin qubits in these structures, including spin-selective single-shot read-out.

  4. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  5. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    Science.gov (United States)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  6. Pore Formation Process of Porous Ti3SiC2 Fabricated by Reactive Sintering

    Directory of Open Access Journals (Sweden)

    Huibin Zhang

    2017-02-01

    Full Text Available Porous Ti3SiC2 was fabricated with high purity, 99.4 vol %, through reactive sintering of titanium hydride (TiH2, silicon (Si and graphite (C elemental powders. The reaction procedures and the pore structure evolution during the sintering process were systematically studied by X-ray diffraction (XRD and scanning electron microscope (SEM. Our results show that the formation of Ti3SiC2 from TiH2/Si/C powders experienced the following steps: firstly, TiH2 decomposed into Ti; secondly, TiC and Ti5Si3 intermediate phases were generated; finally, Ti3SiC2 was produced through the reaction of TiC, Ti5Si3 and Si. The pores formed in the synthesis procedure of porous Ti3SiC2 ceramics are derived from the following aspects: interstitial pores left during the pressing procedure; pores formed because of the TiH2 decomposition; pores formed through the reactions between Ti and Si and Ti and C powders; and the pores produced accompanying the final phase synthesized during the high temperature sintering process.

  7. SiGe Based Low Temperature Electronics for Lunar Surface Applications

    Science.gov (United States)

    Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John

    2012-01-01

    The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.

  8. Influence of the Si content on the microstructure and mechanical properties of Ti-Ni-Cu-Si-Sn nanocomposite alloys

    Energy Technology Data Exchange (ETDEWEB)

    Fornell, J., E-mail: Jordinafornell@gmail.com [Departament de Fisica, Universitat Autonoma de Barcelona, 08193 Bellaterra (Spain); Van Steenberge, N. [OCAS N.V., Pres. J.F. Kennedylaan 3, BE-9060 Zelzate (Belgium); Surinach, S.; Baro, M.D. [Departament de Fisica, Universitat Autonoma de Barcelona, 08193 Bellaterra (Spain); Sort, J. [Departament de Fisica, Universitat Autonoma de Barcelona, 08193 Bellaterra (Spain); Institucio Catalana de Recerca i Estudis Avancats (Spain)

    2012-09-25

    Highlights: Black-Right-Pointing-Pointer We study the effects of Si addition of Ti-Ni-Cu-Si-Sn alloy. Black-Right-Pointing-Pointer The microstructure evolution is correlated with the obtained mechanical and elastic properties. Black-Right-Pointing-Pointer Higher Young's modulus and larger hardness values are obtained in samples with higher Si contents. - Abstract: (Ti{sub 48}Ni{sub 32}Cu{sub 8}Si{sub 8}Sn{sub 4}){sub 100-x}Si{sub x} (x = 0, 2, 4 and 6) alloys were prepared by levitation melting mixtures of the high purity elements in an Ar atmosphere. Rods of 3 mm in diameter were obtained from the melt by copper mould casting. The effects of Si addition on the microstructure, elastic and mechanical properties of the Ti{sub 48}Ni{sub 32}Cu{sub 8}Si{sub 8}Sn{sub 4} alloy were investigated by scanning electron microscopy, X-ray diffraction, acoustic measurements and nanoindentation. The main phases composing the Ti{sub 48}Ni{sub 32}Cu{sub 8}Si{sub 8}Sn{sub 4} alloy are B2 NiTi, B19 Prime NiTi and tetragonal Ti{sub 2}Ni. Additional phases, like Ti{sub 5}Si{sub 3} or Ni{sub 2}Ti{sub 2}Si, become clearly visible in samples with higher Si contents. The microstructure evolution is correlated with the obtained mechanical and elastic properties. These alloys exhibit very high hardness values, which increase with the Si content, from 9 GPa (for x = 0) to around 10.5 GPa (for x = 6). The Young's modulus of Ti{sub 48}Ni{sub 32}Cu{sub 8}Si{sub 8}Sn{sub 4} (around 115 GPa) also increases significantly with Si addition, up to 160 GPa for x = 6.

  9. Electronic structure of O-doped SiGe calculated by DFT + U method

    Science.gov (United States)

    Zhao, Zong-Yan; Yang, Wen; Yang, Pei-Zhi

    2016-12-01

    To more in depth understand the doping effects of oxygen on SiGe alloys, both the micro-structure and properties of O-doped SiGe (including: bulk, (001) surface, and (110) surface) are calculated by DFT + U method in the present work. The calculated results are as follows. (i) The (110) surface is the main exposing surface of SiGe, in which O impurity prefers to occupy the surface vacancy sites. (ii) For O interstitial doping on SiGe (110) surface, the existences of energy states caused by O doping in the band gap not only enhance the infrared light absorption, but also improve the behaviors of photo-generated carriers. (iii) The finding about decreased surface work function of O-doped SiGe (110) surface can confirm previous experimental observations. (iv) In all cases, O doing mainly induces the electronic structures near the band gap to vary, but is not directly involved in these variations. Therefore, these findings in the present work not only can provide further explanation and analysis for the corresponding underlying mechanism for some of the experimental findings reported in the literature, but also conduce to the development of μc-SiGe-based solar cells in the future. Project supported by the Natural Science Foundation of Yunnan Province, China (Grant No. 2015FB123), the 18th Yunnan Province Young Academic and Technical Leaders Reserve Talent Project, China (Grant No. 2015HB015), and the National Natural Science Foundation of China (Grant No. U1037604).

  10. Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations.

    Science.gov (United States)

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun

    2017-08-08

    The structural, mechanical, elastic anisotropic, and electronic properties of Pbca -XN (X = C, Si, Ge) are investigated in this work using the Perdew-Burke-Ernzerhof (PBE) functional, Perdew-Burke-Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA-PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca -CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca -XN (X = C, Si, Ge) show that Pbca -SiN and Pbca -GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca -XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson's ratio, bulk modulus, shear modulus, Young's modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca -CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young's modulus than the (100) plane.

  11. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  12. Effect of TiO2 addition on reaction between SiC and Ni in SiC-Ni cermet spray coatings. Part 2. ; Development of SiC-based cermet spray coatings. SiC-Ni yosha himakuchu no SiC-Ni kaimen hanno ni oyobosu TiO2 tenka no koka. 2. ; SiC-ki sametto yosha himaku no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, T [Kumano Technical College, Mie (Japan); Oki, S; Goda, S [Kinki Univ., Higashi-Osaka, Osaka (Japan). Faculty of Science and Technology

    1992-09-30

    The depression of the reaction between SiC and Ni, by adding TiO2 powder in spraying powder which has caused uniform dispersion in spray coating and reduction of TiO2 by the reaction during spraying, was studied. The mass ratio of the mixed components has been, SiC:Ni:TiO2=3:2:1. The spray coating was examined by electron prove microanalysis as well as X-ray diffractometry, centering mainly to the SiC-metal interface reaction. The formation of Ni-Si compounds have been depressed by the addition of TiO2 to spraying powder and by using plasma gas containing H2. Reason for this has been that the TiC formed in the SiC-Ni interface has depressed the reaction at the SiC-Ni interface. Further, TiO2 is reduced during spraying, and TiC is thought to be formed by the reaction between Ti and SiC or reaction between TiO2 and SiC. 8 refs., 6 figs., 1 tab.

  13. Surfactant-mediated growth of ultrathin Ge and Si films and their interfaces: Interference-enhanced Raman study

    OpenAIRE

    Kanakaraju, S; Sood, AK; Mohan, S

    2000-01-01

    We report on the growth and interfaces of ultrathin polycrystalline Ge and Si films when they are grown on each other using ion beam sputter deposition with and without surfactant at different growth temperatures, studied using interference enhanced Raman spectroscopy. Ge films grown on Si without surfactant show Ge segregation at the interfaces forming an alloy of GexSi1-x as indicated by the Ge-Si Raman mode. However, use of Sb as surfactant strongly suppresses the intermixing. Also Si film...

  14. Microstructure and electrochemical characterization of laser melt-deposited Ti2Ni3Si/NiTi intermetallic alloys

    International Nuclear Information System (INIS)

    Dong Lixin; Wang Huaming

    2008-01-01

    Corrosion and wear resistant Ti 2 Ni 3 Si/NiTi intermetallic alloys with Ti 2 Ni 3 Si as the reinforcing phase and the ductile NiTi as the toughening phase were designed and fabricated by the laser melt-deposition manufacturing process. Electrochemical behavior of the alloys was investigated using potentiodynamic polarization testing and electrochemical impedance spectroscopy in an NaOH solution. The results showed that the alloys have outstanding corrosion resistance due to the formation of a protective passive surface film of Ni(OH) 2 as well as the high chemical stability and strong inter-atomic bonds inherent to Ti 2 Ni 3 Si and NiTi intermetallics. The Ti 2 Ni 3 Si content has a significant influence on the microstructure of the alloys but only a slight effect on electrochemical corrosion properties

  15. Mechanical properties of hot-pressed SiC-TiC composites

    Directory of Open Access Journals (Sweden)

    Kamil Kornaus

    2017-12-01

    Full Text Available SiC-TiC composites, with 0, 5, 10 and 20 vol.% of TiC, were sintered by the hot-pressing technique at temperature of 2000 °C under argon atmosphere. SiC sintering process was activated by liquid phase created by the reaction between Al2O3 and Y2O3, in which it is possible to dissolve passivating oxide layers (SiO2 and TiO2 and partially SiC and TiC carbides. Microstructure observation and density measurements confirmed that the composites were dense with uniformly distributed components. Differences in thermal expansion coefficients between SiC and TiC led to complex stress state occurrence. These stresses combined with the liquid-derived separate phase between grains boundaries increased fracture toughness of the composites, which ranged from 5.8 to 6.3 MPa·m0.5. Opposite to the bending strength, fracture toughness increased with the TiC volume fraction. By means of simulation of residual thermal stresses in the composites, it was found that with the increasing volume fraction of TiC, tensile stress in TiC grains is reduced simultaneously with strong rise of compressive stresses in the matrix.

  16. Crystal growth kinetics in undercooled melts of pure Ge, Si and Ge-Si alloys

    Science.gov (United States)

    Herlach, Dieter M.; Simons, Daniel; Pichon, Pierre-Yves

    2018-01-01

    We report on measurements of crystal growth dynamics in semiconducting pure Ge and pure Si melts and in Ge100-xSix (x = 25, 50, 75) alloy melts as a function of undercooling. Electromagnetic levitation techniques are applied to undercool the samples in a containerless way. The growth velocity is measured by the utilization of a high-speed camera technique over an extended range of undercooling. Solidified samples are examined with respect to their microstructure by scanning electron microscopic investigations. We analyse the experimental results of crystal growth kinetics as a function of undercooling within the sharp interface theory developed by Peter Galenko. Transitions of the atomic attachment kinetics are found at large undercoolings, from faceted growth to dendrite growth. This article is part of the theme issue `From atomistic interfaces to dendritic patterns'.

  17. Evolution of a novel Si-18Mn-16Ti-11P alloy in Al-Si melt and its influence on microstructure and properties of high-Si Al-Si alloy

    Directory of Open Access Journals (Sweden)

    Xiao-Lu Zhou

    Full Text Available A novel Si-18Mn-16Ti-11P master alloy has been developed to refine primary Si to 14.7 ± 1.3 μm, distributed uniformly in Al-27Si alloy. Comparing with traditional Cu-14P and Al-3P, Si-18Mn-16Ti-11P provided a much better refining effect, with in-situ highly active AlP. The refined Al-27Si alloy exhibited a CTE of 16.25 × 10−6/K which is slightly higher than that of Sip/Al composites fabricated by spray deposition. The UTS and elongation of refined Al-27Si alloy were increased by 106% and 235% comparing with those of unrefined alloy. It indicates that the novel Si-18Mn-16Ti-11P alloy is more suitable for high-Si Al-Si alloys and may be a candidate for refining hypereutectic Al-Si alloy for electronic packaging applications. Moreover, studies showed that TiP is the only P-containing phase in Si-18Mn-16Ti-11P master alloy. A core-shell reaction model was established to reveal mechanism of the transformation of TiP to AlP in Al-Si melts. The transformation is a liquid-solid diffusion reaction driven by chemical potential difference and the reaction rate is controlled by diffusion. It means sufficient holding time is necessary for Si-18Mn-16Ti-11P master alloy to achieve better refining effect. Keywords: Hypereutectic Al-Si alloy, Primary Si, Refinement, AlP, Thermal expansion behavior, Si-18Mn-16Ti-11P master alloy

  18. Comparison of band-to-band tunneling models in Si and Si—Ge junctions

    International Nuclear Information System (INIS)

    Jiao Yipeng; Wang Taihuan; Wei Kangliang; Du Gang; Liu Xiaoyan

    2013-01-01

    We compared several different band-to-band tunneling (BTBT) models with both Sentaurus and the two-dimensional full-band Monte Carlo simulator in Si homo-junctions and Si—Ge hetero-junctions. It was shown that in Si homo-junctions, different models could achieve similar results. However, in the Si—Ge hetero-junctions, there were significant differences among these models at high reverse biases (over 2 V). Compared to the nonlocal model, the local models in Sentaurus underrated the BTBT rate distinctly, and the Monte Carlo method was shown to give a better approximation. Additionally, it was found that in the Si region near the interface of the Si—Ge hetero-junctions, the direct tunneling rates increased largely due to the interaction of the band structures of Si and Ge. (semiconductor physics)

  19. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  20. Forbidden energy band gap in diluted a-Ge{sub 1-x}Si{sub x}:N films

    Energy Technology Data Exchange (ETDEWEB)

    Guarneros, C.; Rebollo-Plata, B. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Lozada-Morales, R., E-mail: rlozada@fcfm.buap.mx [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Espinosa-Rosales, J.E. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Portillo-Moreno, J. [Facultad de Ciencias Quimicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Zelaya-Angel, O. [Departamento de Fisica, Centro de Investigacion y de Estudios Avanzados del IPN, PO Box 14-740, Mexico 07360 D.F. (Mexico)

    2012-06-01

    By means of electron gun evaporation Ge{sub 1-x}Si{sub x}:N thin films, in the entire range 0 {<=} x {<=} 1, were prepared on Si (100) and glass substrates. The initial vacuum reached was 6.6 Multiplication-Sign 10{sup -4} Pa, then a pressure of 2.7 Multiplication-Sign 10{sup -2} Pa of high purity N{sub 2} was introduced into the chamber. The deposition time was 4 min. Crucible-substrate distance was 18 cm. X-ray diffraction patterns indicate that all the films were amorphous (a-Ge{sub 1-x}Si{sub x}:N). The nitrogen concentration was of the order of 1 at% for all the films. From optical absorption spectra data and by using the Tauc method the energy band gap (E{sub g}) was calculated. The Raman spectra only reveal the presence of Si-Si, Ge-Ge, and Si-Ge bonds. Nevertheless, infrared spectra demonstrate the existence of Si-N and Ge-N bonds. The forbidden energy band gap (E{sub g}) as a function of x in the entire range 0 {<=} x {<=} 1 shows two well defined regions: 0 {<=} x {<=} 0.67 and 0.67 {<=} x {<=} 1, due to two different behaviors of the band gap, where for x > 0.67 exists an abruptly change of E{sub g}(x). In this case E{sub g}(x) versus x is different to the variation of E{sub g} in a-Ge{sub 1-x}Si{sub x} and a-Ge{sub 1-x}Si{sub x}:H. This fact can be related to the formation of Ge{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} when x {<=} 0.67, and to the formation of Si{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} for 0.67 {<=} x. - Highlights: Black-Right-Pointing-Pointer Nitrogen doped amorphous Ge{sub 1-x}Si{sub x} thin films are grown by electron gun technique. Black-Right-Pointing-Pointer Nitrogen atoms on E{sub g} of the a-Ge{sub 1-x}Si{sub x} films in the 0 Pound-Sign x Pound-Sign 1 range are analyzed. Black-Right-Pointing-Pointer Variation in 0 Pound-Sign x Pound-Sign 1 range shows a warped change of E{sub g} in 1.0 - 3.6 eV range. Black-Right-Pointing-Pointer The change in E{sub g}(x) behavior when x {approx} 0.67 was associated with Ge{sub 2}SiN{sub 4

  1. Crystallization and Martensitic Transformation Behavior of Ti-Ni-Si Alloy Ribbons Prepared via Melt Spinning.

    Science.gov (United States)

    Park, Ju-Wan; Kim, Yeon-Wook; Nam, Tae-Hyun

    2018-09-01

    Ti-(50-x)Ni-xSi (at%) (x = 0.5, 1.0, 3.0, 5.0) alloy ribbons were prepared via melt spinning and their crystallization procedure and transformation behavior were investigated using differential scanning calorimtry, X-ray diffraction, and transmission electron microscopy. Ti-Ni-Si alloy ribbons with Si content less than 1.0 at% were crystalline, whereas those with Si content more than 3.0 at% were amorphous. Crystallization occurred in the sequence of amorphous →B2 → B2 → Ti5Si4 + TiNi3 → B2 + Ti5Si4 + TiNi3 + TiSi in the Ti-47.0Ni-3.0Si alloy and amorphous →R → R + Ti5Si4 + TiNi3 → R + Ti5Si4 + TiNi3 + TiSi in the Ti-45.0Ni-5.0Si alloy. The activation energy for crystallization was 189 ±8.6 kJ/mol for the Ti-47Ni-3Si alloy and 212±8.6 kJ/mol for the Ti-45Ni-5Si alloy. One-stage B2-R transformation behavior was observed in Ti-49.5Ni-0.5Si, Ti-49.0Ni-1.0Si, and Ti-47.0Ni- 3.0Si alloy ribbons after heating to various temperatures in the range of 873 K to 1073 K. In the Ti-45.0Ni-5.0Si alloy, one-stage B2-R transformation occurred after heating to 893 K, two-stage B2-R-B19' occurred after heating to 973 K, and two-stage B2-R-B19' occurred on cooling and one-stage B19'-B2 occurred on heating, after heating to 1073 K.

  2. Model-independent determination of the strain distribution for a SiGe/Si superlattice using X-ray diffractometry data

    International Nuclear Information System (INIS)

    Nikulin, A.Y.; Stevenson, A.W.; Hashizume, H.

    1996-01-01

    The strain distribution in a Si 0.9 Ge 0.l/Si superlattice is determined from x-ray diffractometry data with a 25 Angstroms depth resolution. A logarithmic dispersion relation is used to determine the phase of the structure factor with information available a priori on the sample structure. Phase information is obtained from the observed reflection intensity via a logarithmic Hilbert transform and the a priori information is used to select the zeros to be included in the solution. The reconstructed lattice strain profile clearly resolves SiGe and Si layers of 90 - 160 Angstroms thickness alternately stacked on a silicon substrate. The SiGe layer is found to have a lattice spacing in the surface-normal direction significantly smaller than predicted by Vegard's law. The result is supported by very good agreement of the simulated rocking curve profile with the observation. 18 refs., 1 tab., 5 figs

  3. Effect of Synthesis Procedure on Thermoelectric Property of SiGe Alloy

    Science.gov (United States)

    Li, Jing; Han, Jun; Jiang, Tao; Luo, Lili; Xiang, Yongchun

    2018-05-01

    SiGe thermoelectric material has been synthesized by ball milling combined with hot pressing (HP) or spark plasma sintering (SPS). Effects of ball milling time, powder to ball weight ratio and sintering method on microstructure and thermoelectric properties of SiGe are studied. The results show that longer ball milling time leads to decreased density and worse electrical properties. In the sintering process, SPS results in much larger density and better electrical properties than HP. The Si0.795Ge0.2B0.005 sample prepared by 2 h ball milling combined with SPS obtains a maximum power factor of 3.0 mW m-1 K-2 at 860 K and ZT of 0.95 at 1000 K.

  4. The topotactic transformation of Ti3SiC2 into a partially ordered cubic Ti(C0.67Si0.06) phase by the diffusion of Si into molten cryolite

    International Nuclear Information System (INIS)

    Barsoum, M.W.; El-Raghy, T.; Farber, L.; Amer, M.; Christini, R.; Adams

    1999-01-01

    Immersion of Ti 3 SiC 2 samples in molten cryolite at 960 C resulted in the preferential diffusion of Si atoms out of the basal planes to form a partially ordered, cubic phase with approximate chemistry Ti(C 0.67 , Si 0.06 ). The latter forms in domains, wherein the (111) planes are related by mirror planes; i.e., the loss of Si results in the de-twinning of the Ti 3 C 2 layers. Raman spectroscopy, X-ray diffraction, optical, scanning and transmission electron microscopy all indicate that the Si exists the structure topotactically, in such a way that the C atoms remain partially in their ordered position in the cubic phase

  5. Improvement of photoluminescence from Ge layer with patterned Si{sub 3}N{sub 4} stressors

    Energy Technology Data Exchange (ETDEWEB)

    Oda, Katsuya, E-mail: Katsuya.Oda.cb@hitachi.com; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-04-30

    Lattice strain applied by patterned Si{sub 3}N{sub 4} stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si{sub 3}N{sub 4} stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si{sub 3}N{sub 4} layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si{sub 3}N{sub 4} stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si{sub 3}N{sub 4} stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si{sub 3}N{sub 4} stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si{sub 3}N{sub 4} stressors. • Photoluminescence (PL) intensity was increased with the Si{sub 3}N{sub 4} stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers.

  6. Analysis of Strain and Intermixing in a Single Layer Ge/Si dots using polarized Raman Spectroscopy

    OpenAIRE

    PEROVA, TANIA; MOORE, ROBERT

    2006-01-01

    PUBLISHED The built-in strain and composition of as-grown and Si-capped single layers of Ge?Si dots grown at various temperatures (460?800 ?C) are studied by a comparative analysis of the Ge-Ge and Si-Ge modes in the polarized Raman spectra of the dots. A pronounced reduction of the strain and Ge content in the dots after deposition of the cap layer at low temperatures is observed, indicating that strain-induced Si diffusion from the cap layer is occurring. For large dots grown at 700?800...

  7. Improving the opto-microwave performance of SiGe/Si phototransistor through edge-illuminated structure

    Science.gov (United States)

    Tegegne, Z. G.; Viana, C.; Polleux, J. L.; Grzeskowiak, M.; Richalot, E.

    2016-03-01

    This paper demonstrates the experimental study of edge and top illuminated SiGe phototransistors (HPT) implemented using the existing industrial SiGe2RF Telefunken GmbH BiCMOS technology for opto-microwave (OM) applications using 850nm Multi-Mode Fibers (MMF). Its technology and structure are described. Two different optical window size HPTs with top illumination (5x5μm2, 10x10μm2) and an edge illuminated HPTs having 5μm x5μm size are presented and compared. A two-step post fabrication process was used to create an optical access on the edge of the HPT for lateral illumination with a lensed MMF through simple polishing and dicing techniques. We perform Opto-microwave Scanning Near-field Optical Microscopy (OM-SNOM) analysis on edge and top illuminated HPTs in order to observe the fastest and the highest sensitive regions of the HPTs. This analysis also allows understanding the parasitic effect from the substrate, and thus draws a conclusion on the design aspect of SiGe/Si HPT. A low frequency OM responsivity of 0.45A/W and a cutoff frequency, f-3dB, of 890MHz were measured for edge illuminated HPT. Compared to the top illuminated HPT of the same size, the edge illuminated HPT improves the f-3dB by a factor of more than two and also improves the low frequency responsivity by a factor of more than four. These results demonstrate that a simple etched HPT is still enough to achieve performance improvements compared to the top illuminated HPT without requiring a complex coupling structure. Indeed, it also proves the potential of edge coupled SiGe HPT in the ultra-low-cost silicon based optoelectronics circuits with a new approach of the optical packaging and system integration to 850nm MMF.

  8. Influence of the crystallization process on the luminescence of multilayers of SiGe nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Avella, M.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.; Ortiz, M.I.; Ballesteros, C.

    2008-01-01

    Multilayers of SiGe nanocrystals embedded in an oxide matrix have been fabricated by low-pressure chemical vapor deposition of SiGe and SiO 2 onto Si wafers (in a single run at 390 deg. C and 50 mTorr, using GeH 4 , Si 2 H 6 and O 2 ) followed by a rapid thermal annealing treatment to crystallize the SiGe nanoparticles. The main emission band is located at 400 nm in both cathodoluminescence and photoluminescence experiments at 80 K and also at room temperature. The annealing conditions (temperatures ranging from 700 to 1000 deg. C and for times of 30 and 60 s) have been investigated in samples with different diameter of the nanoparticles (from ∼3 to ≥5 nm) and oxide interlayer thickness (15 and 35 nm) in order to establish a correlation between the crystallization of the nanoparticles, the degradation of their composition by Ge diffusion and the intensity of the luminescence emission band. Structures with small nanoparticles (3-4.5 nm) separated by thick oxide barriers (∼35 nm) annealed at 900 deg. C for 60 s yield the maximum intensity of the luminescence. An additional treatment at 450 deg. C in forming gas for dangling-bond passivation increases the intensity of the luminescence band by 25-30%

  9. Stable and low contact resistance electrical contacts for high temperature SiGe thermoelectric generators

    KAUST Repository

    Zhang, Bo; Zheng, Tao; Wang, Qingxiao; Guo, Zaibing; Kim, Moon J.; Alshareef, Husam N.; Gnade, Bruce E.

    2018-01-01

    that no interdiffusion takes place between TaAlN and SiGe. A specific contact resistivity of (2.1±1.3)×10−6Ω-cm2 for p-type SiGe and (2.8±1.6)×10−5 Ω-cm2 for n-type SiGe is demonstrated after the high temperature annealing. These results show that TaAlN is a promising

  10. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  11. Structure of Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Rawn, C.J.; Payzant, E.A.; Hubbard, C.R. [Oak Ridge National Lab., TN (United States); Barsoum, M.W.; El-Raghy, T. [Drexel Univ., Philadelphia, PA (United States). Dept. of Materials Engineering

    1998-11-01

    Earlier high temperature structure analysis by neutron powder diffraction suggested that Si vacancies were created when Ti{sub 3}SiC{sub 2} was heated. A specimen that was heated to 906 C overnight was later examined at room temperature. For this subsequent room temperature data set refinement of the Si site occupancies in the Ti{sub 3}SiC{sub 2} structure did not support the hypothesis that Si vacancies were being created when the sample was held at elevated temperatures in a vacuum furnace.

  12. Electron Spin Coherence Times in Si/SiGe Quantum Dots

    Science.gov (United States)

    Jock, R. M.; He, Jianhua; Tyryshkin, A. M.; Lyon, S. A.; Lee, C.-H.; Huang, S.-H.; Liu, C. W.

    2014-03-01

    Single electron spin states in silicon have shown a great deal of promise as qubits due to their long spin relaxation (T1) and coherence (T2) times. Recent results exhibit a T2 of 250 us for electrons confined in Si/SiGe quantum dots at 350 mK. These experiments used conventional X-band (10 GHz) pulsed Electron Spin Resonance on a large area (3.5 mm x 20 mm), dual-gated, undoped Si/SiGe heterostructure quantum dots. These dots are induced in a natural Si quantum well by e-beam defined gates having a lithographic radius of 150 nm and pitch of 700 nm. The relatively large size of these dots led to closely spaced energy levels and long T2's could only be measured at sub-Kelvin temperatures. At 2K confined electrons displayed a 3 us T2, which is comparable to that of 2D electrons at that temperature. Decreasing the quantum dot size increases the electron confinement and reduces the effects of valley-splitting and spin-orbit coupling on the electron spin coherence times. We will report results on dots with 80 nm lithographic radii and a 375 nm pitch. This device displays an extended electron coherence time of 30 us at 2K, suggesting tighter confinement of electrons. Further measurements at lower temperatures are in progress. This work was supported in part by NSF through the Materials World Network program (DMR-1107606) and the Princeton MRSEC (DMR-0819860), and in part by the U.S. Army Research Office (W911NF-13-1-0179).

  13. Thermally oxidized formation of new Ge dots over as-grown Ge dots in the Si capping layer

    International Nuclear Information System (INIS)

    Nie Tianxiao; Lin Jinhui; Shao Yuanmin; Wu Yueqin; Yang Xinju; Fan Yongliang; Jiang Zuimin; Chen Zhigang; Zou Jin

    2011-01-01

    A Si-capped Ge quantum dot sample was self-assembly grown via Stranski-Krastanov mode in a molecular beam epitaxy system with the Si capping layer deposited at 300 deg. C. After annealing the sample in an oxygen atmosphere at 1000 deg. C, a structure, namely two layers of quantum dots, was formed with the newly formed Ge-rich quantum dots embedded in the oxidized matrix with the position accurately located upon the as-grown quantum dots. It has been found that the formation of such nanostructures strongly depends upon the growth temperature and oxygen atmosphere. A growth mechanism was proposed to explain the formation of the nanostructure based on the Ge diffusion from the as-grown quantum dots, Ge segregation from the growing oxide, and subsequent migration/agglomeration.

  14. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  15. Effects of carbon on phosphorus diffusion in SiGe:C and the implications on phosphorus diffusion mechanisms

    International Nuclear Information System (INIS)

    Lin, Yiheng; Xia, Guangrui; Yasuda, Hiroshi; Wise, Rick; Schiekofer, Manfred; Benna, Bernhard

    2014-01-01

    The use of carbon (C) in SiGe base layers is an important approach to control the base layer dopant phosphorus (P) diffusion and thus enhance PNP heterojunction bipolar transistor (HBT) performance. This work quantitatively investigated the carbon impacts on P diffusion in Si 0.82 Ge 0.18 :C and Si:C under rapid thermal anneal conditions. The carbon molar fraction is up to 0.32%. The results showed that the carbon retardation effect on P diffusion is less effective for Si 0.82 Ge 0.18 :C than for Si:C. In Si 0.82 Ge 0.18 :C, there is an optimum carbon content at around 0.05% to 0.1%, beyond which more carbon incorporation does not retard P diffusion any more. This behavior is different from the P diffusion behavior in Si:C and the B in Si:C and low Ge SiGe:C, which can be explained by the decreased interstitial-mediated diffusion fraction f I P, SiGe to 95% as Ge content increases to 18%. Empirical models were established to calculate the time-averaged point defect concentrations and effective diffusivities as a function of carbon and was shown to agree with previous studies on boron, phosphorus, arsenic and antimony diffusion with carbon.

  16. SiGe HBTs Optimization for Wireless Power Amplifier Applications

    Directory of Open Access Journals (Sweden)

    Pierre-Marie Mans

    2010-01-01

    Full Text Available This paper deals with SiGe HBTs optimization for power amplifier applications dedicated to wireless communications. In this work, we investigate the fT-BVCEO tradeoff by various collector optimization schemes such as epilayer thickness and dopant concentration, and SIC and CAP characteristics. Furthermore, a new trapezoidal base Germanium (Ge profile is proposed. Thanks to this profile, precise control of Ge content at the metallurgical emitter-base junction is obtained. Gain stability is obtained for a wide range of temperatures through tuning the emitter-base junction Ge percent. Finally, a comprehensive investigation of Ge introduction into the collector (backside Ge profile is conducted in order to improve the fT values at high injection levels.

  17. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  18. Formation of Ge dot or film in Ge/Si heterostructure by using sub-monolayer carbon deposition on top and in-situ post annealing

    Energy Technology Data Exchange (ETDEWEB)

    Itoh, Yuhki, E-mail: itoh.yuhki@ecei.tohoku.ac.jp; Hatakeyama, Shinji; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    Effects of carbon (C) atoms on solid-phase epitaxial growth of Ge on Si(100) have been studied. C and Ge layers were deposited on Si(100) substrates at low temperature (150–300 °C) by using solid-source molecular beam epitaxy (MBE) system and subsequently annealed at 650 °C in the MBE chamber. The surface morphology after annealing changed depending on deposited amounts of C and deposition temperature of Ge. Ge dots were formed for small amounts of C while smooth Ge films were formed by large amounts of C varying with the Ge deposition temperature. The surface morphology after annealing was also affected by the as-deposited Ge crystallinity. The change in surface morphology depending on the amounts of deposited C was considered to be affected by the formation of Ge–C bonds which relieved the misfit strain between Ge and Si. The crystallinity of Ge deteriorated with increasing C coverage due to the incorporation of insoluble C atoms in the shape of both dots and films. - Highlights: • Effects of carbon on solid-phase epitaxy of C/Ge/Si(100) were studied. • Surface morphology changed depending on C amounts and Ge deposition temperature. • Solid-phase growth of Ge changed from large dots to smooth films with C coverage. • Transition of surface morphology was affected by the formation of Ge–C bonds.

  19. Quantum confinement in Si and Ge nanostructures: Theory and experiment

    International Nuclear Information System (INIS)

    Barbagiovanni, Eric G.; Lockwood, David J.; Simpson, Peter J.; Goncharova, Lyudmila V.

    2014-01-01

    The role of quantum confinement (QC) in Si and Ge nanostructures (NSs) including quantum dots, quantum wires, and quantum wells is assessed under a wide variety of fabrication methods in terms of both their structural and optical properties. Structural properties include interface states, defect states in a matrix material, and stress, all of which alter the electronic states and hence the measured optical properties. We demonstrate how variations in the fabrication method lead to differences in the NS properties, where the most relevant parameters for each type of fabrication method are highlighted. Si embedded in, or layered between, SiO 2 , and the role of the sub-oxide interface states embodies much of the discussion. Other matrix materials include Si 3 N 4 and Al 2 O 3 . Si NSs exhibit a complicated optical spectrum, because the coupling between the interface states and the confined carriers manifests with varying magnitude depending on the dimension of confinement. Ge NSs do not produce well-defined luminescence due to confined carriers, because of the strong influence from oxygen vacancy defect states. Variations in Si and Ge NS properties are considered in terms of different theoretical models of QC (effective mass approximation, tight binding method, and pseudopotential method). For each theoretical model, we discuss the treatment of the relevant experimental parameters

  20. Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations

    Science.gov (United States)

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun

    2017-01-01

    The structural, mechanical, elastic anisotropic, and electronic properties of Pbca-XN (X = C, Si, Ge) are investigated in this work using the Perdew–Burke–Ernzerhof (PBE) functional, Perdew–Burke–Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA–PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca-CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca-XN (X = C, Si, Ge) show that Pbca-SiN and Pbca-GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca-XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson’s ratio, bulk modulus, shear modulus, Young’s modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca-CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young’s modulus than the (100) plane. PMID:28786960

  1. Si0.85Ge0.15 oxynitridation in nitric oxide/nitrous oxide ambient

    International Nuclear Information System (INIS)

    Dasgupta, Anindya; Takoudis, Christos G.; Lei Yuanyuan; Browning, Nigel D.

    2003-01-01

    Low temperature, nitric oxide (NO)/nitrous oxide (N 2 O) aided, sub-35 Aa Si 0.85 Ge 0.15 oxynitrides have been grown at 550 and 650 deg. C, while the oxynitridation feed gases have been preheated to 900 and 1000 deg. C, respectively, before entering the reaction zone. X-ray photoelectron spectroscopy and secondary ion mass spectroscopy (SIMS) data suggest that NO-assisted oxynitridation incorporates more nitrogen than the N 2 O-assisted one, while there is minimal Ge segregation towards the dielectric/substrate interface in both oxynitridation processes. Moreover, SIMS results suggest that nitrogen is distributed throughout the film in contrast to high temperature Si oxynitridation, where nitrogen incorporation takes place near the dielectric/substrate interface. Z-contrast imaging with scanning transmission electron microscopy shows that the oxynitride grown in NO at 650 degree sign C has a sharp interface with the bulk Si 0.85 Ge 0.15 , while the roughness of the dielectric/Si 0.85 Ge 0.15 substrate interface is less than 2 Aa. These results are discussed in the context of an overall mechanism of SiGe oxynitridation

  2. Hole spin coherence in a Ge/Si heterostructure nanowire

    DEFF Research Database (Denmark)

    Higginbotham, Andrew P; Larsen, Thorvald Wadum; Yao, Jun

    2014-01-01

    Relaxation and dephasing of hole spins are measured in a gate-defined Ge/Si nanowire double quantum dot using a fast pulsed-gate method and dispersive readout. An inhomogeneous dephasing time T2(*)≈ 0.18 μs exceeds corresponding measurements in III-V semiconductors by more than an order of magnit......Relaxation and dephasing of hole spins are measured in a gate-defined Ge/Si nanowire double quantum dot using a fast pulsed-gate method and dispersive readout. An inhomogeneous dephasing time T2(*)≈ 0.18 μs exceeds corresponding measurements in III-V semiconductors by more than an order...

  3. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  4. Formation Mechanism of Ge Nanocrystals Embedded in SiO2 Studied by Fluorescence X-Ray Absorption Fine Structure

    International Nuclear Information System (INIS)

    Yan Wensheng; Li Zhongrui; Sun Zhihu; Wei Shiqiang; Kolobov, A. V.

    2007-01-01

    The formation mechanism of Ge nanocrystals for Ge (60 mol%) embedded in a SiO2 matrix grown on Si(001) and quartz-glass substrates was studied by fluorescence x-ray absorption fine structure (XAFS). It was found that the formation of Ge nanocrystals strongly depends on the properties of the substrate materials. In the as-prepared samples, Ge atoms exist in amorphous Ge and GeO2 phases. At the annealing temperature of 1073 K, on the quartz-glass substrate, Ge nanocrystals are only formed predominantly from the amorphous Ge phase in the as-prepared sample. However, on the Si(100) substrate the Ge nanocrystals are generated partly from amorphous Ge, and partly from GeO2 phases through the permutation reaction with Si substrate. Quantitative analysis revealed that about 10% of GeO2 in as-prepared sample permutated with Si in the wafer and formed Ge nanocrystals

  5. Laser alloying of AI with mixed Ni, Ti and SiC powders

    CSIR Research Space (South Africa)

    Mabhali, Luyolo AB

    2010-03-01

    Full Text Available composite (MMC) is formed. The MMC layer has excellent hardness and wear resistance compared to the base alloy [9-13]. Man et al. [14] used a high power continuous wave Nd:YAG laser to alloy aluminium AA 6061 with preplaced NiTi (54 wt% Ni & 46 wt...Al, Ti3Al, SiC, Al and Si phases. The hardness increased from 75HV to 650HV due to the formation of the TiC particles and TiAl and Ti3Al intermetallics. Su and Lei [9] laser cladded Al-12wt%Si with a powder containing SiC and Al-12wt%Si in a 3...

  6. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  7. Exploration of R2XM2 (R=Sc, Y, Ti, Zr, Hf, rare earth; X=main group element; M=transition metal, Si, Ge): Structural Motifs, the novel Compound Gd2AlGe2 and Analysis of the U3Si2 and Zr3Al2 Structure Types

    Energy Technology Data Exchange (ETDEWEB)

    McWhorter, Sean William [Iowa State Univ., Ames, IA (United States)

    2006-01-01

    In the process of exploring and understanding the influence of crystal structure on the system of compounds with the composition Gd5(SixGe1-x)4 several new compounds were synthesized with different crystal structures, but similar structural features. In Gd5(SixGe1-x)4, the main feature of interest is the magnetocaloric effect (MCE), which allows the material to be useful in magnetic refrigeration applications. The MCE is based on the magnetic interactions of the Gd atoms in the crystal structure, which varies with x (the amount of Si in the compound). The crystal structure of Gd5(SixGe1-x)4 can be thought of as being formed from two 32434 nets of Gd atoms, with additional Gd atoms in the cubic voids and Si/Ge atoms in the trigonal prismatic voids. Attempts were made to substitute nonmagnetic atoms for magnetic Gd using In, Mg and Al. Gd2MgGe2 and Gd2InGe2 both possess the same 32434 nets of Gd atoms as Gd5(SixGe1-x)4, but these nets are connected differently, forming the Mo2FeB2 crystal structure. A search of the literature revealed that compounds with the composition R2XM2 (R=Sc, Y, Ti, Zr, Hf, rare earth; X=main group element; M=transition metal, Si, Ge) crystallize in one of four crystal structures: the Mo2FeB2, Zr3Al2, Mn2AlB2 and W2CoB2 crystal structures. These crystal structures are described, and the relationships between them are highlighted. Gd2AlGe2 forms an entirely new crystal structure, and the details of its synthesis and characterization are given. Electronic structure calculations are performed to understand the nature of bonding in this compound and how

  8. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  9. Parametric investigation of the formation of epitaxial Ti{sub 3}SiC{sub 2} on 4H-SiC from Al-Ti annealing

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Tannous, T., E-mail: tony.abi-tannous@insa-lyon.fr [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France); Soueidan, M. [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France); Ferro, G. [Université de Lyon, CNRS, Laboratoire des Multimatériaux et Interfaces, UMR 5615, F-69622 (France); Lazar, M. [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France); Toury, B. [Université de Lyon, CNRS, Laboratoire des Multimatériaux et Interfaces, UMR 5615, F-69622 (France); Beaufort, M.F.; Barbot, J.F. [Institut Pprime CNRS - Université de Poitiers - ENSMA - UPR 3346 Département Physique et Mécanique des Matériaux SP2MI 86962 Futuroscope Chasseneuil Cedex (France); Penuelas, J. [Université de Lyon, Institut des Nanotechnologies de Lyon, UMR CNRS 5270, 69134 ECULLY Cedex (France); Planson, D. [Université de Lyon, CNRS, Laboratoire Ampère, INSA-Lyon, UMR 5005, F-69621 (France)

    2015-08-30

    Highlights: • Growth of Ti{sub 3}SiC{sub 2} thin films onto 4H-SiC (0 0 0 1) 8° and 4°-off substrates. • High temperature application for SiC ohmic contact. • Thermal annealing of Ti{sub -}Al layers. • Influence of the composition in the Ti{sub x}Al{sub 1−x} alloy was investigated. • Influence of the annealing temperature (900–1200 °C) after deposition was investigated. • The structural investigations were mainly performed by using X-ray diffraction (XRD), and transmission electron microscopy (TEM). • Elementary and profile characterization were performed using X-Ray photoelectron spectroscopy (XPS). - Abstract: The growth of Ti{sub 3}SiC{sub 2} thin films was studied onto 4H-SiC (0 0 0 1) 8° and 4°-off substrates by thermal annealing of Ti{sub x}Al{sub 1−x} (0.5 ≤ x ≤ 1) layers. The annealing time was fixed at 10 min under Argon atmosphere. The synthesis conditions were also investigated according to the annealing temperature (900–1200 °C) after deposition. X-Ray Diffraction (XRD) and Transmission Electron Microscope (TEM) show that the layer of Ti{sub 3}SiC{sub 2} is epitaxially grown on the 4H-SiC substrate. In addition the interface looks sharp and smooth with evidence of interfacial ordering. Moreover, during the annealing procedure, the formation of unwanted aluminum oxide was detected by using X-Ray Photoelectron Spectroscopy (XPS); this layer can be removed by using a specific annealing procedure.

  10. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  11. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  12. Full-Field Strain Mapping at a Ge/Si Heterostructure Interface

    Directory of Open Access Journals (Sweden)

    Buwen Cheng

    2013-05-01

    Full Text Available The misfit dislocations and strain fields at a Ge/Si heterostructure interface were investigated experimentally using a combination of high-resolution transmission electron microscopy and quantitative electron micrograph analysis methods. The type of misfit dislocation at the interface was determined to be 60° dislocation and 90° full-edge dislocation. The full-field strains at the Ge/Si heterostructure interface were mapped by using the geometric phase analysis (GPA and peak pairs analysis (PPA, respectively. The effect of the mask size on the GPA and PPA results was analyzed in detail. For comparison, the theoretical strain fields of the misfit dislocations were also calculated by the Peierls-Nabarro and Foreman dislocation models. The results showed that the optimal mask sizes in GPA and PPA were approximately three tenths and one-tenth of the reciprocal lattice vector, respectively. The Foreman dislocation model with an alterable factor a = 4 can best describe the strain field of the misfit dislocation at the Ge/Si heterostructure interface.

  13. Microstructure, Wear Resistance and Oxidation Behavior of Ni-Ti-Si Coatings Fabricated on Ti6Al4V by Laser Cladding

    Directory of Open Access Journals (Sweden)

    Qiaoqiao Zhuang

    2017-10-01

    Full Text Available The Ni-Ti-Si composite coatings were successfully fabricated on Ti6Al4V by laser cladding. The microstructure were studied by SEM (scanning electron microscopy and EDS (energy dispersive spectrometer. It has been found that Ti2Ni and Ti5Si3 phases exist in all coatings, and some samples have TiSi2 phases. Moreover, due to the existence of these phases, coatings presented relatively higher microhardness than that of the substrate (826 HV (Vickers hardness and the microhardness value of coating 3 is about twice larger than that of the substrate. During the dry sliding friction and wear test, due to the distribution of the relatively ductile phase of Ti2Ni and reinforcement phases of Ti5Si3 and TiSi2, the coatings performed good wear resistance. The oxidation process contains two stages: the rapid oxidation and slow oxidation by high temperature oxidation test at 800 °C for 50 h. Meanwhile, the value of the oxidation weight gain of the substrate is approximately three times larger than that of the coating 4. During the oxidation process, the oxidation film formed on the coating is mainly consisted of TiO2, Al2O3 and SiO2. Phases Ti2Ni, Ti5Si3, TiSi2 and TiSi were still found and it could be responsible for the improvement in oxidation resistance of the coatings by laser cladding.

  14. Sulfur passivation for the formation of Si-terminated Al{sub 2}O{sub 3/}SiGe(0 0 1) interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, CA (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, CA (United States); Tang, Kechao [Department of Materials Science and Engineering, Stanford University, CA (United States); Park, Sangwook; Kim, Hyonwoong [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, CA (United States); Madisetti, Shailesh [Department of Nanoscale Science and Engineering, University at Albany—State University of New York, Albany, NY (United States); McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, CA (United States); Oktyabrsky, Serge [Department of Nanoscale Science and Engineering, University at Albany—State University of New York, Albany, NY (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES USA, Inc., Albany, NY (United States); Yoshida, Noami; Kachian, Jessica [Applied Materials, Inc., Santa Clara, CA (United States); Kummel, Andrew, E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States)

    2016-03-15

    Graphical abstract: - Highlights: • Effect of wet sulfur passivation on the electrical properties of Al{sub 2}O{sub 3}/SiGe(0 0 1) interfaces has been determined. • EOT of 2.1 nm has been achieved for ALD Al{sub 2}O{sub 3} deposited directly on SiGe(0 0 1) surfaces. • Sulfur passivation has been found to passivate the Al{sub 2}O{sub 3} interface with Si−O−Al bonds. • Sulfur passivation is found to significantly reduce the GeO{sub x} or Ge−O−Al content at the Al{sub 2}O{sub 3}/SiGe interface therefore improving the reliability. • Sulfur passivation extends the surface stability prior to oxide ALD to up to an hour with no dramatic change in D{sub it}, C{sub ox} or V{sub FB} of the resulting devices. - Abstract: Sulfur passivation is used to electrically and chemically passivate the silicon–germanium (SiGe) surfaces before and during the atomic layer deposition (ALD) of aluminum oxide (Al{sub 2}O{sub 3}). The electrical properties of the interfaces were examined by variable frequency capacitance–voltage (C–V) spectroscopy. Interface compositions were determined by angle-resolved X-ray photoelectron spectroscopy (AR-XPS). The sulfur adsorbs to a large fraction of surface sites on the SiGe(0 0 1) surface, protecting the surface from deleterious surface reactions during processing. Sulfur passivation (a) improved the air stability of the cleaned surfaces prior to ALD, (b) increased the stability of the surface during high-temperature deposition, and (c) increased the Al{sub 2}O{sub 3} ALD nucleation density on SiGe, thereby lowering the leakage current. S passivation suppressed formation of Ge−O bonds at the interface, leaving the majority of the Al{sub 2}O{sub 3}–SiGe interface terminated with direct Si−O−Al bonding.

  15. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  16. Atomic-scale Ge diffusion in strained Si revealed by quantitative scanning transmission electron microscopy

    Science.gov (United States)

    Radtke, G.; Favre, L.; Couillard, M.; Amiard, G.; Berbezier, I.; Botton, G. A.

    2013-05-01

    Aberration-corrected scanning transmission electron microscopy is employed to investigate the local chemistry in the vicinity of a Si0.8Ge0.2/Si interface grown by molecular-beam epitaxy. Atomic-resolution high-angle annular dark field contrast reveals the presence of a nonuniform diffusion of Ge from the substrate into the strained Si thin film. On the basis of multislice calculations, a model is proposed to quantify the experimental contrast, showing that the Ge concentration in the thin film reaches about 4% at the interface and decreases monotonically on a typical length scale of 10 nm. Diffusion occurring during the growth process itself therefore appears as a major factor limiting the abruptness of interfaces in the Si-Ge system.

  17. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  18. Ge/Si core/multi shell heterostructure FETs

    Energy Technology Data Exchange (ETDEWEB)

    Picraux, Samuel T [Los Alamos National Laboratory; Dayeh, Shadi A [Los Alamos National Laboratory

    2010-01-01

    Concentric heterostructured materials provide numerous design opportunities for engineering strain and interfaces, as well as tailoring energy band-edge combinations for optimal device performance. Key to the realization of such novel device concepts is the complete understanding and full control over their growth, crystal structure, and hetero-epitaxy. We report here on a new route for synthesizing Ge/Si core/multi-shell heterostructure nanowires that eliminate Au seed diffusion on the nanowire sidewalls by engineering the interface energy density difference. We show that such control over core/shell synthesis enable experimental realization of heterostructure FET devices beyond those available in the literature with enhanced transport characteristics. We provide a side-by-side comparison on the transport properties of Ge/Si core/multi-shell nanowires grown with and without Au diffusion and demonstrate heterostructure FETs with drive currents that are {approx} 2X higher than record results for p-type FETs.

  19. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  20. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  1. The Relationship between Nanocluster Precipitation and Thermal Conductivity in Si/Ge Amorphous Multilayer Films: Effects of Cu Addition

    Directory of Open Access Journals (Sweden)

    Ahmad Ehsan Mohd Tamidi

    2016-01-01

    Full Text Available We have used a molecular dynamics technique to simulate the relationship between nanocluster precipitation and thermal conductivity in Si/Ge amorphous multilayer films, with and without Cu addition. In the study, the Green-Kubo equation was used to calculate thermal conductivity in these materials. Five specimens were prepared: Si/Ge layers, Si/(Ge + Cu layers, (Si + Cu/(Ge + Cu layers, Si/Cu/Ge/Cu layers, and Si/Cu/Ge layers. The number of precipitated nanoclusters in these specimens, which is defined as the number of four-coordinate atoms, was counted along the lateral direction of the specimens. The observed results of precipitate formation were considered in relation to the thermal conductivity results. Enhancement of precipitation of nanoclusters by Cu addition, that is, densification of four-coordinate atoms, can prevent the increment of thermal conductivity. Cu dopant increases the thermal conductivity of these materials. Combining these two points, we concluded that Si/Cu/Ge is the best structure to improve the conversion efficiency of the Si/Ge amorphous multilayer films.

  2. Preparation and study of nanostructured TiAlSiN thin films

    Directory of Open Access Journals (Sweden)

    Jakab-Farkas L.

    2011-12-01

    Full Text Available TiAlSiN thin film coatings were deposited by DC reactive magnetron sputtering of TiAlSi target with 40 at.% Ti, 40 at.% Al and 20 at.% Si, performed in N2-Ar gas mixture. The sputtering power used in these experiments was controlled for 400 W. The bias voltage of the substrates was kept at -20 V DC and the temperature at 500 0C. All the samples were prepared with a constant flow rate of Ar and different nitrogen flow rates, which were selected from 1.25 sccm to 4.0 sccm. Nanostructured TiAlSiN coatings were developed on Si(100 and HSS substrates. Microstructure investigation of the coatings was performed by transmission electron microscopy investigation, structure investigation was performed by XRD analysis, and the mechanical properties of the coatings have been tested by ball-on-disk tribological investigation and micro-Vickers hardness measurements. In this paper will be shown that for optimized nitrogen concentration the microstructure of TiAlSiN coating evolve from a competitive columnar growth to a dendritic growth one with very fine nano-lamellae like morphology. The developed nanostructured TiAlSiN coatingshave hardness HV exceeding 40 GPa and show an increased abrasive wear resistance

  3. Effects of interstitial additions on the structure of Ti5Si3

    International Nuclear Information System (INIS)

    Williams, J. J.; Kramer, M. J.; Akinc, M.; Malik, S. K.

    2000-01-01

    Changes in the structure of Ti 5 Si 3 were measured by x-ray and neutron diffraction as carbon, nitrogen, or oxygen atoms were systematically incorporated into the lattice. Additionally, the lattice parameters and variable atomic positions of pure Ti 5 Si 3 were determined to be a=7.460 Aa, c=5.152 Aa, x Ti =0.2509, and x Si =0.6072. The measured trends in lattice parameters as carbon, nitrogen, or oxygen atoms were added to Ti 5 Si 3 showed that most of the previous studies on supposedly pure Ti 5 Si 3 were actually contaminated by these pervasive light elements. Also, oxygen and carbon additions were shown to strongly draw in the surrounding titanium atoms--evidence for bonding between these atoms. The bonding changes that occurred on addition of carbon, nitrogen, or oxygen acted to decrease the measured anisotropic properties of Ti 5 Si 3 , such as thermal expansion. (c) 2000 Materials Research Society

  4. Electronic structure of LaFe{sub 2}X{sub 2} (X = Si,Ge)

    Energy Technology Data Exchange (ETDEWEB)

    Hase, I., E-mail: i.hase@aist.go.jp [Electronics and Photonics Research Institute, AIST, Tsukuba, Ibaraki 305-8568 (Japan); Yanagisawa, T. [Electronics and Photonics Research Institute, AIST, Tsukuba, Ibaraki 305-8568 (Japan)

    2011-11-15

    We have calculated the electronic structure of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} from first-principles. The obtained Fermi surfaces of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} resemble those of LaRu{sub 2}Ge{sub 2}, which well explains the result of the dHvA experiments of CeRu{sub 2}Ge{sub 2}. Their density of states curves show the common feature with CaFe{sub 2}As{sub 2}. D(E{sub F}) strongly depends on the distortion of the FeX{sub 4} tetrahedra and/or the height of the X atom, as also found in iron-pnictide system. Recently found iron-pnictide superconductor (Ba,K)Fe{sub 2}As{sub 2} and the heavy-fermion superconductor CeCu{sub 2}Si{sub 2} both have the same crystal structure. In this paper we have calculated the electronic structure of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} from first-principles. These compounds also have the same crystal structure and closely related to both of (Ba,K)Fe{sub 2}As{sub 2} and CeRu{sub 2}Ge{sub 2}. The obtained Fermi surfaces of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} resemble those of LaRu{sub 2}Ge{sub 2}, which are already found that they well explain the results of the dHvA experiments of CeRu{sub 2}Ge{sub 2}. Their density of states curves show the common feature with CaFe{sub 2}As{sub 2}. The density of states at the Fermi level strongly depends on the distortion of the FeX{sub 4} tetrahedra and/or the height of the X atom from the two-dimensional Fe plane, as also found in iron-pnictide system. The electronic specific heat coefficient is 11.8 mJ/mol K{sup 2} for LaFe{sub 2}Si{sub 2} and 12.5 mJ/mol K{sup 2} for LaFe{sub 2}Ge{sub 2}, which is about 1/3 and 1/2 of experimental results, respectively.

  5. The hidden secrets of the E-center in Si and Ge

    International Nuclear Information System (INIS)

    Larsen, Arne Nylandsted; Mesli, Abdelmadjid

    2007-01-01

    The group- V vacancy pair, the so-called E-center, has recently been demonstrated to have, both in Si and Ge, more complicated energy-level schemes in the energy gap than were previously assumed. The E-center in silicon has, in addition to its well-established single-acceptor level in the upper half of the band gap, also a donor level in the lower half of the band gap; this donor level has lain hidden for more than 40 years. The E-center in Ge has an even more complicated level scheme as it induces, in addition to two levels analogous to those found in Si, also a double-acceptor level in the upper half of the band gap. Thus the E-center in Si can exist in three charge states and the E-center in Ge in four

  6. Characterization of Si sub 1 sub - sub x Ge sub x thin films prepared by sputtering

    CERN Document Server

    Noguchi, T

    2000-01-01

    By bombarding solid targets, we deposited Si sub 1 sub - sub x Ge sub x thin films by sputtering without using inflammable CVD (chemical vapor deposition) gases. After the B sup + -implanted Si sub 1 sub - sub x Ge sub x films were thermally annealed, they were characterized. As the content of Ge increased, the refractive index increased and the band edge narrowed. The higher the annealing temperature, the lower the resistivity. For Si sub 1 sub - sub x Ge sub x films with a high Ge content (X approx 0.5), the flat-band voltage of the gate deduced from C-V curve was adjusted to the middle point between p sup + and n sup + polySi gates. Boron-doped SiGe films are promising gate materials for MOS (metal oxide semiconductor) and SOI (silicon on insulator) transistors driven at low driving voltage.

  7. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian

    2017-03-01

    In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N+ pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.

  8. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate.

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian

    2017-12-01

    In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N + pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g  = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.

  9. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  10. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  11. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  12. Step-driven surface segregation and ordering during Si-Ge MBE growth

    International Nuclear Information System (INIS)

    Jesson, D.E.; Pennycook, S.J.; Baribeau, J.M.; Houghton, D.C.

    1992-06-01

    An important role of type S B step edges in determining the as-grown microstructure of Si-Ge superlattices and alloys is implicated from direct Z-contrast images of as-grown structures. A variety of different ordered phase variants can arise at each Si on Ge interface as a result of vertical segregation during superlattice growth. A new monoclinic-ordered structure is predicted to arise as a result of lateral segregation during alloy growth

  13. Microstructure and wear property of the Ti5Si3/TiC reinforced Co-based coatings fabricated by laser cladding on Ti-6Al-4V

    Science.gov (United States)

    Weng, Fei; Yu, Huijun; Liu, Jianli; Chen, Chuanzhong; Dai, Jingjie; Zhao, Zhihuan

    2017-07-01

    Ti5Si3/TiC reinforced Co-based composite coatings were fabricated on Ti-6Al-4V titanium alloy by laser cladding with Co42 and SiC mixture. Microstructure and wear property of the cladding coatings with different content of SiC were investigated. During the cladding process, the original SiC dissolved and reacted with Ti forming Ti5Si3 and TiC. The complex in situ formed phases were found beneficial to the improvement of the coating property. Results indicated that the microhardness of the composite coatings was enhanced to over 3 times the substrate. The wear resistance of the coatings also showed distinct improvement (18.4-57.4 times). More SiC gave rise to better wear resistance within certain limits. However, too much SiC (20 wt%) was not good for the further improvement of the wear property.

  14. A self-ordered, body-centered tetragonal superlattice of SiGe nanodot growth by reduced pressure CVD

    Science.gov (United States)

    Yamamoto, Yuji; Zaumseil, Peter; Capellini, Giovanni; Schubert, Markus Andreas; Hesse, Anne; Albani, Marco; Bergamaschini, Roberto; Montalenti, Francesco; Schroeder, Thomas; Tillack, Bernd

    2017-12-01

    Self-ordered three-dimensional body-centered tetragonal (BCT) SiGe nanodot structures are fabricated by depositing SiGe/Si superlattice layer stacks using reduced pressure chemical vapor deposition. For high enough Ge content in the island (>30%) and deposition temperature of the Si spacer layers (T > 700 °C), we observe the formation of an ordered array with islands arranged in staggered position in adjacent layers. The in plane periodicity of the islands can be selected by a suitable choice of the annealing temperature before the Si spacer layer growth and of the SiGe dot volume, while only a weak influence of the Ge concentration is observed. Phase-field simulations are used to clarify the driving force determining the observed BCT ordering, shedding light on the competition between heteroepitaxial strain and surface-energy minimization in the presence of a non-negligible surface roughness.

  15. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  16. Single photon detection in a waveguide-coupled Ge-on-Si lateral avalanche photodiode.

    Science.gov (United States)

    Martinez, Nicholas J D; Gehl, Michael; Derose, Christopher T; Starbuck, Andrew L; Pomerene, Andrew T; Lentine, Anthony L; Trotter, Douglas C; Davids, Paul S

    2017-07-10

    We examine gated-Geiger mode operation of an integrated waveguide-coupled Ge-on-Si lateral avalanche photodiode (APD) and demonstrate single photon detection at low dark count for this mode of operation. Our integrated waveguide-coupled APD is fabricated using a selective epitaxial Ge-on-Si growth process resulting in a separate absorption and charge multiplication (SACM) design compatible with our silicon photonics platform. Single photon detection efficiency and dark count rate is measured as a function of temperature in order to understand and optimize performance characteristics in this device. We report single photon detection of 5.27% at 1310 nm and a dark count rate of 534 kHz at 80 K for a Ge-on-Si single photon avalanche diode. Dark count rate is the lowest for a Ge-on-Si single photon detector in this range of temperatures while maintaining competitive detection efficiency. A jitter of 105 ps was measured for this device.

  17. Ge incorporation inside 4H-SiC during Homoepitaxial growth by chemical vapor deposition

    OpenAIRE

    Alassaad, Kassem; Soulière, Véronique; Cauwet, François; Peyre, Hervé; Carole, Davy; Kwasnicki, Pawel; Juillaguet, Sandrine; Kups, Thomas; Pezoldt, Jörg; Ferro, Gabriel

    2014-01-01

    8 pages; International audience; In this work, we report on the addition of GeH4 gas during homoepitaxial growth of 4H-SiC by chemical vapour deposition. Ge introduction does not affect dramatically the surface morphology and defect density though it is accompanied with Ge droplets accumulation at the surface. The Ge incorporation level inside the 4H-SiC matrix, ranging from few 1017 to few 1018 at.cm-3, was found to be mainly affected by the growth temperature and GeH4 flux. Other growth par...

  18. GeAs and SiAs monolayers: Novel 2D semiconductors with suitable band structures

    Science.gov (United States)

    Zhou, Liqin; Guo, Yu; Zhao, Jijun

    2018-01-01

    Two dimensional (2D) materials provide a versatile platform for nanoelectronics, optoelectronics and clean energy conversion. Based on first-principles calculations, we propose a novel kind of 2D materials - GeAs and SiAs monolayers and investigate their atomic structure, thermodynamic stability, and electronic properties. The calculations show that monolayer GeAs and SiAs sheets are energetically and dynamically stable. Their small interlayer cohesion energies (0.191 eV/atom for GeAs and 0.178 eV/atom for SiAs) suggest easy exfoliation from the bulk solids that exist in nature. As 2D semiconductors, GeAs and SiAs monolayers possess band gap of 2.06 eV and 2.50 eV from HSE06 calculations, respectively, while their band gap can be further engineered by the number of layers. The relatively small and anisotropic carrier effective masses imply fast electric transport in these 2D semiconductors. In particular, monolayer SiAs is a direct gap semiconductor and a potential photocatalyst for water splitting. These theoretical results shine light on utilization of monolayer or few-layer GeAs and SiAs materials for the next-generation 2D electronics and optoelectronics with high performance and satisfactory stability.

  19. Induced electrostatic confinement of electron gas in W-designed strain-compensated Si/Si1-x Ge x /Si type-II quantum wells

    International Nuclear Information System (INIS)

    Sfina, N.; Lazzari, J.-L.; Christol, P.; Cuminal, Y.; Said, M.

    2006-01-01

    We present a numerical modeling of the conduction- and the valence-band diagrams of W designed Si/Si 0.4 Ge 0.6 /Si type II quantum wells. These W structures, strain-compensated on relaxed Si 0.75 Ge 0.25 pseudo-substrates, are potentially interesting for emission and photo-detection around a 1.55μm wavelength. Two main features have been extrapolated by solving self-consistently Schroedinger and Poisson equations, taking into account the electrostatic attraction induced by carrier injection: (i) Coulomb attraction strongly modifies the band profiles and increases the electron probability density at the quantum well interfaces. (ii) The injected carrier concentration enhances the in-plane oscillator strength and the electron-hole wave-function overlap

  20. Interfacial reaction in SiC_f/Ti-6Al-4V composite by using transmission electron microscopy

    International Nuclear Information System (INIS)

    Huang, Bin; Li, Maohua; Chen, Yanxia; Luo, Xian; Yang, Yanqing

    2015-01-01

    The interfacial reactions of continuous SiC fiber reinforced Ti-6Al-4V matrix composite (SiC_f/Ti-6Al-4V composite) and continuous SiC fiber coated by C reinforced Ti-6Al-4V matrix composite (SiC_f/C/Ti-6Al-4V composite) were investigated by using micro-beam electron diffraction (MBED) and energy disperse spectroscopy (EDS) on transmission electron microscopy (TEM). The sequence of the interfacial reactions in the as-processed and exposed at 900°C for 50h SiC_f/Ti-6Al-4V composites can be described as SiC||TiC||Ti_5Si_3 + TiC||Ti-6Al-4V and SiC||TiC||Ti_5Si_3||TiC||Ti_5Si_3||TiC||Ti_5Si_3||Ti-6Al-4V, respectively. Additionally, both in as-processed and exposed composites, Ti_3SiC_2 and Ti_3Si are absent at the interfaces. For the SiC_f/C/Ti-6Al-4V composite exposed at 900 °C for 50 h, the sequence of the interfacial reaction can be described as SiC||C||TiC_F||TiC_C||Ti-6Al-4V before C coating is completely consumed by interfacial reaction. When interfacial reaction consumes C coating completely, the sequence of the interfacial reaction can be described as SiC||TiC||Ti_5Si_3||TiC||Ti-6Al-4V. Furthermore, in SiC_f/C/Ti-6Al-4V composite, C coating can absolutely prevent Si diffusion from SiC fiber to matrix. Basing on these results, the model of formation process of the interfacial reaction products in the composites was proposed. - Highlights: • We obtained the sequence of the interfacial reactions in the as-processed and exposed at 900 °C for 50 h SiC_f/Ti-6Al-4 V composites as well as in the SiC_f/C/Ti-6Al-4 V composite exposed at 900 °C for 50 h. • We verified that both in as-processed and exposed SiC_f/Ti-6Al-4 V composites, Ti_3SiC_2 and Ti_3Si are absent at the interfaces. • Carbon coating can absolutely prevent silicon diffusion from SiC fiber to matrix. • Basing on these results, the model of formation process of the interfacial reaction products in the composites was proposed.

  1. X-ray grazing incidence study of inhomogeneous strain relaxation in Si/SiGe wires

    International Nuclear Information System (INIS)

    Hesse, A.; Zhuang, Y.; Holy, V.; Stangl, J.; Zerlauth, S.; Schaeffler, F.; Bauer, G.; Darowski, N.; Pietsch, U.

    2003-01-01

    The elastic strain relaxation in a series of dry-etched periodic multilayer Si/SiGe wire samples with different etching depths was investigated systematically by means of grazing incidence diffraction (GID). The samples were patterned by holographic lithography and reactive ion etching from a Si/SiGe superlattice grown by molecular beam epitaxy. Scanning electron microscopy and atomic force microscopy were employed to obtain information on the shape of the wires. The inhomogeneous strain distribution in the etched wires and in the non-etched part of the multilayers was derived by means of finite element calculations which were used as an input for simulations of the scattered X-ray intensities in depth dependent GID. The theoretical calculations for the scattered intensities are based on distorted-wave Born approximation. The unperturbed scattering potential was chosen with a reduced optical density corresponding to the ratio of wire width and wire period, in order to reflect the main interaction between the incident X-rays and the patterned samples. The calculations are in good agreement with the experimental data demonstrating the variation of strain relaxation with depth

  2. Preparation, properties, and application characteristics of metastable layers of the Ti-Si-C-N system

    International Nuclear Information System (INIS)

    Fella, R.

    1992-10-01

    In the Ti-Si-C and Ti-Si-C-N systems, metastable layers were precipitated by means of non-reactive magnetron sputtering of hot-pressed two-phase TiC/SiC and TiN/SiC targets with 20 mole% and 50 mole% SiC. The preparation parameters were varied as follows: ion bombardment during precipitation (bias sputtering), substrate temperature, and annealing times when annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC layers. Sputtering of targets containing 20% SiC was found to result in monophase fcc layers (NaCl structure). This was documented on the basis of X-ray and electron diffraction patterns. Direct precipitation of targets with 50 mole% SiC resulted in amorphous layers. Increasing the ion bombardment during accretion, raising the substrate temperature, and annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC (layers precipitated directly) resulted in the crystallization of TiC and TiN nanocrystallites, respectively, imbedded in an amorphous SiC matrix. These crystallites were detected both by X-ray and by electron diffractions and by XPS studies. The XPS measurements of crystalline TiC and amorphous SiC reference layers demonstrated the existence of new kinds of carbon interface phases ('pseudocarbide layers') around TiC and amorphous SiC regions, respectively, which have a positive impact on the mechanical properties of the layers. The hardness of the layers can be correlated with the degrees of crystallization and texture. Adhesion and toughness are worse in SiC-bearing layers than PVD TiC and TiN layers, respectively. The application characteristics of the layers were determined by model wear tests relative to 100Cr6 by means of a pin/disk tribometer. N-bearing layers were found to have clearly higher friction coefficients and greater wear than layers without N. (orig.)

  3. Gas cluster ion beam assisted NiPt germano-silicide formation on SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Ozcan, Ahmet S., E-mail: asozcan@us.ibm.com [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States); Lavoie, Christian; Jordan-Sweet, Jean [IBM T. J. Watson Research Center, 1101 Kitchawan Road, Yorktown Heights, New York 10598 (United States); Alptekin, Emre; Zhu, Frank [IBM Semiconductor Research and Development Center, 2070 Route 52, Hopewell Junction, New York 12533 (United States); Leith, Allen; Pfeifer, Brian D.; LaRose, J. D.; Russell, N. M. [TEL Epion Inc., 900 Middlesex Turnpike, Bldg. 6, Billerica, Massachusetts 01821 (United States)

    2016-04-21

    We report the formation of very uniform and smooth Ni(Pt)Si on epitaxially grown SiGe using Si gas cluster ion beam treatment after metal-rich silicide formation. The gas cluster ion implantation process was optimized to infuse Si into the metal-rich silicide layer and lowered the NiSi nucleation temperature significantly according to in situ X-ray diffraction measurements. This novel method which leads to more uniform films can also be used to control silicide depth in ultra-shallow junctions, especially for high Ge containing devices, where silicidation is problematic as it leads to much rougher interfaces.

  4. Premonochromator characteristics of Si and Ge crystals for nuclear Bragg scattering

    International Nuclear Information System (INIS)

    Harami, Taikan

    1990-07-01

    The use of monochromator plays an important role as monochromatizing the photon from a facility having an electron storage ring to a narrow band width about the wavelength determined by the Bragg condition. This paper describes the dynamical diffraction formulae and collects the characteristics data of premonochromators of Si and Ge crystals for nuclear Bragg scattering. The numerical studies show the following data. (1) Reflectivity, Bragg reflection width, energy resolution and integral reflecting power for the various reflections of Si and Ge crystals at the photon with the resonance excitation energy of the Moessbauer nuclei of 181 Tm(6.21 keV), 169 Tm(8.42 keV), 57 Fe(14.41 keV), 119 Sn(23.87 keV) and 238 U(44.70 keV). (2) Tables of susceptibilities and figures of rocking curves for the various reflections of Si and Ge crystals. (author)

  5. Numerical simulation of microstructure of the GeSi alloy

    Energy Technology Data Exchange (ETDEWEB)

    Rasin, I.

    2006-09-08

    The goal of this work is to investigate pattern formation processes on the solid-liquid interface during the crystal growth of GeSi. GeSi crystals with cellular structure have great potential for applications in -ray and neutron optics. The interface patterns induce small quasi-periodic distortions of the microstructure called mosaicity. Existence and properties of this mosaicity are important for the application of the crystals. The properties depend on many factors; this dependence, is currently not known even not qualitatively. A better understanding of the physics near the crystal surface is therefore required, in order to optimise the growth process. There are three main physical processes in this system: phase-transition, diffusion and melt flow. Every process is described by its own set of equations. Finite difference methods and lattice kinetic methods are taken for solving these governing equations. We have developed a modification of the kinetic methods for the advectiondiffusion and extended this method for simulations of non-linear reaction diffusion equations. The phase-field method was chosen as a tool for describing the phase-transition. There are numerous works applied for different metallic alloys. An attempt to apply the method directly to simulation GeSi crystal growth showed that this method is unstable. This instability has not been observed in previous works due to the much smaller scale of simulations. We introduced a modified phase-field scheme, which enables to simulate pattern formation with the scale observed in experiment. A flow in the melt was taken in to account in the numerical model. The developed numerical model allows us to investigate pattern formation in GeSi crystals. Modelling shows that the flow near the crystal surface has impact on the patterns. The obtained patterns reproduce qualitatively and in some cases quantitatively the experimental results. (orig.)

  6. Study of Sn and Mg doping effects on TiO2/Ge stack structure by combinatorial synthesis

    Science.gov (United States)

    Nagata, Takahiro; Suzuki, Yoshihisa; Yamashita, Yoshiyuki; Ogura, Atsushi; Chikyow, Toyohiro

    2018-04-01

    The effects of Sn and Mg doping of a TiO2 film on a Ge substrate were investigated to improve leakage current properties and Ge diffusion into the TiO2 film. For systematic analysis, dopant-composition-spread TiO2 samples with dopant concentrations of up to 20.0 at. % were fabricated by RF sputtering and a combinatorial method. X-ray photoelectron spectroscopy revealed that the instability of Mg doping of TiO2 at dopant concentrations above 10.5 at. %. Both Sn and Mg dopants reduced Ge diffusion into TiO2. Sn doping enhanced the crystallization of the rutile phase, which is a high-dielectric-constant phase, although the Mg-doped TiO2 film indicated an amorphous structure. Sn-doping indicated systematic leakage current reduction with increasing dopant concentration. Doping at Sn concentrations higher than 16.8 at. % improved the leakage properties (˜10-7 A/cm2 at -3.0 V) and capacitance-voltage properties of metal-insulator-semiconductor (MIS) operation. The Sn doping of TiO2 may be useful for interface control and as a dielectric material for Ge-based MIS capacitors.

  7. Effects of germane flow rate in electrical properties of a-SiGe:H films for ambipolar thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Dominguez, Miguel, E-mail: madominguezj@gmail.com [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Rosales, Pedro, E-mail: prosales@inaoep.mx [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Torres, Alfonso [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Flores, Francisco [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Molina, Joel; Moreno, Mario [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Luna, Jose [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Orduña, Abdu [Centro de Investigación en Biotecnología Aplicada (CIBA), IPN, Tlaxcala, Tlaxcala 72197 (Mexico)

    2014-07-01

    In this work, the study of germane flow rate in electrical properties of a-SiGe:H films is presented. The a-SiGe:H films deposited by low frequency plasma-enhanced chemical vapor deposition at 300 °C were characterized by Fourier transform infrared spectroscopy, measurements of temperature dependence of conductivity and UV–visible spectroscopic ellipsometry. After finding the optimum germane flow rate conditions, a-SiGe:H films were deposited at 200 °C and analyzed. The use of a-SiGe:H films at 200 °C as active layer of low-temperature ambipolar thin-film transistors (TFTs) was demonstrated. The inverted staggered a-SiGe:H TFTs with Spin-On Glass as gate insulator were fabricated. These results suggest that there is an optimal Ge content in the a-SiGe:H films that improves its electrical properties. - Highlights: • As the GeH{sub 4} flow rate increases the content of oxygen decreases. • Ge-H bonds show the highest value in a-SiGe:H films with GeH{sub 4} flow of 105 sccm. • Films with GeH{sub 4} flow of 105 sccm show the highest activation energy. • An optimum incorporation of germanium is obtained with GeH{sub 4} flow rate of 105 sccm. • At 200 °C the optimum condition of the a-SiGe:H films remain with no changes.

  8. Pitting Corrosion of Ni3(Si,Ti+2Cr Intermetallic Compound at Various Chloride Concentrations

    Directory of Open Access Journals (Sweden)

    Gadang Priyotomo

    2014-05-01

    Full Text Available The pitting corrosion of Ni3(Si,Ti with 2 at% Cr containing two regions of a Ni3(Si,Ti single-phase of L12 structure and a mixture phase of of (L12 +Niss was investigated as function of chloride concentrations by using a polarization method, scanning electron microscope and energy dispersive X-Ray spectroscopy in neutral sodium chloride solutions at 293 K.  The pitting corrosion of Ni3(Si,Ti with and without the addition of aluminium and type C276 alloy were also studied under the same experimental condition for the comparison.  The pitting potential obtained for the Ni3(Si,Ti with 2 at% Cr decreased with increasing chloride concentration.  The specific pitting potentials and the pitting potentials were decreased in the order of C276 alloy > Ni3(Si,Ti > Ni3(Si,Ti + 2Cr > Ni3(Si,Ti + 4Al, which means that the pitting corrosion resistance of Ni3(Si,Ti with 2 at% Cr was higher than Ni3(Si,Ti with 4 at% Al, but lower than that of Ni3(Si,Ti.  A critical chloride concentration of Ni3(Si,Ti with 2 at% Cr was found to be higher than that of Ni3(Si,Ti with at% Al. In addition, the presence of high concentration for oxygen indicates the occurrence of pit formation.

  9. Investigation into solubility and diffusion in SiC-NbC, SiC-TiC, SiC-ZrC systems

    International Nuclear Information System (INIS)

    Safaraliev, G.K.; Tairov, Yu.M.; Tsvetkov, V.F.; Shabanov, Sh.Sh.

    1991-01-01

    An investigation is carried out which demonstrates solid-phase interaction between SiC and NbC, TiC and ZrC monocrystals. The monocrystals are subjected to hot pressing in SiC powder with dispersity of 5x10 -6 m. The pressing temperature is 2270-2570 K and pressure is varied in the range of 20-40 MPa. Element composition and the distribution profile in a thin layer near the boundary of SiC-NbC, SiC-TiC and SiC-ZrC are investigated by the Anger spectroscopy method. The obtained results permit to make the conclusion in the possibility of solid solution formation in investigated systems

  10. A new CMOS SiGeC avalanche photo-diode pixel for IR sensing

    Science.gov (United States)

    Augusto, Carlos; Forester, Lynn; Diniz, Pedro C.

    2009-05-01

    Near-infra-red sensing with silicon is limited by the bandgap of silicon, corresponding to a maximum wavelength of absorption of 1.1 μm. A new type of CMOS sensor is presented, which uses a SiGeC epitaxial film in conjunction with novel device architecture to extend absorption into the infra-red. The SiGeC film composition and thickness determine the spectrum of absorption; in particular for SiGeC superlattices, the layer ordering to create pseudo direct bandgaps is the critical parameter. In this new device architecture, the p-type SiGeC film is grown on an active region surrounded by STI, linked to the S/D region of an adjacent NMOS, under the STI by a floating N-Well. On a n-type active, a P-I-N device is formed, and on a p-type active, a P-I-P device is formed, each sensing different regions of the spectrum. The SiGeC films can be biased for avalanche operation, as the required vertical electric field is confined to the region near the heterojunction interface, thereby not affecting the gate oxide of the adjacent NMOS. With suitable heterojunction and doping profiles, the avalanche region can also be bandgap engineered, allowing for avalanche breakdown voltages that are compatible with CMOS devices.

  11. First-principles calculations of Ti{sub 3}SiC{sub 2} and Ti{sub 3}AlC{sub 2} with hydrogen interstitial

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Canhui [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang, 621900 (China); Zhang, Haibin, E-mail: hbzhang@caep.cn [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang, 621900 (China); Hu, Shuanglin; Zhou, Xiaosong; Peng, Shuming [Institute of Nuclear Physics and Chemistry, China Academy of Engineering Physics, Mianyang, 621900 (China); Xiao, Haiyan [School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu, 610054 (China); Zhang, Guojun [State Key Laboratory for Modification of Chemical Fibers and Polymer Materials, Research Institute of Functional Materials, Donghua University, Shanghai, 201620 (China)

    2017-05-15

    In this paper, the effects of hydrogen interstitial defect on the structural stability of two kinds of MAX materials (Ti{sub 3}SiC{sub 2} and Ti{sub 3}AlC{sub 2}) were investigated by first-principles calculations. The results indicated that the hydrogen interstitial energetically prefers to reside at the 2Ti3Si site for Ti{sub 3}SiC{sub 2} and 3TiAl site for Ti{sub 3}AlC{sub 2}, respectively, and the latter has much lower formation energy. Both of these MAX phases are slightly hardened and the elastic anisotropy is reduced appreciably after the introduction of hydrogen interstitial. The hydrogen interstitial in Ti{sub 3}SiC{sub 2} and Ti{sub 3}AlC{sub 2} leads to an electronic localization effect on the Si/Al atom and the effect is more remarkable in Ti{sub 3}AlC{sub 2}. The interlayer bonding strength of Ti{sub 3}AlC{sub 2} is more weakened by hydrogen interstitials than that of Ti{sub 3}SiC{sub 2}. As a result, the interatomic bonding between Si/Al and Ti atom layers is deteriorated and their structural stabilities degrade subsequently.

  12. Introduction of nano-laminate Ti3SiC2 and SiC phases into Cf-C composite by liquid silicon infiltration method

    Directory of Open Access Journals (Sweden)

    Omid Yaghobizadeh

    2017-03-01

    Full Text Available The material Cf-C-SiC-Ti3SiC2 is promising for high temperature application. Due to the laminated structure and special properties, the Ti3SiC2 is one of the best reinforcements for Cf-C-SiC composites. In this paper, Cf-C-SiC-Ti3SiC2 composites were fabricated by liquid silicon infiltration (LSI method; the effect of the TiC amount on the various composites properties were studied. For samples with 0, 50 and 90 vol.% of TiC, the results show that bending strength are 168, 190, and 181 MPa; porosities are 3.2, 4.7, and 9%; the fracture toughness are 6.1, 8.9, and 7.8 MPa∙m1/2; interlaminar shear strength are 27, 36, and 30 MPa; the amount of the MAX phase are 0, 8.5, and 5.6 vol.%, respectively. These results show that amount of TiC is not the main effective parameter in synthesis of Ti3SiC2. The existence of carbon promotes the synthesis of Ti3SiC2 indicating that only sufficient carbon content can lead to the appearance of Ti3SiC2 in the LSI process.

  13. Thermal stability of Ti3SiC2 thin films

    International Nuclear Information System (INIS)

    Emmerlich, Jens; Music, Denis; Eklund, Per; Wilhelmsson, Ola; Jansson, Ulf; Schneider, Jochen M.; Hoegberg, Hans; Hultman, Lars

    2007-01-01

    The thermal stability of Ti 3 SiC 2 (0 0 0 1) thin films is studied by in situ X-ray diffraction analysis during vacuum furnace annealing in combination with X-ray photoelectron spectroscopy, transmission electron microscopy and scanning transmission electron microscopy with energy dispersive X-ray analysis. The films are found to be stable during annealing at temperatures up to ∼1000 deg. C for 25 h. Annealing at 1100-1200 deg. C results in the rapid decomposition of Ti 3 SiC 2 by Si out-diffusion along the basal planes via domain boundaries to the free surface with subsequent evaporation. As a consequence, the material shrinks by the relaxation of the Ti 3 C 2 slabs and, it is proposed, by an in-diffusion of O into the empty Si-mirror planes. The phase transformation process is followed by the detwinning of the as-relaxed Ti 3 C 2 slabs into (1 1 1)-oriented TiC 0.67 layers, which begin recrystallizing at 1300 deg. C. Ab initio calculations are provided supporting the presented decomposition mechanisms

  14. Ge{sup 4+} doped TiO{sub 2} for stoichiometric degradation of warfare agents

    Energy Technology Data Exchange (ETDEWEB)

    Stengl, Vaclav, E-mail: stengl@iic.cas.cz [Department of Solid State Chemistry, Institute of Inorganic Chemistry AS CR v.v.i., 250 68 Rez (Czech Republic); Grygar, Tomas Matys [Department of Solid State Chemistry, Institute of Inorganic Chemistry AS CR v.v.i., 250 68 Rez (Czech Republic); Oplustil, Frantisek; Nemec, Tomas [Military Technical Institute of Protection Brno, Veslarska 230, 628 00 Brno (Czech Republic)

    2012-08-15

    Highlights: Black-Right-Pointing-Pointer We prepared nanodisperse Ge{sup 4+} doped titania by a novel synthesis method. Black-Right-Pointing-Pointer Synthesis does not involve organic solvents, organometallics nor thermal processes. Black-Right-Pointing-Pointer The prepared materials are efficient in removal of chemical warfare agents. Black-Right-Pointing-Pointer Ge{sup 4+} doping improves rate of removal of soman and agent VX by TiO{sub 2}. - Abstract: Germanium doped TiO{sub 2} was prepared by homogeneous hydrolysis of aqueous solutions of GeCl{sub 4} and TiOSO{sub 4} with urea. The synthesized samples were characterized by X-ray diffraction, scanning electron microscopy, EDS analysis, specific surface area (BET) and porosity determination (BJH). Ge{sup 4+} doping increases surface area and content of amorphous phase in prepared samples. These oxides were used in an experimental evaluation of their reactivity with chemical warfare agent, sulphur mustard, soman and agent VX. Ge{sup 4+} doping worsens sulphur mustard degradation and improves soman and agent VX degradation. The best degree of removal (degradation), 100% of soman, 99% of agent VX and 95% of sulphur mustard, is achieved with sample with 2 wt.% of germanium.

  15. Pitting Corrosion of Ni3(Si,Ti+4Al Intermetallic Compound at Various Chloride Concentrations

    Directory of Open Access Journals (Sweden)

    Gadang Priyotomo

    2014-04-01

    Full Text Available The pitting corrosion of Ni3(Si,Ti with 4 at% Al consisting of two regions of a Ni3(Si,Ti single-phase of L12 structure and two phases of L12 and fcc Niss was investigated as function of chloride concentrations by using electrochemical method, scanning electron microscope and energy dispersive X-Ray spectroscopy in neutral sodium chloride solutions at 293 K.  In addition, the pitting corrosion of Ni3(Si,Ti and  type C276 alloy were also studied under the same experimental condition for comparison.  The pitting potential obtained for the Ni3(Si,Ti with 4 at%Al decreased with increasing chloride concentration.  The specific pitting potential and pitting potential of Ni3(Si,Ti with 4at%, Ni3(Si,Ti and C276 were the lowest, the moderate and the highest, respectively, which means that the pitting corrosion resistance of Ni3(Si,Ti was higher than Ni3(Si,Ti with 4at% Al, but lower than that of C276.  A critical chloride concentration of Ni3(Si,Ti with 4at% Al was found to be lower than that of Ni3(Si,Ti.  The Pitting corrosion of Ni3(Si,Ti with 4at% Al occurred in the two phase mixture (L12 + Niss.

  16. Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers

    Science.gov (United States)

    Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko

    2017-04-01

    Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.

  17. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    Science.gov (United States)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  18. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  19. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  20. Atomistic simulation of the thermal conductivity in amorphous SiO2 matrix/Ge nanocrystal composites

    Science.gov (United States)

    Kuryliuk, Vasyl V.; Korotchenkov, Oleg A.

    2017-04-01

    We use nonequilibrium molecular dynamics computer simulations with the Tersoff potential aiming to provide a comprehensive picture of the thermal conductivity of amorphous SiO2 (a-SiO2) matrix with embedded Ge nanocrystals (nc-Ge). The modelling predicts the a-SiO2 matrix thermal conductivity in a temperature range of 50 fair agreement with experiment at around room temperature. It is worth noticing that the predicted room-temperature thermal conductivity in a-SiO2 is in very good agreement with the experimental result, which is in marked contrast with the thermal conductivity calculated employing the widely used van Beest-Kramer-van Santen (BKS) potential. We show that the thermal conductivity of composite nc-Ge/a-SiO2 systems decreases steadily with increasing the volume fraction of Ge inclusions, indicative of enhanced interface scattering of phonons imposed by embedded Ge nanocrystals. We also observe that increasing the volume fractions above a certain threshold value results in a progressively increased thermal conductivity of the nanocomposite, which can be explained by increasing volume fraction of a better thermally conducting Ge. Finally, non-equilibrium molecular dynamics simulations with the Tersoff potential are promising for computing the thermal conductivity of nanocomposites based on amorphous SiO2 and can be readily scaled to more complex composite structures with embedded nanoparticles, which thus help design nanocomposites with desired thermal properties.

  1. Influence of SiO2 coating on dielectric property of TiC reinforced PVDF composite%SiO2涂层对TiC/PVDF复合材料介电性能的影响研究

    Institute of Scientific and Technical Information of China (English)

    贺新福; 吴红菊; 刘天涯; 冉安; 周文英

    2017-01-01

    为降低碳化钛(TiC)/聚偏氟乙烯(PVDF)体系的介电损耗,通过溶胶-凝胶法在TiC粒子表面包覆SiO2绝缘层,形成SiO2@TiC核壳结构粒子,再与PVDF复合,制备出SiO2@TiC/PVDF复合材料.研究结果表明,TiC表面成功包覆上SiO2涂层;在质量分数为9%的TiC或SiO2@TiC总用量时,SiO2@TiC/PVDF的损耗因子相比TiC/PVDF明显降低,随SiO2包覆量的增加,SiO2@TiC/PVDF的介电常数、损耗因子和电导率均下降;包覆量相同时,随SiO2@TiC粒子含量增加,SiO2@TiC/PVDF的介电常数、电导率、损耗因子均逐步增大.

  2. Experimental Investigation of Phase Equilibria in the Ho-Ti-Si Ternary System at 973 K (700 °C)

    Science.gov (United States)

    Han, Feng; Zhan, Yongzhong

    2018-02-01

    Phase equilibrium relations of the Ho-Ti-Si ternary system at 973 K (700 °C) were experimentally researched by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), and energy-dispersive spectrometer (EDS). The isothermal section of the system at 973 K (700 °C) consists of 14 three-phase regions, 27 two-phase regions, and 14 single-phase regions. The phases Ti5Si3, Ti5Si4, TiSi, TiSi2, Ho5Si3, Ho5Si4, HoSi, αHoSi2-b, and βHoSi2-a are proved to exist at 973 K (700 °C). Previously reported HoTiSi and Ho2Ti3Si4 ternary compounds were confirmed to exist at this temperature. The solubility of Ho in the intermediate phases (i.e., TiSi2, TiSi, Ti5Si4, and Ti5Si3) at the Ti-Si side is extremely small. The maximum solubilities of Ti in HoSi2-b, Ho5Si4, and Ho5Si3 are confirmed to be 8.0, 7.2, and 6.0 at. pct, respectively.

  3. Self-Consolidation Mechanism of Nanostructured Ti5Si3 Compact Induced by Electrical Discharge

    Directory of Open Access Journals (Sweden)

    W. H. Lee

    2015-01-01

    Full Text Available Electrical discharge using a capacitance of 450 μF at 7.0 and 8.0 kJ input energies was applied to mechanical alloyed Ti5Si3 powder without applying any external pressure. A solid bulk of nanostructured Ti5Si3 with no compositional deviation was obtained in times as short as 159 μsec by the discharge. During an electrical discharge, the heat generated is the required parameter possibly to melt the Ti5Si3 particles and the pinch force can pressurize the melted powder without allowing the formation of pores. Followed rapid cooling preserved the nanostructure of consolidated Ti5Si3 compact. Three stepped processes during an electrical discharge for the formation of nanostructured Ti5Si3 compact are proposed: (a a physical breakdown of the surface oxide of Ti5Si3 powder particles, (b melting and condensation of Ti5Si3 powder by the heat and pinch pressure, respectively, and (c rapid cooling for the preservation of nanostructure. Complete conversion yielding a single phase Ti5Si3 is primarily dominated by the solid-liquid mechanism.

  4. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  5. Copper diffusion in Ti-Si-N layers formed by inductively coupled plasma implantation

    International Nuclear Information System (INIS)

    Ee, Y.C.; Chen, Z.; Law, S.B.; Xu, S.; Yakovlev, N.L.; Lai, M.Y.

    2006-01-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into Ti x Si y substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 deg. C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers

  6. Regrowth of Si and Ge under laser irradiation

    International Nuclear Information System (INIS)

    Bertolotti, M.; Vitali, G.

    1979-01-01

    The effects of pulsed laser irradiation on amorphous layers of Si and Ge obtained via ion implantation are considered. Amorphous-polycrystalline, amorphous-single crystal and polycrystalline-single crystal transitions have been obtained. Residual disorder and mechanical damage are considered. (author)

  7. Phase analysis and magnetocaloric properties of Zr substituted Gd-Si-Ge alloys

    International Nuclear Information System (INIS)

    Prabahar, K.; Raj Kumar, D.M.; Manivel Raja, M.; Chandrasekaran, V.

    2011-01-01

    The structure, microstructure, magneto-structural transition and magnetocaloric effect have been investigated in series of (Gd 5-x Zr x )Si 2 Ge 2 alloys with 0≤x≥0.20. X-ray powder diffraction analysis revealed the presence of orthorhombic structure for Zr containing alloys at room temperature in contrast to the monoclinic structure observed in the parent Gd 5 Si 2 Ge 2 alloy. The microstructural studies reveal that, low Zr addition (x≤0.1) resulted in low volume fraction of detrimental Gd 5 Si 3 -type secondary phase compared to that present in the parent alloy. All the Zr containing alloys have shown the presence of only second order magnetic transition unlike the parent alloy showing both first order structural and second order magnetic transition. A moderate (ΔS) M value of -5.5 J/kg K was obtained for the x=0.05 alloy at an enhanced operating temperature of 292 K compared to -7.8 J/kg K at 274 K of the parent alloy for an applied field of 2 T. The interesting feature of Zr (x=0.05) containing alloy is the wide operating temperature range of ∼25 K than that of ∼10-12 K for the parent, which resulted in enhanced net refrigerant capacity of 103 J/kg compared to that of 53 J/kg for the parent alloy. - Research highlights: → Zr addition in Gd 5 Si 2 Ge 2 alloy has been investigated for the first time to reduce the 5:3-type (Gd 5 Si 3 ) secondary phase formed when using commercial grade elements in Gd 5 Si 2 Ge 2 alloy. → It is interesting to observe that Zr addition decrease the volume fraction 5:3. → The refrigerator capacity and transition temperature of Zr added alloy is greater than the pure Gd 5 Si 2 Ge 2 which makes this alloy promising for room temperature application.

  8. Magnetic ordering in the monoclinic structure of Nd5Si1.45Ge2.55 and Pr5Si1.5Ge2.5 studied by means of neutron powder diffraction

    International Nuclear Information System (INIS)

    Magen, C; Ritter, C; Morellon, L; Algarabel, P A; Ibarra, M R

    2004-01-01

    The compounds Nd 5 Si 1.45 Ge 2.55 and Pr 5 Si 1.5 Ge 2.5 have been investigated by means of magnetization measurements and neutron powder diffraction techniques. These alloys present a room-temperature monoclinic Gd 5 Si 2 Ge 2 -type crystallographic structure and, on cooling, both systems order ferromagnetically, at T C = 56 and 32 K, respectively, from a high-temperature paramagnetic to a low-temperature complex canted ferromagnetic state. The monoclinic crystallographic structure remains unchanged upon cooling down to 4 K, demonstrating the existence of a monoclinic ferromagnetic phase, and the possibility of a full decoupling of magnetic and crystallographic degrees of freedom in the 5:4 lanthanide intermetallic compounds

  9. Ti2FeZ (Z=Al, Ga, Ge) alloys: Structural, electronic, and magnetic properties

    International Nuclear Information System (INIS)

    Liping, Mao; Yongfan, Shi; Yu, Han

    2014-01-01

    Using the first-principle projector augmented wave potential within the generalized gradient approximation taking into account the on-site Coulomb repulsive, we investigate the structural, electronic and magnetic properties of Ti 2 FeZ (Z=Al, Ga, Ge) alloys with Hg 2 CuTi-type structure. These alloys are found to be half-metallic ferrimagnets. The total magnetic moments of the Heusler alloys Ti 2 FeZ follow the µ t =Z t −18 rule and agree with the Slater–Pauling curve quite well. The band gaps are mainly determined by the bonding and antibonding states created from the hybridizations of the d states between the Ti(A)–Ti(B) coupling and Fe atom. - Highlights: • Ti 2 FeZ (Z=Al, Ga, Ge) are found to be half-metallic ferrimagnets. • The band gaps are mainly determined by the hybridizations of the d states between the Ti(A)–Ti(B) coupling and Fe atom. • The s–p elements play an important role in the half-metallicity of these Heusler alloys

  10. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  11. Improved Si0.5Ge0.5/Si interface quality achieved by the process of low energy hydrogen plasma cleaning and investigation of interface quality with positron annihilation spectroscopy

    Science.gov (United States)

    Liao, M.-H.; Chen, C.-H.

    2013-04-01

    The Positron Annihilation Spectra (PAS), Raman, and Photoluminescence spectroscopy reveal that Si0.5Ge0.5/Si interface quality can be significantly improved by the low energy plasma cleaning process using hydrogen. In the PAS, the particularly small value of lifetime and intensity near the Si0.5Ge0.5/Si interface in the sample with the treatment indicate that the defect concentration is successfully reduced 2.25 times, respectively. Fewer defects existed in the Si0.5Ge0.5/Si interface result in the high compressive strain about 0.36% in the top epi-Si0.5Ge0.5 layer, which can be observed in Raman spectra and stronger radiative recombination rate about 1.39 times for the infrared emission, which can be observed in the photoluminescence spectra. With better Si0.5Ge0.5/Si interface quality, the SiGe-based devices can have better optical and electrical characteristics for more applications in the industry. The PAS is also demonstrated that it is the useful methodology tool to quantify the defect information in the SiGe-based material.

  12. Magnetism and electronic structure of CoFeCrX (X = Si, Ge) Heusler alloys

    International Nuclear Information System (INIS)

    Jin, Y.; O'Connell, A.; Kharel, P.; Lukashev, P.; Staten, B.; Tutic, I.; Valloppilly, S.; Herran, J.; Mitrakumar, M.; Bhusal, B.; Huh, Y.; Yang, K.; Skomski, R.; Sellmyer, D. J.

    2016-01-01

    The structural, electronic, and magnetic properties of CoFeCrX (X = Si, Ge) Heusler alloys have been investigated. Experimentally, the alloys were synthesized in the cubic L2 1 structure with small disorder. The cubic phase of CoFeCrSi was found to be highly stable against heat treatment, but CoFeCrGe disintegrated into other new compounds when the temperature reached 402 °C (675 K). Although the first-principle calculation predicted the possibility of tetragonal phase in CoFeCrGe, the tetragonal phase could not be stabilized experimentally. Both CoFeCrSi and CoFeCrGe compounds showed ferrimagnetic spin order at room temperature and have Curie temperatures (T C ) significantly above room temperature. The measured T C for CoFeCrSi is 790 K but that of CoFeCrGe could not be measured due to its dissociation into new compounds at 675 K. The saturation magnetizations of CoFeCrSi and CoFeCrGe are 2.82 μ B /f.u. and 2.78 μ B /f.u., respectively, which are close to the theoretically predicted value of 3 μ B /f.u. for their half-metallic phases. The calculated band gaps for CoFeCrSi and CoFeCrGe are, respectively, 1 eV and 0.5 eV. These materials have potential for spintronic device applications, as they exhibit half-metallic electronic structures with large band gaps, and Curie temperatures significantly above room temperature.

  13. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  14. Investigation of high mobility pseudomorphic SiGe p-channels in Si MOSFETS at low and high electric fields

    International Nuclear Information System (INIS)

    Palmer, Martin John

    2001-01-01

    Silicon Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) for high speed, high current applications are rapidly approaching the physical and financial limits of the technology. This opens opportunities for the incorporation of materials with intrinsically better transport characteristics. An alloy of silicon and germanium is one such material that is gaining much recognition as the active component of MOSFETs and as the secondary structures (such as the gate electrode). This work examines a batch of buried channel Si 0.64 Ge 0.36 p-MOSFETs, with a minimum effective length of 0.35 μm, under different bias conditions and at different temperatures. High current and transconductance enhancements are apparent at long gate lengths. The carrier mobility is up to a factor of 2.5 times that of silicon at room temperature and 7.5 times at 4 K. A clear trend of decreasing peak mobility with decreasing silicon cap thickness is evident. Simulations show that scattering caused by the roughness of the SiO 2 /Si interface dominates, rather than alloy scattering or Si/SiGe roughness, even for a buried channel. This scattering increases with the proximity of the carriers to the interface. An increase of interface trap density with decreasing cap thickness, demonstrates that segregated germanium exists some distance into the cap and interferes with the oxidation process. This will increase scattering through increased SiO 2 /Si roughness and increased trapped charge. The short channel, high field results are comparable or slightly worse than those of silicon due to lower saturation drift velocity. However, fitting to a drift-diffusion model shows an apparent increase in saturation velocity for short channels, especially at low temperatures. This effect correlates with the low field mobility and is greater for devices containing SiGe. This is an indication of velocity overshoot, which may enhance the performance of SiGe MOSFETs at deep submicron gate lengths. (author)

  15. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  16. Diffusion of $^{56}$Co in GaAs and SiGe alloys

    CERN Multimedia

    Koskelo, O K

    2007-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of cobalt in GaAs and SiGe alloys under intrinsic conditions. In the literature only three previous studies for Co diffusion in GaAs may be found and the results differ by over four orders of magnitude from each other. For Co diffusion in SiGe alloys no previous data is available in the literature. For Co diffusion in Ge one study may be found but the results have been obtained with material having increased dislocation density. For dislocation-free material no previous measurements are available. For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{56}$Co$^{+}$ ion beam.

  17. SiGe BiCMOS manufacturing platform for mmWave applications

    Science.gov (United States)

    Kar-Roy, Arjun; Howard, David; Preisler, Edward; Racanelli, Marco; Chaudhry, Samir; Blaschke, Volker

    2010-10-01

    TowerJazz offers high volume manufacturable commercial SiGe BiCMOS technology platforms to address the mmWave market. In this paper, first, the SiGe BiCMOS process technology platforms such as SBC18 and SBC13 are described. These manufacturing platforms integrate 200 GHz fT/fMAX SiGe NPN with deep trench isolation into 0.18μm and 0.13μm node CMOS processes along with high density 5.6fF/μm2 stacked MIM capacitors, high value polysilicon resistors, high-Q metal resistors, lateral PNP transistors, and triple well isolation using deep n-well for mixed-signal integration, and, multiple varactors and compact high-Q inductors for RF needs. Second, design enablement tools that maximize performance and lowers costs and time to market such as scalable PSP and HICUM models, statistical and Xsigma models, reliability modeling tools, process control model tools, inductor toolbox and transmission line models are described. Finally, demonstrations in silicon for mmWave applications in the areas of optical networking, mobile broadband, phased array radar, collision avoidance radar and W-band imaging are listed.

  18. Facile fabrication of Si-doped TiO2 nanotubes photoanode for enhanced photoelectrochemical hydrogen generation

    Science.gov (United States)

    Dong, Zhenbiao; Ding, Dongyan; Li, Ting; Ning, Congqin

    2018-04-01

    Photoelectrochemical (PEC) water splitting based doping modified one dimensional (1D) titanium dioxide (TiO2) nanostructures provide an efficient method for hydrogen generation. Here we first successfully fabricated 1D Si-doped TiO2 (Ti-Si-O) nanotube arrays through anodizing Ti-Si alloys with different Si amount, and reported the PEC properties for water splitting. The Ti-Si-O nanotube arrays fabricated on Ti-5 wt.% Si alloy and annealed at 600 °C possess higher PEC activity, yielding a higher photocurrent density of 0.83 mA/cm2 at 0 V vs. Ag/AgCl. The maximum photoconversion efficiency was 0.54%, which was 2.7 times the photoconversion efficiency of undoped TiO2.

  19. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  20. Effect of different post-treatments on the bioactivity of alkali-treated Ti-5Si alloy.

    Science.gov (United States)

    Hsu, Hsueh-Chuan; Wu, Shih-Ching; Hsu, Shih-Kuang; Liao, Yi-Hang; Ho, Wen-Fu

    2017-01-01

    As titanium (Ti) alloys are bioinert, various chemically-modified Ti surface has been developed to promote bioactivity and bone ingrowth. In this study, various post treatments (water aging, hydrothermal, and heat treatments) were applied to NaOH-treated Ti-5Si to improve its bioactivity. The bioactivity of surface-modified Ti-5Si was access by using the apatite formation ability of Ti-5Si surfaces soaking in a simulated body fluid (SBF). The results showed that the NaOH-treated surface formed a porous network structure composed of sodium titanate hydrogel, which was changed to sodium titanate after subsequent post treatments, whereas sodium titanate, anatase and rutile phases were found on the Ti-5Si surfaces after heat treatment. After immersion in SBF for 14 days, compact apatite layers were observed on the surfaces of all the Ti-5Si tested. The results of XRD and FTIR indicated that the apatite deposited on the Ti-5Si substrate with various surface modified conditions was carbonate-substituted hydroxyapatite. The apatite-forming ability of the surface of the Ti-5Si was excellent, even though Ti-5Si was not subjected to surface modifications. As a result, the bioactivity of Ti-5Si alloy was verified by the apatite-forming ability, making it suitable for use in orthopedic and dental implants.

  1. The Analysis Of Structure For The Multi-Layered Of Ge/TiO2 Films Prepared By The Differential Prressure Co-Sputtering

    Directory of Open Access Journals (Sweden)

    Adachi Y.

    2015-06-01

    Full Text Available We tried to fabricate the Ge/TiO2 composite films with the differential pressure (pumping co-sputtering (DPCS apparatus in order to improve the optical properties. In the study, the micro structure of these thin films has been evaluated. TEM image revealed that the thin film was alternately layered with TiO2 and Ge, lattice fringes were observed both of Ge layer and TiO2 layer. There were portions that lattice fringe of Ge was disturbed near the interface of Ge and TiO2. X-ray photoelectron spectroscopy elucidated that there were few germanium oxides and a part with the thin film after annealed.

  2. Phonon-assisted relaxation and decoherence of singlet-triplet qubits in Si/SiGe quantum dots

    Directory of Open Access Journals (Sweden)

    Viktoriia Kornich

    2018-05-01

    Full Text Available We study theoretically the phonon-induced relaxation and decoherence of spin states of two electrons in a lateral double quantum dot in a SiGe/Si/SiGe heterostructure. We consider two types of singlet-triplet spin qubits and calculate their relaxation and decoherence times, in particular as a function of level hybridization, temperature, magnetic field, spin orbit interaction, and detuning between the quantum dots, using Bloch-Redfield theory. We show that the magnetic field gradient, which is usually applied to operate the spin qubit, may reduce the relaxation time by more than an order of magnitude. Using this insight, we identify an optimal regime where the magnetic field gradient does not affect the relaxation time significantly, and we propose regimes of longest decay times. We take into account the effects of one-phonon and two-phonon processes and suggest how our theory can be tested experimentally. The spin lifetimes we find here for Si-based quantum dots are significantly longer than the ones reported for their GaAs counterparts.

  3. Microstructure and properties of an Al-Ti-Cu-Si brazing alloy for SiC-metal joining

    Science.gov (United States)

    Dai, Chun-duo; Ma, Rui-na; Wang, Wei; Cao, Xiao-ming; Yu, Yan

    2017-05-01

    An Al-Ti-Cu-Si solid-liquid dual-phase alloy that exhibits good wettability and appropriate interfacial reaction with SiC at 500-600°C was designed for SiC-metal joining. The microstructure, phases, differential thermal curves, and high-temperature wetting behavior of the alloy were analyzed using scanning electron microscopy, X-ray diffraction analysis, differential scanning calorimetry, and the sessile drop method. The experimental results show that the 76.5Al-8.5Ti-5Cu-10Si alloy is mainly composed of Al-Al2Cu and Al-Si hypoeutectic low-melting-point microstructures (493-586°C) and the high-melting-point intermetallic compound AlTiSi (840°C). The contact angle, determined by high-temperature wetting experiments, is approximately 54°. Furthermore, the wetting interface is smooth and contains no obvious defects. Metallurgical bonding at the interface is attributable to the reaction between Al and Si in the alloy and ceramic, respectively. The formation of the brittle Al4C3 phase at the interface is suppressed by the addition of 10wt% Si to the alloy.

  4. Alleviation of process-induced cracking of the antireflection TiN coating (ARC-TiN) in Al-Cu and Al-Cu-Si films

    CERN Document Server

    Peng, Y C; Yang, Y R; Hsieh, W Y; Hsieh, Y F

    1999-01-01

    The alleviation of cracking of the TiN-ARC layer on Al-Cu and Al-Cu-Si films after the development process has been achieved. For the TiN-ARC/Al-Cu system, the stress-induced defects decreased with increasing TiN-ARC layer thickness. In contrast, for the TiN-ARC/Al-Cu-Si system, Si nodules formed during cooling, thereby inducing poor coverage with high aspect-ratio holes. As a result, the photoresist developer penetrated through the films. Chemical vapor deposition of TiN-ARC or predeposition of a Ti Interposing layer was used to eliminate the formation of Si nodules.

  5. Growth and relaxation processes in Ge nanocrystals on free-standing Si(001) nanopillars.

    Science.gov (United States)

    Kozlowski, G; Zaumseil, P; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-03-23

    We study the growth and relaxation processes of Ge crystals selectively grown by chemical vapour deposition on free-standing 90 nm wide Si(001) nanopillars. Epi-Ge with thickness ranging from 4 to 80 nm was characterized by synchrotron based x-ray diffraction and transmission electron microscopy. We found that the strain in Ge nanostructures is plastically released by nucleation of misfit dislocations, leading to degrees of relaxation ranging from 50 to 100%. The growth of Ge nanocrystals follows the equilibrium crystal shape terminated by low surface energy (001) and {113} facets. Although the volumes of Ge nanocrystals are homogeneous, their shape is not uniform and the crystal quality is limited by volume defects on {111} planes. This is not the case for the Ge/Si nanostructures subjected to thermal treatment. Here, improved structure quality together with high levels of uniformity of the size and shape is observed.

  6. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  7. Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane

    Energy Technology Data Exchange (ETDEWEB)

    Abedin, A., E-mail: aabedin@kth.se; Moeen, M.; Cappetta, C.; Östling, M.; Radamson, H.H., E-mail: rad@kth.se

    2016-08-31

    This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layers was examined by quantifying noise parameter, K{sub 1/f} obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16 mPa inside and in the interface of the layers. - Highlights: • SiGe layers were grown using trisilane and germane. • Effect of HCl flow on Ge content and growth rate was investigated. • O{sub 2} partial pressures up to 4.3 mPa did not affect x-ray diffraction pattern. • O{sub 2} partial pressures as low as 0.16 mPa increased the noise level. • HCl increased metal contaminations of the layers and the noise level consequently.

  8. Synthesis and Characterization of Ti-Phenyl at SiO2 Core-Shell Nanoparticles Catalyst

    International Nuclear Information System (INIS)

    Syamsi Aini; Jon Efendi; Syamsi Aini; Jon Efendi

    2012-01-01

    This study highlights the potential use of Ti-Phenyl at SiO 2 core-shell nanoparticles as heterogeneous catalysis in oxidation reaction. The Ti-Phenyl at SiO 2 was synthesized by reduction of TiCl 4 and diazonium salt with sodium borohydride to produce phenyl titanium nanoparticles (Ti-Phenyl), followed by the silica shell coating using tetraethyl orthosilicate (TEOS). The Ti-Phenyl at SiO 2 nanoparticles were characterized by Fourier transform infrared (FTIR) spectrometer, diffuse reflectance (DR) UV-visible spectrometer, thermogravimetric analyzer (TGA), X-ray diffraction (XRD) spectrometer, field emission scanning electron microscope (FESEM) and transmission electron microscope (TEM). The core-shell size of Ti-Phenyl at SiO 2 was in the range of 40 to 100 nm with its core composed with an agglomeration of Ti-Phenyl. The Ti-Phenyl at SiO 2 was active as a catalyst in the liquid phase epoxidation of 1-octene with aqueous hydrogen peroxide as an oxidant. (author)

  9. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  10. O-band quantum-confined Stark effect optical modulator from Ge/Si0.15Ge0.85 quantum wells by well thickness tuning

    International Nuclear Information System (INIS)

    Chaisakul, Papichaya; Marris-Morini, Delphine; Vakarin, Vladyslav; Vivien, Laurent; Frigerio, Jacopo; Chrastina, Daniel; Isella, Giovanni

    2014-01-01

    We report an O-band optical modulator from a Ge/Si 0.15 Ge 0.85 multiple quantum well (MQW). Strong O-band optical modulation in devices commonly operating within E-band wavelength range can be achieved by simply decreasing the quantum well thickness. Both spectral photocurrent and optical transmission studies are performed to evaluate material characteristics and device performance from a surface-illuminated diode and a waveguide modulator, respectively. These results demonstrate the potential of using Ge/Si 0.15 Ge 0.85 MQWs for the realization of future on-chip wavelength-division multiplexing systems with optical modulators operating at different wavelengths over a wide spectral range

  11. Heteroepitaxy of Ge on Si(001) with pits and windows transferred from free-standing porous alumina mask

    International Nuclear Information System (INIS)

    Huangfu, Yourui; Zhan Wenbo; Hong Xia; Fang Xu; Ye Hui; Ding Guqiao

    2013-01-01

    This paper reports the use of ultrathin free-standing porous alumina membrane (PAM) in pattern transferring for selective epitaxial growth (SEG) of Ge dots and films on Si. PAM, as a large-scale, controllable and lithography-free mask, can transfer nanopatterns onto Si without introducing any contaminants. High-density Ge dots are achievable with Ge adatoms confined in Si pits transferred from PAM. High-quality Ge films can also be grown on Si substrates through SiO 2 nano-windows. In this work, 80 and 60 nm pore sizes of PAM were transferred to 70, 50 and 20 nm windows for comparison. For the former two sizes, over-etching of Si beneath every SiO 2 window forms epi-seeds to improve intermixing of Ge–Si. No threading dislocations can be observed emanating from the epi-seeds due to the decreased lattice mismatch. An innovative shadow-etching technique utilizing the aspect ratio of PAM further decreased the lateral dimension of patterns from 60 to 20 nm. Cross-sectional transmission electron microscopy images show that the selective epitaxial Ge films grown from a 20 nm-width interface are defect free, which is attributed to the exponential decay of strain energy as well as Ge–Si intermixing. (paper)

  12. The Effectiveness of HCl and HF Cleaning of Si0.85Ge0.15 Surface

    International Nuclear Information System (INIS)

    Sun, Y

    2008-01-01

    The cleaning of Si 0.85 Ge 0.15 surfaces using HCl and HF solutions is studied using synchrotron radiation photoelectron spectroscopy. The HF solution is found to be effective in removing both the Si oxide and the Ge oxide while the HCl solution can only remove part of the Ge oxide. For samples treated with HF, four spectral components are needed to fit the Ge 3d photoemission spectra. One is the bulk component and the other three are attributed to the surface Ge atoms with mono-hydride, di-hydride and tri-hydride terminations, respectively

  13. Thermal expansion and elastic moduli of the silicide based intermetallic alloys Ti5Si3(X) and Nb5Si3

    International Nuclear Information System (INIS)

    Zhang, L.; Wu, J.

    1997-01-01

    Silicides are among those potential candidates for high temperature application because of their high melting temperature, low density and good oxidation resistance. Recent interest is focused on molybdenum silicides and titanium silicides. Extensive investigation has been carried out on MoSi 2 , yet comparatively less work was performed on titanium silicides such as Ti 5 Si 3 and Ti 3 and TiSi 2 which are of lower density than MoSi 2 . Fundamental understanding of the titanium silicides' properties for further evaluation their potential for practical application are thus needed. The thermal expansion coefficients and elastic moduli of intermetallic compounds are two properties important for evaluation as a first step. The thermal expansion determines the possible stress that might arise during cooling for these high melting point compounds, which is crucial to the preparation of defect free specimens; and the elastic moduli are usually reflections of the cohesion in crystal. In Frommeyer's work and some works afterwards, the coefficients of thermal expansion were measured on both polycrystalline and single crystal Ti 5 Si 3 . The elastic modulus of polycrystalline Ti 5 Si 3 was measured by Frommeyer and Rosenkranz. However, in the above works, the referred Ti 5 Si 3 was the binary one, no alloying effect has been reported on this matter. Moreover, the above parameters (coefficient of thermal expansion and elastic modulus) of Nb 5 Si 3 remain unreported so far. In this paper, the authors try to extend the knowledge of alloyed Ti 5 Si 3 compounds with Nb and Cr additions. Results on the coefficients of thermal expansion and elastic moduli of Ti 5 Si 3 compounds and Nb 5 Si 3 are presented and the discussion is focused on the alloying effect

  14. Self-assembly of Ge quantum dots on periodically corrugated Si surfaces

    International Nuclear Information System (INIS)

    Buljan, M.; Jerčinović, M.; Radić, N.; Facsko, S.; Baehtz, C.; Muecklich, A.; Grenzer, J.; Delač Marion, I.; Mikšić Trontl, V.; Kralj, M.; Holý, V.

    2015-01-01

    The fabrication of regularly ordered Ge quantum dot arrays on Si surfaces usually requires extensive preparation processing, ensuring clean and atomically ordered substrates, while the ordering parameters are quite limited by the surface properties of the substrate. Here, we demonstrate a simple method for fabrication of ordered Ge quantum dots with highly tunable ordering parameters on rippled Si surfaces. The ordering is achieved by magnetron sputter deposition, followed by an annealing in high vacuum. We show that the type of ordering and lattice vector parameters of the formed Ge quantum dot lattice are determined by the crystallographic properties of the ripples, i.e., by their shape and orientation. Moreover, the ordering is achieved regardless the initial amorphisation of the ripples surface and the presence of a thin oxide layer

  15. Electronic and geometric structures of Ge{sub n}{sup -} and Ge{sub n}{sup +} (n=5-10) clusters in comparison with corresponding Si{sub n} ions

    Energy Technology Data Exchange (ETDEWEB)

    Li Baoxing; Cao Peilin; Song Bin; Ye Zhezhen

    2003-02-10

    Using full-potential linear-muffin-tin-orbital molecular-dynamics (FP-LMTO-MD) method, we have studied the geometric and electronic structures of ionic Ge{sub 5-10} clusters. Our calculations show that the ground state structures of some Ge cluster ions are different from those of their corresponding neutral Ge clusters. Furthermore, the positive Ge ions have more severe structural distortion than the negative Ge ions due to Jahn-Teller distortion. In addition, there are differences between the ground state structures of Ge ions and Si ions, although most of the Ge ions have similar geometrical configurations to their corresponding Si ions.

  16. Nanoscale dynamic wetting and spreading of molten Ti alloy on 6H-SiC

    International Nuclear Information System (INIS)

    Tanaka, Shun-Ichiro; Iwamoto, Chihiro

    2008-01-01

    We have investigated nanoscale features at the reactive wetting front of the molten Ag-27.4 wt.% Cu-4.9 wt.% Ti on 6H-SiC using video movies recorded in situ on a high-temperature stage of a high-resolution transmission electron microscope and also proposed a model of a chemical reaction at each tip. One of the features of reactive wetting and spreading at 1073 K in 4 x 10 -5 Pa was the discontinuous motion of the tip, and the halting time depended on the thickness of an amorphous Si-O layer on SiC, which can be explained by the time needed for the decomposition of the layer by Ti atoms to form TiC nanoparticles since Ti atoms in the molten alloy sufficiently rapidly diffuse to the tip on the SiC surface. Molten Ti and TiC nanolayers preceded the Ti 5 Si 3 nanolayer at the tip. The reaction required to form the TiC nanolayer is also the rate-determining step for spreading. The contact angle of the tip increased up to 60-80 deg. when the tip halted, whereas the tip decreased down to 10 deg. on the nonbasal plane and 20 deg. on the basal plane of SiC when it traveled rapidly. The high traveling angle of the molten tip on the basal polar plane of SiC indicates a high interfacial energy between Ti and SiC(0 0 0 1)

  17. Scattering mechanisms in shallow undoped Si/SiGe quantum wells

    Directory of Open Access Journals (Sweden)

    D. Laroche

    2015-10-01

    Full Text Available We report the magneto-transport study and scattering mechanism analysis of a series of increasingly shallow Si/SiGe quantum wells with depth ranging from ∼ 100 nm to ∼ 10 nm away from the heterostructure surface. The peak mobility increases with depth, suggesting that charge centers near the oxide/semiconductor interface are the dominant scattering source. The power-law exponent of the electron mobility versus density curve, μ ∝ nα, is extracted as a function of the depth of the Si quantum well. At intermediate densities, the power-law dependence is characterized by α ∼ 2.3. At the highest achievable densities in the quantum wells buried at intermediate depth, an exponent α ∼ 5 is observed. We propose and show by simulations that this increase in the mobility dependence on the density can be explained by a non-equilibrium model where trapped electrons smooth out the potential landscape seen by the two-dimensional electron gas.

  18. $^{31}$Si Self-Diffusion in Si-Ge Alloys and Si-(B-)C-N Ceramics and Diffusion Studies for Al and Si Beam Developments

    CERN Multimedia

    Nylandsted larsen, A; Voss, T L; Strohm, A

    2002-01-01

    An invaluable method for studying diffusion in solids is the radiotracer technique. However, its applicability had been restricted to radiotracer atoms with half-lives $t_{1/2}$ of about 1~d or longer. Within the framework of IS372 a facility was developed in which short-lived radiotracer atoms ( 5min $\\scriptstyle{\\lesssim}$ $t_{1/2}\\scriptstyle{\\lesssim}$1 d ) can be used. For the implantation of the short-lived tracers the facility is flanged to the ISOLDE beamline, and all post-implantation steps required in the radiotracer technique are done in situ.\\\\ After successful application of this novel technique in diffusion studies of $^{11}$C ($t_{1/2}$ = 20.3 min), this experiment aims at performing self-diffusion studies of $^{31}$Si ($t_{1/2}$ = 2.6~h) in Si--Ge alloys and in amorphous Si--(B--)C--N ceramics.\\\\ Our motivation for measuring diffusion in Si--Ge alloys is their recent technological renaissance as well as the purpose to test the prediction that in these alloys the self-diffusion mechanism chang...

  19. Microstructure and mechanical properties of in situ TiC and Nd2O3 particles reinforced Ti-4.5 wt.%Si alloy composites

    International Nuclear Information System (INIS)

    Zhang, Xinjiang; Li, Yibin; Song, Guangping; Sun, Yue; Peng, Qingyu; Li, Yuxin; He, Xiaodong

    2011-01-01

    Highlights: → (TiC + Nd 2 O 3 )/Ti-4.5 wt.%Si composites were in situ synthesized. → The phase components and microstructures of the composites were investigated. → In situ reinforcements improve the mechanical properties of the matrix alloy. -- Abstract: (TiC + Nd 2 O 3 )/Ti-4.5 wt.%Si composites were in situ synthesized by a non-consumable arc-melting technology. The phases in the composites were identified by X-ray diffraction. Microstructures of the composites were observed by optical microscope and scanning electron microscope. The composite contains four phases: TiC, Nd 2 O 3 , Ti 5 Si 3 and Ti. The TiC and Nd 2 O 3 particles with dendritic and near-equiaxed shapes are well distributed in Ti-4.5 wt.%Si alloy matrix, and the fine Nd 2 O 3 particles exist in the network Ti + Ti 5 Si 3 eutectic cells and Ti matrix of the composites. The hardness and compressive strength of the composites are markedly higher than that of Ti-4.5 wt.%Si alloy. When the TiC content is fixed as 10 wt.% in the composites, the hardness is enhanced as the Nd 2 O 3 content increases from 8 wt.% to 13 wt.%, but the compressive strength peaks at the Nd 2 O 3 content of 8 wt.%.

  20. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  1. The formal combination of three singlet biradicaloid entities to a singlet hexaradicaloid metalloid Ge14[Si(SiMe3)3]5[Li(THF)2]3 cluster.

    Science.gov (United States)

    Schenk, Christian; Kracke, Andreas; Fink, Karin; Kubas, Adam; Klopper, Wim; Neumaier, Marco; Schnöckel, Hansgeorg; Schnepf, Andreas

    2011-03-02

    The reaction of GeBr with LiSi(SiMe(3))(3) leads to the metalloid cluster compound [(THF)(2)Li](3)Ge(14)[Si(SiMe(3))(3)](5) (1). After the introduction of a first cluster of this type, in which 14 germanium atoms form an empty polyhedron, [(THF)(2)Li](3)Ge(14)[Ge(SiMe(3))(3)](5) (2), we present here further investigations on 1 to obtain preliminary insight into its chemical and bonding properties. The molecular structure of 1 is determined via X-ray crystal structure solution using synchrotron radiation. The electronic structure of the Ge(14) polyhedron is further examined by quantum chemical calculations, which indicate that three singlet biradicaloid entities formally combine to yield the singlet hexaradicaloid character of 1. Moreover, the initial reactions of 1 after elimination of the [Li(THF)(2)](+) groups by chelating ligands (e.g., TMEDA or 12-crown-4) are presented. Collision induced dissociation experiments in the gas phase, employing FT-ICR mass spectrometry, lead to the elimination of the singlet biradicaloid Ge(5)H(2)[Si(SiMe(3))(3)](2) cluster. The unique multiradicaloid bonding character of the metalloid cluster 1 might be used as a model for reactions and properties in the field of surface science and nanotechnology.

  2. Type II band alignment in Ge1-x-ySixSny/Ge1-α-βSiαSnβ heterojunctions

    Science.gov (United States)

    Dey, Swagata; Mukhopadhyay, Bratati; Sen, Gopa; Basu, P. K.

    2018-02-01

    We have examined type II band alignment in Ge1-x-ySixSny/Ge1-α-βSiαSβ heterojunctions grown on virtual substrates in Si platform. It is found that, for different values of x, y, α and β, direct band gap type II band line up can be achieved for both tensile and compressive strains. The calculated band gap energy corresponds to the mid infrared to far infrared regions in the electromagnetic spectrum.

  3. Ge clusters and wetting layers forming from granular films on the Si(001) surface

    International Nuclear Information System (INIS)

    Storozhevykh, M S; Arapkina, L V; Yuryev, V A

    2016-01-01

    The report studies the transformation of a Ge granular film deposited on the Si(001) surface at room temperature into a Ge/Si(001) heterostructure as a result of rapid heating and annealing at 600 °C. As a result of the short-term annealing at 600 °C in conditions of a closed system, the Ge granular film transforms into a usual wetting layer and Ge clusters with multimodal size distribution and Ge oval drops having the highest number density. After the long-term thermal treatment of the Ge film at the same temperature, Ge drops disappear; the large clusters increase their sizes at the expense of the smaller ones. The total density of Ge clusters on the surface drastically decreases. The wetting layer mixed c(4 x 2) + p(2 x 2) reconstruction transforms into a single c(4 x 2) one which is likely to be thermodynamically favoured. Pyramids or domes are not observed on the surface after any annealing. (paper)

  4. Corrosion behavior of TiN, TiAlN, TiAlSiN thin films deposited on tool steel in the 3.5 wt.% NaCl solution

    International Nuclear Information System (INIS)

    Yoo, Yun Ha; Le, Diem Phuong; Kim, Jung Gu; Kim, Sun Kyu; Vinh, Pham Van

    2008-01-01

    TiN, TiAlN and TiAlSiN hard coatings were deposited onto AISI H13 tool steel by cathodic arc plasma method. X-ray diffraction (XRD) analysis confirmed that incorporation of Al and Si into TiN led to refinement of microstructure. From the results of potentiodynamic polarization test and electrochemical impedance spectroscopy (EIS) test conducted in an aerated 3.5% NaCl solution, the TiAlSiN film showed the best corrosion resistance with the lowest corrosion current density and porosity, the highest protective efficiency and total resistance (pore resistance plus charge transfer resistance)

  5. Design of electro-absorption modulator with tapered-mode coupler on the GeSi layer

    International Nuclear Information System (INIS)

    Li, Ym; Cheng, Bw

    2013-01-01

    A tapered-mode coupler integrated GeSi electro-absorption (EA) modulator is investigated theoretically. To improve the parameter insensitivity and modulation efficiency of the GeSi EA modulator based on evanescent coupling, a tapered coupler on the GeSi layer is introduced in our design. The two coupling mechanisms in our suggested structure are compared. Both the beam propagation method (BPM) calculation and coupling mode theory show almost 100% power transfer from the bottom rib waveguide to the GeSi layer. After a series of designs of the tapered coupler, we get a modulator with the advantages of both evanescent-coupling modulators (Feng et al 2011 Opt. Express 19 7062–7, Feng et al 2012 Opt. Express 20 22224–32, Liu et al 2008 Nature Photon. 2 433–7, Liu et al 2007 Opt. Express 15 623–8) and butt-coupling modulators (Lim et al 2011 Opt. Express 19 5040–6), that are ease of fabrication, low coupling loss, performance stability and high modulation efficiency. (paper)

  6. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  7. PECVD Tekniği ile Büyütülmüş İnce Filmlerde Oluşan Ge ve SiGe Nanokristallerin Geçirgen Elektron Mikroskobu (TEM) ,Raman ve Fotoışıma Spektroskopisi Teknikleri ile İncelenmesi

    OpenAIRE

    Şahin, Bünyamin; Ağan, Sedat

    2009-01-01

    We report an experimental study, optical properties of Ge and SiGe nanocrystals in SiOx structures are investigated by using Transmission Electron Microscopy (TEM), Raman and Photlüminescence Spectroscopy techniques. Ge nanocrystals in silicon oxide thin films have been grown with different annealing time by Plasma Enhanced Chemical Vapor Deposition (PECVD) technique. The aim of our work is to determine size and size distiributions Ge, SiGe nanocrystals in SiOx martix due to annealing process...

  8. Rational design of monocrystalline (InP)(y)Ge(5-2y)/Ge/Si(100) semiconductors: synthesis and optical properties.

    Science.gov (United States)

    Sims, Patrick E; Chizmeshya, Andrew V G; Jiang, Liying; Beeler, Richard T; Poweleit, Christian D; Gallagher, James; Smith, David J; Menéndez, José; Kouvetakis, John

    2013-08-21

    In this work, we extend our strategy previously developed to synthesize functional, crystalline Si(5-2y)(AlX)y {X = N,P,As} semiconductors to a new class of Ge-III-V hybrid compounds, leading to the creation of (InP)(y)Ge(5-2y) analogues. The compounds are grown directly on Ge-buffered Si(100) substrates using gas source MBE by tuning the interaction between Ge-based P(GeH3)3 precursors and In atoms to yield nanoscale "In-P-Ge3" building blocks, which then confer their molecular structure and composition to form the target solids via complete elimination of H2. The collateral production of reactive germylene (GeH2), via partial decomposition of P(GeH3)3, is achieved by simple adjustment of the deposition conditions, leading to controlled Ge enrichment of the solid product relative to the stoichiometric InPGe3 composition. High resolution XRD, XTEM, EDX, and RBS indicate that the resultant monocrystalline (InP)(y)Ge(5-2y) alloys with y = 0.3-0.7 are tetragonally strained and fully coherent with the substrate and possess a cubic diamond-like structure. Molecular and solid-state ab initio density functional theory (DFT) simulations support the viability of "In-P-Ge3" building-block assembly of the proposed crystal structures, which consist of a Ge parent crystal in which the P atoms form a third-nearest-neighbor sublattice and "In-P" dimers are oriented to exclude energetically unfavorable In-In bonding. The observed InP concentration dependence of the lattice constant is closely reproduced by DFT simulation of these model structures. Raman spectroscopy and ellipsometry are also consistent with the "In-P-Ge3" building-block interpretation of the crystal structure, while the observation of photoluminescence suggests that (InP)(y)Ge(5-2y) may have important optoelectronic applications.

  9. Electronic Structure and Chemical Bond of Ti3SiC2 and Adding Al Element

    Institute of Scientific and Technical Information of China (English)

    MIN Xinmin; LU Ning; MEI Bingchu

    2006-01-01

    The relation among electronic structure, chemical bond and property of Ti3SiC2 and Al-doped was studied by density function and discrete variation (DFT-DVM) method. When Al element is added into Ti3SiC2, there is a less difference of ionic bond, which does not play a leading role to influent the properties. After adding Al, the covalent bond of Al and the near Ti becomes somewhat weaker, but the covalent bond of Al and the Si in the same layer is obviously stronger than that of Si and Si before adding. Therefore, in preparation of Ti3SiC2, adding a proper quantity of Al can promote the formation of Ti3SiC2. The density of state shows that there is a mixed conductor character in both of Ti3SiC2 and adding Al element. Ti3SiC2 is with more tendencies to form a semiconductor. The total density of state near Fermi lever after adding Al is larger than that before adding, so the electric conductivity may increase after adding Al.

  10. Osteoblast Adhesion on Cathodic Arc Plasma Deposited Nano-Multilayered TiCrAlSiN Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu [University of Ulsan, Ulsan (Korea, Republic of); Pham, Vuong Hung [Hanoi University of Science and Technology (HUST), Hanoi (Viet Nam)

    2014-03-15

    Adhesion of osteoblast cells to TiCrAlSiN thin films was evaluated in vitro. Ti and TiCrAlSiN thin films were deposited on glass substrates by cathodic arc deposition. Surface roughness and chemistry of the TiCrAlSiN thin films was characterized by AFM and EPMA, respectively. Ti and TiCrAlSiN thin films and glass coverslips were cultured with human osteoblast cells (hFOB 1.19). The cell cytoskeleton was analyzed by observing the organization of actin stress fibers and microtubules. Cell proliferation was investigated by MTT assay and visualization. Focal contact adhesion was studied by observing the vinculin density. The results indicated that the TiCrAlSiN coating significantly influenced the actin cytoskeleton and microtubule organization. Human osteoblasts hFOB attached and proliferated better on TiCrAlSiN thin films with more focal contact adhesions than on Ti thin films or glass surfaces. These results suggest that TiCrAlSiN thin films can be an implantable material where the maximum cell adhesion is required.

  11. Corrosion Behavior of Ni3(Si,Ti + 2Mo in Hydrochloric Acid Solution

    Directory of Open Access Journals (Sweden)

    Gadang Priyotomo

    2013-10-01

    Full Text Available The corrosion behavior of Ni3(Si,Ti + 2Mo intermetallic compound (L12 and (L12 + Niss mixture region has been investigated using an immersion test, polarization method and surface analytical method (scanning electron microscope and energy-dispersive X-Ray spectrometry in 0.5 kmol/m3 hydrochloric acid (HCl solution at 303 K.  In addition, the results obtained were compared to those of the L12 single-phase Ni3(Si,Ti intermetallic compound and C 276 alloy.  It was found that Ni3(Si,Ti + 2Mo had the preferential dissolution of L12 with a lower Mo concentration compared to (L12 + Niss mixture region.  From the immersion test and polarization curves, Ni3(Si,Ti + 2Mo and C276 showed the lowest corrosion resistance and the highest corrosion resistance in the solution, respectively.  From this work, It implied that unlike C276, Ni3(Si,Ti +2Mo intermetallic compound was difficult to form a stable passive film in HCl solution as well as Ni3(Si,Ti in the same solution.

  12. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  13. Pulsed ion-beam induced nucleation and growth of Ge nanocrystals on SiO2

    International Nuclear Information System (INIS)

    Stepina, N. P.; Dvurechenskii, A. V.; Armbrister, V. A.; Kesler, V. G.; Novikov, P. L.; Gutakovskii, A. K.; Kirienko, V. V.; Smagina, Zh. V.; Groetzschel, R.

    2007-01-01

    Pulsed low-energy (200 eV) ion-beam induced nucleation during Ge deposition on thin SiO 2 film was used to form dense homogeneous arrays of Ge nanocrystals. The ion-beam action is shown to stimulate the nucleation of Ge nanocrystals when being applied after thin Ge layer deposition. Temperature and flux variation was used to optimize the nanocrystal size and array density required for memory device. Kinetic Monte Carlo simulation shows that ion impacts open an additional channel of atom displacement from a nanocrystal onto SiO 2 surface. This results both in a decrease in the average nanocrystal size and in an increase in nanocrystal density

  14. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  15. Self-Passivation by Fluorine Plasma Treatment and Low-Temperature Annealing in SiGe Nano wires for Biochemical Sensors

    International Nuclear Information System (INIS)

    Chang, K.; Chen, C.; Kuo, P.; Chen, Y.; Chang, T.; Lai, C.; Whang, A. J.; Lai, Y.; Chen, H.; Hsieh, I.

    2014-01-01

    Nano wires are widely used as highly sensitive sensors for electrical detection of biological and chemical species. Modifying the band structure of strained-Si metal-oxide-semiconductor field-effect transistors by applying the in-plane tensile strain reportedly improves electron and hole mobility. The oxidation-induced Ge condensation increases the Ge fraction in a SiGe-on-insulator (SGOI) and substantially increases hole mobility. However, oxidation increases the number of surface states, resulting in hole mobility degradation. In this work, 3-aminopropyltrimethoxysilane (APTMS) was used as a biochemical reagent. The hydroxyl molecule on the oxide surface was replaced by the methoxy groups of the APTMS molecule. We proposed a surface plasma treatment to improve the electrical properties of SiGe nano wires. Fluorine plasma treatment can result in enhanced rates of thermal oxidation and speed up the formation of a self-passivation oxide layer. Like a capping oxide layer, the self-passivation oxide layer reduces the rate of follow-up oxidation. Pre oxidation treatment also improved the sensitivity of SiGe nano wires because the Si-F binding was held at a more stable interface state compared to bare nano wire on the SiGe surface. Additionally, the sensitivity can be further improved by either the N 2 plasma posttreatment or the low-temperature post annealing due to the suppression of out diffusion of Ge and F atoms from the SiGe nano wire surface.

  16. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  17. Microstructure and properties of TiAlSiN coatings prepared by hybrid PVD technology

    International Nuclear Information System (INIS)

    Yu Donghai; Wang Chengyong; Cheng Xiaoling; Zhang Fenglin

    2009-01-01

    TiAlSiN coatings with different Si content were prepared by hollow cathode discharge (HCD) and mid-frequency magnetron sputtering (MFMS) hybrid coating deposition technology. The chemical composition, microstructure, mechanical properties of these coatings were systematically investigated by means of energy dispersive spectrometry (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), nanoindentation measurement, scratch and high speed milling hardened steel tests. The coatings prepared by this method showed the structure of crystalline phase was corresponding to that of TiAlN, however, different preferred orientation with addition of Si. Proper content of Si into TiAlN led to increase of microhardness and adhesion. TiAlSiN coated end mill with Si content of 4.78 at.% had the least flank wear, which was improved about 20% milling distance than TiAlN coated end mill.

  18. Microstructure and properties of TiAlSiN coatings prepared by hybrid PVD technology

    Energy Technology Data Exchange (ETDEWEB)

    Yu Donghai [Faculty of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Wang Chengyong, E-mail: cywang@gdut.edu.c [Faculty of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Cheng Xiaoling; Zhang Fenglin [Faculty of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2009-07-01

    TiAlSiN coatings with different Si content were prepared by hollow cathode discharge (HCD) and mid-frequency magnetron sputtering (MFMS) hybrid coating deposition technology. The chemical composition, microstructure, mechanical properties of these coatings were systematically investigated by means of energy dispersive spectrometry (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), nanoindentation measurement, scratch and high speed milling hardened steel tests. The coatings prepared by this method showed the structure of crystalline phase was corresponding to that of TiAlN, however, different preferred orientation with addition of Si. Proper content of Si into TiAlN led to increase of microhardness and adhesion. TiAlSiN coated end mill with Si content of 4.78 at.% had the least flank wear, which was improved about 20% milling distance than TiAlN coated end mill.

  19. Ge{sub 1−x}Si{sub x} on Ge-based n-type metal–oxide semiconductor field-effect transistors by device simulation combined with high-order stress–piezoresistive relationships

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang-Chun, E-mail: changchunlee@cycu.edu.tw [Department of Mechanical Engineering, Chung Yuan Christian University 200, Chung Pei Rd., Chungli City, Taoyuan County 32023, Taiwan, ROC (China); Hsieh, Chia-Ping [Department of Mechanical Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan, ROC (China); Huang, Pei-Chen; Cheng, Sen-Wen [Department of Mechanical Engineering, Chung Yuan Christian University 200, Chung Pei Rd., Chungli City, Taoyuan County 32023, Taiwan, ROC (China); Liao, Ming-Han [Department of Mechanical Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan, ROC (China)

    2016-03-01

    The considerably high carrier mobility of Ge makes Ge-based channels a promising candidate for enhancing the performance of next-generation devices. The n-type metal–oxide semiconductor field-effect transistor (nMOSFET) is fabricated by introducing the epitaxial growth of high-quality Ge-rich Ge{sub 1−x}Si{sub x} alloys in source/drain (S/D) regions. However, the short channel effect is rarely considered in the performance analysis of Ge-based devices. In this study, the gate-width dependence of a 20 nm Ge-based nMOSFET on electron mobility is investigated. This investigation uses simulated fabrication procedures combined with the relationship of the interaction between stress components and piezoresistive coefficients at high-order terms. Ge{sub 1−x}Si{sub x} alloys, namely, Ge{sub 0.96}Si{sub 0.04}, Ge{sub 0.93}Si{sub 0.07}, and Ge{sub 0.86}Si{sub 0.14}, are individually tested and embedded into the S/D region of the proposed device layout and are used in the model of stress estimation. Moreover, a 1.0 GPa tensile contact etching stop layer (CESL) is induced to explore the effect of bi-axial stress on device geometry and subsequent mobility variation. Gate widths ranging from 30 nm to 4 μm are examined. Results show a significant change in stress when the width is < 300 nm. This phenomenon becomes notable when the Si in the Ge{sub 1−x}Si{sub x} alloy is increased. The stress contours of the Ge channel confirm the high stress components induced by the Ge{sub 0.86}Si{sub 0.14} stressor within the device channel. Furthermore, the stresses (S{sub yy}) of the channel in the transverse direction become tensile when CESL is introduced. Furthermore, when pure S/D Ge{sub 1−x}Si{sub x} alloys are used, a maximum mobility gain of 28.6% occurs with an ~ 70 nm gate width. A 58.4% increase in mobility gain is obtained when a 1.0 GPa CESL is loaded. However, results indicate that gate width is extended to 200 nm at this point. - Highlights: • A 20 nm Ge-based n

  20. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  1. Strain-induced formation of fourfold symmetric SiGe quantum dot molecules.

    Science.gov (United States)

    Zinovyev, V A; Dvurechenskii, A V; Kuchinskaya, P A; Armbrister, V A

    2013-12-27

    The strain field distribution at the surface of a multilayer structure with disklike SiGe nanomounds formed by heteroepitaxy is exploited to arrange the symmetric quantum dot molecules typically consisting of four elongated quantum dots ordered along the [010] and [100] directions. The morphological transition from fourfold quantum dot molecules to continuous fortresslike quantum rings with an increasing amount of deposited Ge is revealed. We examine key mechanisms underlying the formation of lateral quantum dot molecules by using scanning tunneling microscopy and numerical calculations of the strain energy distribution on the top of disklike SiGe nanomounds. Experimental data are well described by a simple thermodynamic model based on the accurate evaluation of the strain dependent part of the surface chemical potential. The spatial arrangement of quantum dots inside molecules is attributed to the effect of elastic property anisotropy.

  2. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  3. Effect of p-Layer and i-Layer Properties on the Electrical Behaviour of Advanced a-Si:H/a-SiGe:H Thin Film Solar Cell from Numerical Modeling Prospect

    Directory of Open Access Journals (Sweden)

    Peyman Jelodarian

    2012-01-01

    Full Text Available The effect of p-layer and i-layer characteristics such as thickness and doping concentration on the electrical behaviors of the a-Si:H/a-SiGe:H thin film heterostructure solar cells such as electric field, photogeneration rate, and recombination rate through the cell is investigated. Introducing Ge atoms to the Si lattice in Si-based solar cells is an effective approach in improving their characteristics. In particular, current density of the cell can be enhanced without deteriorating its open-circuit voltage. Optimization shows that for an appropriate Ge concentration, the efficiency of a-Si:H/a-SiGe solar cell is improved by about 6% compared with the traditional a-Si:H solar cell. This work presents a novel numerical evaluation and optimization of amorphous silicon double-junction (a-Si:H/a-SiGe:H thin film solar cells and focuses on optimization of a-SiGe:H midgap single-junction solar cell based on the optimization of the doping concentration of the p-layer, thicknesses of the p-layer and i-layer, and Ge content in the film. Maximum efficiency of 23.5%, with short-circuit current density of 267 A/m2 and open-circuit voltage of 1.13 V for double-junction solar cell has been achieved.

  4. Nanocrystalline SiC and Ti3SiC2 Alloys for Reactor Materials: Diffusion of Fission Product Surrogates

    Energy Technology Data Exchange (ETDEWEB)

    Henager, Charles H. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jiang, Weilin [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2014-11-01

    MAX phases, such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been suggested in the literature as a possible fuel cladding material. Prior to the application, it is necessary to investigate diffusivities of fission products in the ternary compound at elevated temperatures. This study attempts to obtain relevant data and make an initial assessment for Ti3SiC2. Ion implantation was used to introduce fission product surrogates (Ag and Cs) and a noble metal (Au) in Ti3SiC2, SiC, and a dual-phase nanocomposite of Ti3SiC2/SiC synthesized at PNNL. Thermal annealing and in-situ Rutherford backscattering spectrometry (RBS) were employed to study the diffusivity of the various implanted species in the materials. In-situ RBS study of Ti3SiC2 implanted with Au ions at various temperatures was also performed. The experimental results indicate that the implanted Ag in SiC is immobile up to the highest temperature (1273 K) applied in this study; in contrast, significant out-diffusion of both Ag and Au in MAX phase Ti3SiC2 occurs during ion implantation at 873 K. Cs in Ti3SiC2 is found to diffuse during post-irradiation annealing at 973 K, and noticeable Cs release from the sample is observed. This study may suggest caution in using Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures. Further studies of the related materials are recommended.

  5. Modified Stranski-Krastanov growth in Ge/Si heterostructures via nanostenciled pulsed laser deposition.

    Science.gov (United States)

    MacLeod, J M; Cojocaru, C V; Ratto, F; Harnagea, C; Bernardi, A; Alonso, M I; Rosei, F

    2012-02-17

    The combination of nanostenciling with pulsed laser deposition (PLD) provides a flexible, fast approach for patterning the growth of Ge on Si. Within each stencilled site, the morphological evolution of the Ge structures with deposition follows a modified Stranski-Krastanov (SK) growth mode. By systematically varying the PLD parameters (laser repetition rate and number of pulses) on two different substrate orientations (111 and 100), we have observed corresponding changes in growth morphology, strain and elemental composition using scanning electron microscopy, atomic force microscopy and μ-Raman spectroscopy. The growth behaviour is well predicted within a classical SK scheme, although the Si(100) growth exhibits significant relaxation and ripening with increasing coverage. Other novel aspects of the growth include the increased thickness of the wetting layer and the kinetic control of Si/Ge intermixing via the PLD repetition rate.

  6. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  7. Magnetic phase transitions and magnetocaloric effect of MnCoGe{sub 1−x}Si{sub x}

    Energy Technology Data Exchange (ETDEWEB)

    Lai, J.W.; Zheng, Z.G.; Montemayor, R.; Zhong, X.C.; Liu, Z.W.; Zeng, D.C., E-mail: medczeng@scut.edu.cn

    2014-12-15

    Here a cheaper alternative magnetic refrigeration material using MnCoGe{sub 1−x}Si{sub x} (x=0, 0.1, 0.3, and 0.5) alloys were investigated. The polycrystalline MnCoGe{sub 1−x}Si{sub x} alloys were prepared by arc-melting followed by annealing at 1123 K for 72 h. At a 0.5 at% Si substitution, the Curie temperatures increased by 10% to 374 K, the magnetic entropy change (|ΔS{sub M}|) values decreased by 32% to 2.1 J kg{sup −1} K{sup −1} in an applied field from 0 to 2 T and by 24% to 4.4 J kg{sup −1} K{sup −1} in an applied field from 0 to 5 T. However, the refrigeration capacity (RC{sub FWHM}) of this MnCoGe{sub 0.5}Si{sub 0.5} alloy improved by 27% to 282 J kg{sup −1} and the operation temperature range (δT{sub FWHM}) improved by 92% to 96 K in an applied field at 5 T. The MnCoGe{sub 0.5}Si{sub 0.5} alloy can compete with some of the MCE properties of the MnCo{sub 0.95}Ni{sub 0.05}Ge, LaFe{sub 11}Al{sub 2}C{sub 0.5}, (Fe{sub 85}Co{sub 5}Cr{sub 10}){sub 91}Zr{sub 7}B{sub 2}, and even the Gd{sub 5}Si{sub 2}Ge{sub 2} alloys. The broad operation temperature range (96 K) at a higher Curie temperature (374 K) suggests that the MnCoGe{sub 0.5}Si{sub 0.5} alloy can become a cheaper alternative MCE material (costing 70% less than a MnCoGe alloy). - Highlights: • The economic Si substitutes the noble metal Ge and broadens temperature span(96 K). • The T{sub C} arises when increasing Si in higher room temperature range, 340–374 K. • High thermal stability make them attractive for service temperature of above 300 K. • When Si increases, ΔS{sub M} decreases slightly due to the spontaneous magnetization M{sub S}. • The superior refrigerant capacity indicates that Si substitution benefits the MCE.

  8. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  9. Resistance change effect in SrTiO3/Si (001) isotype heterojunction

    Science.gov (United States)

    Huang, Xiushi; Gao, Zhaomeng; Li, Pei; Wang, Longfei; Liu, Xiansheng; Zhang, Weifeng; Guo, Haizhong

    2018-02-01

    Resistance switching has been observed in double and multi-layer structures of ferroelectric films. The higher switching ratio opens up a vast path for emerging ferroelectric semiconductor devices. An n-n+ isotype heterojunction has been fabricated by depositing an oxide SrTiO3 layer on a conventional n-type Si (001) substrate (SrTiO3/Si) by pulsed laser disposition. Rectification and resistive switching behaviors in the n-n+ SrTiO3/Si heterojunction were observed by a conductive atomic force microscopy, and the n-n+ SrTiO3/Si heterojunction exhibits excellent endurance and retention characteristics. The possible mechanism was proposed based on the band structure of the n-n+ SrTiO3/Si heterojunction, and the observed electrical behaviors could be attributed to the modulation effect of the electric field reversal on the width of accumulation and the depletion region, as well as the height of potential of the n-n+ junction formed at the STO/Si interface. Moreover, oxygen vacancies are also indicated to play a crucial role in causing insulator to semiconductor transition. These results open the way to potential application in future microelectronic devices based on perovskite oxide layers on conventional semiconductors.

  10. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  11. Gate length scaling trends of drive current enhancement in CMOSFETs with dual stress overlayers and embedded-SiGe

    International Nuclear Information System (INIS)

    Flachowsky, S.; Wei, A.; Herrmann, T.; Illgen, R.; Horstmann, M.; Richter, R.; Salz, H.; Klix, W.; Stenzel, R.

    2008-01-01

    Strain engineering in MOSFETs using tensile nitride overlayer (TOL) films, compressive nitride overlayer (COL) films, and embedded-SiGe (eSiGe) is studied by extensive device experiments and numerical simulations. The scaling behavior was analyzed by gate length reduction down to 40 nm and it was found that drive current strongly depends on the device dimensions. The reduction of drain-current enhancement for short-channel devices can be attributed to two competing factors: shorter gate length devices have increased longitudinal and vertical stress components which should result in improved drain-currents. However, there is a larger degradation from external resistance as the gate length decreases, due to a larger voltage dropped across the external resistance. Adding an eSiGe stressor reduces the external resistance in the p-MOSFET, to the extent that the drive current improvement from COL continues to increase even down the shortest gate length studied. This is due to the reduced resistivity of SiGe itself and the SiGe valence band offset relative to Si, leading to a smaller silicide-active contact resistance. It demonstrates the advantage of combining eSiGe and COL, not only for increased stress, but also for parasitic resistance reduction to enable better COL drive current benefit

  12. Synthesis of SiCN@TiO2 core-shell ceramic microspheres via PDCs method

    Science.gov (United States)

    Liu, Hongli; Wei, Ning; Li, Jing; Zhang, Haiyuan; Chu, Peng

    2018-02-01

    A facile and effective polymer-derived ceramics (PDCs) emulsification-crosslinking-pyrolysis method was developed to fabricate SiCN@TiO2 core-shell ceramic microspheres with polyvinylsilazane (PVSZ) and tetrabutyl titanate (TBT) as precursors. The TBT: PVSZ mass ratios, emulsifier concentrations and the pyrolysis temperature were examined as control parameters to tune the size and morphology of microspheres. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) confirmed the synthesized SiCN@TiO2 microspheres to be comprised of SiCN core coated with TiO2 crystals, with an average size of 0.88 μm when pyrolyzed at 1400 °C. The analysis of Fourier transform infrared spectroscopy (FT-IR), energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD) ensured that SiCN@TiO2 core-shell ceramic microspheres composed of rutile TiO2, β-SiC and Si3N4 crystalline phases, The thermal properties were characterized by thermogravimetric analysis (TGA). The obtained SiCN@TiO2 core-shell ceramic microspheres were the promising candidate of the infrared opacifier in silica aerogels and this technique can be extended to other preceramic polymers.

  13. Thermal transport in Si and Ge nanostructures in the 'confinement' regime.

    Science.gov (United States)

    Kwon, Soonshin; Wingert, Matthew C; Zheng, Jianlin; Xiang, Jie; Chen, Renkun

    2016-07-21

    Reducing semiconductor materials to sizes comparable to the characteristic lengths of phonons, such as the mean-free-path (MFP) and wavelength, has unveiled new physical phenomena and engineering capabilities for thermal energy management and conversion systems. These developments have been enabled by the increasing sophistication of chemical synthesis, microfabrication, and atomistic simulation techniques to understand the underlying mechanisms of phonon transport. Modifying thermal properties by scaling physical size is particularly effective for materials which have large phonon MFPs, such as crystalline Si and Ge. Through nanostructuring, materials that are traditionally good thermal conductors can become good candidates for applications requiring thermal insulation such as thermoelectrics. Precise understanding of nanoscale thermal transport in Si and Ge, the leading materials of the modern semiconductor industry, is increasingly important due to more stringent thermal conditions imposed by ever-increasing complexity and miniaturization of devices. Therefore this Minireview focuses on the recent theoretical and experimental developments related to reduced length effects on thermal transport of Si and Ge with varying size from hundreds to sub-10 nm ranges. Three thermal transport regimes - bulk-like, Casimir, and confinement - are emphasized to describe different governing mechanisms at corresponding length scales.

  14. Characterization and properties Ti-Al-Si-N nanocomposite coatings prepared by middle frequency magnetron sputtering

    Science.gov (United States)

    Zou, C. W.; Zhang, J.; Xie, W.; Shao, L. X.; Guo, L. P.; Fu, D. J.

    2011-10-01

    TiN-containing amorphous Ti-Al-Si-N (nc-TiN/a-Si 3N 4 or a-AlN) nanocomposite coatings were deposited by using a modified closed field twin unbalanced magnetron sputtering system which is arc assisted and consists of two circles of targets, at a substrate temperature of 300 °C. XRD, XPS and High-resolution TEM experiments showed that the coatings contain TiN nanocrystals embedded in the amorphous Si 3N 4 or AlN matrix. The coatings exhibit good mechanical properties that are greatly influenced by the Si contents. The hardness of the Ti-Al-Si-N coatings deposited at Si targets currents of 5, 8, 10, and 12 A were 45, 47, 54 and 46 GPa, respectively. The high hardness of the deposited Ti-Al-Si-N coatings may be own to the plastic distortion and dislocation blocking by the nanocrystalline structure. On the other hand, the friction coefficient decreases monotonously with increasing Si contents. This result would be caused by tribo-chemical reactions, which often take place in many ceramics, e.g. Si 3N 4 reacts with H 2O to produce SiO 2 or Si(OH) 2 tribolay-layer.

  15. Interfacial study of NiTi–Ti{sub 3}SiC{sub 2} solid state diffusion bonded joints

    Energy Technology Data Exchange (ETDEWEB)

    Kothalkar, A. [Department of Materials Science and Engineering, Texas A and M University, College Station, TX 77843 (United States); Cerit, A. [Department of Industrial Design Engineering, Erciyes University, Kayseri (Turkey); Proust, G. [School of Civil Engineering, University of Sydney, Sydney, NSW 2006 (Australia); Basu, S. [Agilent Technologies, Chandler, AZ (United States); Radovic, M., E-mail: mradovic@tamu.edu [Department of Materials Science and Engineering, Texas A and M University, College Station, TX 77843 (United States); Karaman, I., E-mail: ikaraman@tamu.edu [Department of Materials Science and Engineering, Texas A and M University, College Station, TX 77843 (United States)

    2015-01-12

    The interfaces between the stress-assisted diffusion bonded Ti{sub 3}SiC{sub 2} and equiatomic NiTi, two distinct material systems that show pseudoelasticity were studied. The interfaces were formed in the 800–1000 °C temperature range, for 1, 5 and 10 h under flowing argon. Bonding was observed in all the cases considered, except at 800 °C after 1 h. Morphology and reaction phases in the interface were characterized using scanning electron microscopy, elemental micro probe analysis and electron backscatter diffraction analysis. The interfacial structure formed between NiTi and Ti{sub 3}SiC{sub 2} layers consists of NiTi/Ti{sub 2}Ni/Ti{sub 5}Si{sub 3}/NiTiSi/Ti{sub 3}SiC{sub 2}. Diffusion of Si into NiTi from Ti{sub 3}SiC{sub 2}, and Ni from NiTi into reaction zone was found to be responsible for the formation of reaction layers in the interface and thus for bonding at these conditions. The overall reaction layer thickness grows following the parabolic kinetic law. Nano-indentation and Vickers micro hardness tests were carried out to investigate the mechanical properties of the interface. Nano-indentation showed that the elastic moduli of the phases in the interface are close to that of Ti{sub 3}SiC{sub 2} while their hardness is higher than that of both Ti{sub 3}SiC{sub 2} and NiTi. Artificially formed cracks through microindents were observed to be branched and propagated into Ti{sub 3}SiC{sub 2} phase indicating good resistance against delamination.

  16. Critical thickness of transition from 2D to 3D growth and peculiarities of quantum dots formation in GexSi1-x/Sn/Si and Ge1-ySny/Si systems

    Science.gov (United States)

    Lozovoy, Kirill A.; Kokhanenko, Andrey P.; Voitsekhovskii, Alexander V.

    2018-03-01

    Nowadays using of tin as one of the deposited materials in GeSi/Sn/Si, GeSn/Si and GeSiSn/Si material systems is one of the most topical problems. These materials are very promising for various applications in nanoelectronics and optoelectronics due to possibility of band gap management and synthesis of direct band semiconductors within these systems. However, there is a lack of theoretical investigations devoted to the peculiarities of germanium on silicon growth in the presence of tin. In this paper a new theoretical approach for modeling growth processes of binary and ternary semiconductor compounds during the molecular beam epitaxy in these systems is presented. The established kinetic model based on the general nucleation theory takes into account the change in physical and mechanical parameters, diffusion coefficient and surface energies in the presence of tin. With the help of the developed model the experimentally observed significant decrease in the 2D-3D transition temperatures for GeSiSn/Si system compared to GeSi/Si system is theoretically explained for the first time in the literature. Besides that, the derived expressions allow one to explain the experimentally observed temperature dependencies of the critical thickness, as well as to predict the average size and surface density of quantum dots for different contents and temperatures in growth experiment, that confirms applicability of the model proposed. Moreover, the established model can be easily applied to other material systems in which the Stranski-Krastanow growth mode occurs.

  17. Technology computer aided design for Si, SiGe and GaAs integrated circuits

    CERN Document Server

    Armstrong, GA

    2007-01-01

    The first book to deal with a broad spectrum of process and device design, and modelling issues related to semiconductor devices, bridging the gap between device modelling and process design using TCAD. Examples for types of Si-, SiGe-, GaAs- and InP-based heterostructure MOS and bipolar transistors are compared with experimental data from state-of-the-art devices. With various aspects of silicon heterostructures, this book presents a comprehensive perspective of emerging fields and covers topics ranging from materials to fabrication, devices, modelling and applications. Aimed at research-and-

  18. Interfacial microstructure of Si{sub 3}N{sub 4}/Si{sub 3}N{sub 4} brazing joint with Cu-Zn-Ti filler alloy

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, J. [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China)], E-mail: hitzhangjie@hit.edu.cn; Zhang, X.M.; Zhou, Y. [School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Naka, M. [Joining and Welding Research Institute, Osaka University, Ibaraki, Osaka 567-0047 (Japan); Svetlana, Atroshenko [Faculty of Mathematics and Mechanics, Saint-Petersburg State University (Russian Federation)

    2008-11-15

    In this study, Si{sub 3}N{sub 4} ceramic was jointed by a brazing technique with a Cu-Zn-Ti filler alloy. The interfacial microstructure between Si{sub 3}N{sub 4} ceramic and filler alloy in the Si{sub 3}N{sub 4}/Si{sub 3}N{sub 4} joint was observed and analyzed by using electron-probe microanalysis, X-ray diffraction and transmission electron microscopy. The results indicate that there are two reaction layers at the ceramic/filler interface in the joint, which was obtained by brazing at a temperature and holding time of 1223 K and 15 min, respectively. The layer nearby the Si{sub 3}N{sub 4} ceramic is a TiN layer with an average grain size of 100 nm, and the layer nearby the filler alloy is a Ti{sub 5}Si{sub 3}N{sub x} layer with an average grain size of 1-2 {mu}m. Thickness of the TiN and Ti{sub 5}Si{sub 3}N{sub x} layers is about 1 {mu}m and 10 {mu}m, respectively. The formation mechanism of the reaction layers was discussed. A model showing the microstructure from Si{sub 3}N{sub 4} ceramic to filler alloy in the Si{sub 3}N{sub 4}/Si{sub 3}N{sub 4} joint was provided as: Si{sub 3}N{sub 4} ceramic/TiN reaction layer/Ti{sub 5}Si{sub 3}N{sub x} reaction layer/Cu-Zn solution.

  19. Vertical and lateral ordering of Ge islands grown on Si(001): theory and experiments

    International Nuclear Information System (INIS)

    Montalenti, F; Marzegalli, A; Capellini, G; Seta, M De; Miglio, Leo

    2007-01-01

    A set of recent results concerning lateral and vertical ordering of Ge islands grown on Si(001) is reviewed. Experimental data generated by chemical vapour deposition and analysed by atomic force microscopy and photoelectron spectroscopy are compared with computer simulations and modelling based on atomistic approaches and continuum theory. In particular, we show that it is possible to probe experimentally the detailed strain field generated by buried Ge islands at the surface of the Si capping layer. The observed arrangement of small Ge islands grown over the capping layer is demonstrated to be very close to the one predicted by a simple model where the local chemical potential is inferred from the strain field at the atomic scale, as given by Tersoff-potential molecular dynamics simulations. Moreover, we review recent experimental evidence for lateral ordering, triggered by partial Si capping, in the first layer of Ge islands on Si(001). Theoretical support is given by showing that when two islands lie in close proximity the elastic field is likely to generate a flow of atoms leading to an effective gliding motion along opposite directions of both islands, eventually stopped by the presence of further neighbouring islands

  20. Boron diffusion in strained and strain-relaxed SiGe

    International Nuclear Information System (INIS)

    Wang, C.C.; Sheu, Y.M.; Liu, Sally; Duffy, R.; Heringa, A.; Cowern, N.E.B.; Griffin, P.B.

    2005-01-01

    SiGe has been utilized for aggressive CMOS technologies development recently and there are many references [M. Shima, T. Ueno, T. Kumise, H. Shido, Y. Sakuma, S. Nakamura, Symposium on VLSI Technology Technical Digest, 2002, pp. 94-95; T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, International Electron Devices Meeting Technical Digest, December 2003, pp. 978-980; P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R. Heussner, M. Hussein, J. Hwang, D. Ingerly, R. James, J. Jeong, C. Kenyon, E. Lee, S. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar, J. Steigerwald, S. Tyagi, C. Weber, B. Woolery, A. Yeoh, K. Zhang, M. Bohr, International Electron Devices Meeting Technical Digest, December 2004, pp. 657-660] presenting the advantages brought by it. A better understanding regarding the boron diffusion behavior within and in the vicinity of SiGe is necessary to optimize the extension and the source/drain in pMOSFET. In order to achieve the goal, both effects from mechanical strain and Ge doping on boron diffusion have been investigated. However, only a few publications discuss the impacts of both. Furthermore, most researches investigate these two effects under the conditions of low boron concentration [P. Kuo, J.L. Hoyt, J.F. Gibbons, J.E. Turner, D. Lefforge, Appl. Phys. Lett. 66 (January (5)) (1995) 580-582; N.R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted Larsen, J. Appl. Phys. 94 (September (6)) (2003) 3883-3890] and high thermal budget anneal [P. Kuo, J.L. Hoyt, J.F. Gibbons, J.E. Turner, D. Lefforge, Appl. Phys. Lett. 66 (January (5)) (1995) 580-582; N.R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted Larsen, J. Appl

  1. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  2. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  3. Interplay between magnetic quantum criticality, Fermi surface and unconventional superconductivity in UCoGe, URhGe and URu2Si2

    International Nuclear Information System (INIS)

    Bastien, Gael

    2017-01-01

    This thesis is concentrated on the ferromagnetic superconductors UCoGe and URhGe and on the hidden order state in URu 2 Si 2 . In the first part the pressure temperature phase diagram of UCoGe was studied up to 10.5 GPa. Ferromagnetism vanishes at the critical pressure pc≅1 GPa. Unconventional superconductivity and non Fermi liquid behavior can be observed in a broad pressure range around pc. The superconducting upper critical field properties were explained by the suppression of the magnetic fluctuations under field. In the second part the Fermi surfaces of UCoGe and URhGe were investigated by quantum oscillations. In UCoGe four Fermi surface pockets were observed. Under magnetic field successive Lifshitz transitions of the Fermi surface have been detected. The observed Fermi surface pockets in UCoGe evolve smoothly with pressure up to 2.5 GPa and do not show any Fermi surface reconstruction at the critical pressure pc. In URhGe, three heavy Fermi surface pockets were detected by quantum oscillations. In the last part the quantum oscillation study in the hidden order state of URu 2 Si 2 shows a strong g factor anisotropy for two Fermi surface pockets, which is compared to the macroscopic g factor anisotropy extracted from the upper critical field study. (author) [fr

  4. Growth and structure of Si and Ge in vanadium oxide nanomesh on Pd(1 1 1) studied by STM and DFT

    International Nuclear Information System (INIS)

    Chan, Lap Hong; Hayazaki, Shinji; Ogawa, Kokushi; Yuhara, Junji

    2013-01-01

    Highlights: ► We studied the growth and structure of Si and Ge in vanadium oxide nanomesh on Pd(1 1 1) by STM and DFT calculations. ► All the Si atoms formed isolated Si nanoclusters. ► Some Ge atoms formed monomer Ge nanodots on Pd(1 1 1), while the others formed isolated Ge nanoclusters. - Abstract: The growth of silicon (Si)/germanium (Ge) atoms in a well ordered (4 × 4) vanadium (V) oxide nanomesh on Pd(1 1 1) prepared by ultra-high-vacuum evaporation has been studied by scanning tunneling microscopy (STM) and ab initio density functional theory (DFT) calculations. At the very beginning of the Si deposition, all of the Si atoms deposited were adsorbed on top of the V-oxide nanomesh, forming Si nanoclusters, and each Si atom formed was isolated other Si atoms. Two different adsorption sites for Si atoms were observed by STM. In the case of Ge deposition, some Ge atoms filled the vanadium oxide nanoholes, forming Ge nanodots on Pd(1 1 1), while the others were adsorbed on top of the V-oxide nanomesh, forming isolated Ge nanoclusters. The ab initio DFT total-energy calculations indicated that the Ge atoms occupying the nanohole were more stable than those adsorbed on the nanomesh. The simulated images were highly consistent with the experimental STM images with the exception of the Ge nanodots, which exhibited a large, uniform protrusion in the STM images. Therefore, the adsorbed atom might be mobile in the nanohole at room temperature, possibly as a result of interaction with the STM tip.

  5. Ge 3P 6Si 2O 25: A cage structure closely related to the intersecting tunnel structure KMo 3P 6Si 2O 25

    Science.gov (United States)

    Leclaire, A.; Raveau, B.

    1988-08-01

    A germanosilicophosphate Ge 3P 6Si 2O 25 has been isolated. Its structure was solved from a single-crystal study in the space group P overline31c . Its cell parameters are a = b = 7.994(1) Å, c = 16.513(2) Å, Z = 2. The refinement by full-matrix least-squares calculations leads to R = 0.043 with 686 independent reflections. The structure of this oxide is built up from corner-sharing PO 4 and SiO 4 tetrahedra and GeO 6 octahedra. One observes a feature common to several silicophosphates: the presence of the structural unit P 6Si 2O 25 built up from a disilicate group sharing its corners with six PO 4 tetrahedra. The structural relationships between this oxide and the silicophosphates AMo 3P 6Si 2O 25 and Si 3P 6Si 2O 25 (or Ge 3P 6 Ge 2O 25) are described.

  6. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  7. SiGe/Si layers-early stages of plastic relaxation

    International Nuclear Information System (INIS)

    Koehler, R; Raidt, H; Neumann, W; Pfeiffer, J-U; Schaefer, H; Richter, U

    2005-01-01

    The plastic relaxation of SiGe/Si is closely related to the nucleation of misfit dislocations at early stages. We have investigated the very early stages at annealing temperatures ranging from 520 deg. C to 670 deg. C by means of x-ray topography (XRT), atomic force microscopy (AFM) and transmission electron microscopy. At misfit dislocation densities within the range accessible by XRT, i.e. up to about 1000 cm -1 , dislocations bundles predominate. This is verified by AFM and is explained by heterogeneous nucleation. The fewer the dislocations that are contained within dislocation bundles the rarer the blocking and cross slip that are observed. It is demonstrated that laser heating increases the number of nucleation centres drastically and is well suited to induce nucleation at selected sites. Furthermore, these nucleation centres provide dislocation bundles containing only a few dislocations. In contrast to this, implantation can produce defects that stop dislocation propagation quite effectively at the comparatively low temperatures used in our experiments

  8. A note on the Sumerian expression SI-ge4-de3/dam

    Directory of Open Access Journals (Sweden)

    Widell, Magnus

    2002-12-01

    Full Text Available The expression SI-ge4-dam/de3 appears in some of the loan documents of the Ur III period where it was used to establish the interest rate or the loan fee. In addition, it is sometimes preceded by ki-ba 'in its/this place/ground' or, in some cases, ma2 -a 'in the boat'. The regular verb SI.g was closely related, perhaps even synonymous with, the reduplication verb ḡar/ḡa2-ḡa2 'to put' or 'to place'. While it may be concluded that SI-ge4-dam/de3 had nothing to do with the verb si 'to fill' or gi4 'to return', the correct analysis of the expression remains somewhat uncertain. The article proposes that the SI should be read se and understood as a phonetic writing for the regular verb se3.g 'to put', 'to place'. The combination of the verb with the ki-ba may suggest that a more parochial form of keeping products existed side by side with the large centralized granaries and storehouses of the city.La expresión SI-ge4-dam/de3 aparece en algunos contratos de préstamo del período de Ur III, donde se empleaba para determinar el interés de dicho préstamo. Por otra parte, este término se hallaba a veces precedido de ki-ba 'en su/este lugar/suelo', y en algunos casos por ma2 -a 'en la barca'. El verbo regular SI.g está muy relacionado (quizás es incluso sinónimo con el verbo de la clase de la reduplicación ḡar/ḡa2-ḡa2 'poner' o 'colocar'. Mientras que puede concluirse que SI-ge4-dam/de3 no tiene nada que ver con el verbo si 'llenar', ni con gi4 'regresar, devolver', el análisis correcto de la expresión sigue siendo, de algún modo, incierto. En el artículo se propone que SI puede leerse como se , entendiéndolo como una escritura fonética del verbo regular se3.g 'poner', 'colocar'. La combinación del verbo con ki-ba podría indicar que, junto a los grandes graneros y almacenes centrales de la ciudad, había un modo distinto y más modesto de conservar los productos.

  9. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  10. Structure, mechanical and tribological properties of self-toughening TiSiN/Ag multilayer coatings on Ti6Al4V prepared by arc ion plating

    Energy Technology Data Exchange (ETDEWEB)

    Dang, Chaoqun [School of Materials Science and Engineering, Shanghai University, Shanghai 200072 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Li, Jinlong, E-mail: lijinlong11@126.com [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Wang, Yue; Chen, Jianmin [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2016-11-15

    Graphical abstract: Hardness and elastic modulus of TiSiN coating (C1) and TiSiN/Ag multilayer coatings with different thickness of individual Ag layers of 33.87 nm (C2), 30.01 nm (C3), 26.67 nm (C4), 22.22 nm (C5) and 10.67 nm (C6), together with SEM micrographs of indention morphologies after Vickers indentation tests. Display Omitted - Highlights: • TiSiN/Ag multilayer coatings design for microstructure was shown by cross-sectional SEM micrographs. • The TiSiN/Ag multilayer coatings showed a significantly improved toughness compared with the TiSiN coating. • The individual Ag layers as a self-lubricating. • TiSiN/Ag multilayer coating (individual Ag layers of 22.22 nm) exhibits high hardness, H/E and H{sup 3}/E{sup *2} values and excellent wear resistance. - Abstract: The TiSiN/Ag multilayer coatings deposited on Ti6Al4V alloy substrate using the multi-arc ion plating system. All multilayer coatings had a same total thickness of about 2.5 μm, and the TiSiN layer had a fixed thickness and the Ag layer had different thicknesses. Evidence concluded from X-ray diffraction, scanning electron microcopies, X-ray photoelectron spectroscopy revealed that nanocrystallites and amorphous microstructure of nc-TiN and amorphous Si{sub 3}N{sub 4} for individual TiSiN layers, where amorphous Si{sub 3}N{sub 4} around nanocrystallites TiN boundaries, and ductile nanocrystallites silver clusters and metallic silver for individual Ag layers which can limit continuous growth of single (200) preferential orientation coarse columnar TiN crystal. In addition, the TiN grain size presented a decreasing trend with the decrease of the thickness of Ag layers. The TiSiN/Ag multilayer coatings showed a significantly improved toughness compared with the TiSiN coating. The individual Ag layers of nano-multilayer coatings, not only as a self-lubricating but also as a barrier which inhibited micro cracks propagation, the formation of threading defects throughout all coatings, cause

  11. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  12. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  13. Two-dimensional Si(x)Ge(1-x) films with variable composition made via multilayer colloidal template-guided ionic liquid electrodeposition.

    Science.gov (United States)

    Xin, Wuhong; Zhao, Jiupeng; Ge, Dengteng; Ding, Yanbo; Li, Yao; Endres, Frank

    2013-02-21

    The binary alloy system Si(x)Ge(1-x) provides a continuous series of materials with gradually varying properties. In this paper, we report on a fundamental basis a method to make large-area macroporous Si(x)Ge(1-x) films with variable Ge content by electrodeposition in an ionic liquid, with SiCl(4) and GeCl(4) as precursors. The chemical composition of the products can be modified by changing the molar ratio of the precursors. Periodical macroporous Si(x)Ge(1-x) was made by a multilayer polystyrene (PS) template assembled as face-centered cubic lattice. Two-dimensional (2-D) Si(x)Ge(1-x) bowl-like and fishing-net structures can be obtained by applying different deposition temperatures. The results highlight the potential applications, including photonic bandgap and battery materials, as well as ultra-thin gratings, due to the effect of modification of light and improved tunability of composition, although Si(x)Ge(1-x) made by our method is sensitive to oxidation by air.

  14. Thermoelectric Properties of Nanograined Si-Ge-Au Thin Films Grown by Molecular Beam Deposition

    Science.gov (United States)

    Nishino, Shunsuke; Ekino, Satoshi; Inukai, Manabu; Omprakash, Muthusamy; Adachi, Masahiro; Kiyama, Makoto; Yamamoto, Yoshiyuki; Takeuchi, Tsunehiro

    2018-06-01

    Conditions to achieve extremely large Seebeck coefficient and extremely small thermal conductivity in Si-Ge-Au thin films formed of nanosized grains precipitated in amorphous matrix have been investigated. We employed molecular beam deposition to prepare Si1- x Ge x Au y thin films on sapphire substrate. The deposited films were annealed under nitrogen gas atmosphere at 300°C to 500°C for 15 min to 30 min. Nanocrystals dispersed in amorphous matrix were clearly observed by transmission electron microscopy. We did not observe anomalously large Seebeck coefficient, but very low thermal conductivity of nearly 1.0 W K-1 m-1 was found at around 0.2 Si-Ge bulk material for which dimensionless figure of merit of ZT ≈ 1 was reported at high temperature.

  15. Improvement of magnetocaloric properties of Gd-Ge-Si alloys by alloying with iron

    Directory of Open Access Journals (Sweden)

    Erenc-Sędziak T.

    2013-01-01

    Full Text Available The influence of annealing of Gd5Ge2Si2Fex alloys at 1200°C and of alloying with various amount of iron on structure as well as thermal and magnetocaloric properties is investigated. It was found that annealing for 1 to 10 hours improves the entropy change, but reduces the temperature of maximum magnetocaloric effect by up to 50 K. Prolonged annealing of the Gd5Ge2Si2 alloy results in the decrease of entropy change due to the reduction of Gd5Ge2Si2 phase content. Addition of iron to the ternary alloy enhances the magnetocaloric effect, if x = 0.4 – 0.6, especially if alloying is combined with annealing at 1200°C: the peak value of the isothermal entropy change from 0 to 2 T increases from 3.5 to 11 J/kgK. Simultaneously, the temperature of maximum magnetocaloric effect drops to 250 K. The changes in magnetocaloric properties are related to the change in phase transformation from the second order for arc molten ternary alloy to first order in the case of annealed and/or alloyed with iron. The results of this study indicate that the minor addition of iron and heat treatment to Gd-Ge-Si alloys may be useful in improving the materials’ magnetocaloric properties..

  16. De-embedding and Modelling of pnp SiGe HBTs

    DEFF Research Database (Denmark)

    Hadziabdic, Dzenan; Jiang, Chenhui; Johansen, Tom Keinicke

    2007-01-01

    In this work we present a direct parameter extraction procedure for SiGe pnp heterojunction bipolar transistor (HBT) large-signal and small-signal models. Test structure parasitics are removed from the measured small-signal parameters using an open-short de-embedding technique, improved to accoun...

  17. Photoluminescence and TEM evaluations of defects generated during SiGe-on-insulator virtual substrate fabrication: Temperature ramping process

    International Nuclear Information System (INIS)

    Wang, D.; Ii, S.; Ikeda, K.; Nakashima, H.; Matsumoto, K.; Nakamae, M.; Nakashima, H.

    2006-01-01

    Crystal qualities were evaluated by photoluminescence (PL) and transmission electron microscopy (TEM) for cap-Si/SiGe/Si-on-insulater (SOI) structure, which is the typical structure for SiGe-on-insulator virtual substrate fabrication using the Ge condensation by dry oxidation. The thicknesses of cap-Si, SOI and BOX layers are 10, 70, and 140 nm, respectively. We have three kinds of wafers with SiGe thicknesses of 74, 154 and 234 nm. All of the wafers were heated from 200 deg.C to a target temperature (T t ) in the range of 820-1200 deg. C with a ramping rate of 5 deg. C/min, and maintained at T t for 10 min. The air in the furnace was a mixture of O 2 and N 2 . The PL measurements were carried out using a 325 nm UV line of a continuous-wave HeCd laser. Free exciton peaks were clearly observed for the as-grown wafers and decreased with an increase in the annealing temperature. For the selected wafers, cross-sectional and plan-view TEM measurements show clear generation and variation of dislocations at the interface of SiGe/SOI according to the T t . Defect-related PL signals were observed at around 0.82, 0.88, 0.95 and 1.0 eV, which also varied according to the T t and the SiGe thickness. They were identified to dislocation-related and stacking-fault-related defects by TEM

  18. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    Science.gov (United States)

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  19. Studying Si/SiGe disordered alloys within effective mass theory

    Science.gov (United States)

    Gamble, John; Montaño, Inès; Carroll, Malcolm S.; Muller, Richard P.

    Si/SiGe is an attractive material system for electrostatically-defined quantum dot qubits due to its high-quality crystalline quantum well interface. Modeling the properties of single-electron quantum dots in this system is complicated by the presence of alloy disorder, which typically requires atomistic techniques in order to treat properly. Here, we use the NEMO-3D empirical tight binding code to calibrate a multi-valley effective mass theory (MVEMT) to properly handle alloy disorder. The resulting MVEMT simulations give good insight into the essential physics of alloy disorder, while being extremely computationally efficient and well-suited to determining statistical properties. Sandia is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the US Department of Energy's National Nuclear Security Administration under Contract No. DE-AC04-94AL85000.

  20. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO{sub 2} for non-volatile memory device

    Energy Technology Data Exchange (ETDEWEB)

    Stepina, N.P. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)], E-mail: nstepina@mail.ru; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)

    2008-11-03

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO{sub 2}, have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO{sub 2} /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots.