WorldWideScience

Sample records for si substrates irradiated

  1. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  2. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  3. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  4. Formation of hexagonal silicon carbide by high energy ion beam irradiation on Si (1 0 0) substrate

    International Nuclear Information System (INIS)

    Bhuyan, H; Favre, M; Valderrama, E; Avaria, G; Chuaqui, H; Mitchell, I; Wyndham, E; Saavedra, R; Paulraj, M

    2007-01-01

    We report the investigation of high energy ion beam irradiation on Si (1 0 0) substrates at room temperature using a low energy plasma focus (PF) device operating in methane gas. The unexposed and ion exposed substrates were characterized by x-ray diffraction, scanning electron microscopy (SEM), photothermal beam deflection, energy-dispersive x-ray analysis and atomic force microscopy (AFM) and the results are reported. The interaction of the pulsed PF ion beams, with characteristic energy in the 60-450 keV range, with the Si surface, results in the formation of a surface layer of hexagonal silicon carbide. The SEM and AFM analyses indicate clear step bunching on the silicon carbide surface with an average step height of 50 nm and a terrace width of 800 nm

  5. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  6. Ion irradiation synthesis of Ag–Au bimetallic nanospheroids in SiO{sub 2} glass substrate with tunable surface plasmon resonance frequency

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Xuan; Yu, Ruixuan; Takayanagi, Shinya [Graduate School of Engineering, Hokkaido University, Sapporo, Hokkaido 060–8628 (Japan); Shibayama, Tamaki; Watanabe, Seiichi [Center for Advanced Research of Energy and Materials, Faculty of Engineering, Hokkaido University, Sapporo, Hokkaido 060–8628 (Japan)

    2013-08-07

    Ag–Au bimetallic nanospheroids with tunable localized surface plasmon resonance (LSPR) were synthesized by 100 keV Ar–ion irradiation of 30 nm Ag–Au bimetallic films deposited on SiO{sub 2} glass substrates. A shift of the LSPR peaks toward shorter wavelengths was observed up to an irradiation fluence of 1.0 × 10{sup 17} cm{sup −2}, and then shifted toward the longer wavelength because of the increase of fragment volume under ion irradiation. Further control of LSPR frequency over a wider range was realized by modifying the chemical components. The resulting LSPR frequencies lie between that of the pure components, and an approximate linear shift of the LSPR toward the longer wavelength with the Au concentration was achieved, which is in good agreement with the theoretical calculations based on Gans theory. In addition, the surface morphology and compositions were examined with a scanning electron microscope equipped with an energy dispersive spectrometer, and microstructural characterizations were performed using a transmission electron microscope. The formation of isolated photosensitive Ag–Au nanospheroids with a FCC structure partially embedded in the SiO{sub 2} substrate was confirmed, which has a potential application in solid-state devices.

  7. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)

  8. Irradiation effect on Nite-SiC/SiC composites

    International Nuclear Information System (INIS)

    Hinoki, T.; Choi, Y.B.; Kohyama, A.; Ozawa, K.

    2007-01-01

    Full text of publication follows: Silicon carbide (SiC) and SiC composites are significantly attractive materials for nuclear application in particular due to exceptional low radioactivity, excellent high temperature mechanical properties and chemical stability. Despite of the excellent potential of SiC/SiC composites, the prospect of industrialization has not been clear mainly due to the low productivity and the high material cost. Chemical vapor infiltration (CVI) method can produce the excellent SiC/SiC composites with highly crystalline and excellent mechanical properties. It has been reported that the high purity SiC/SiC composites reinforced with highly crystalline fibers and fabricated by CVI method is very stable to neutron irradiation. However the production cost is high and it is difficult to fabricate thick and dense composites by CVI method. The novel processing called Nano-powder Infiltration and Transient Eutectic Phase (NITE) Processing has been developed based on the liquid phase sintering (LPS) process modification. The NITE processing can achieve both the excellent material quality and the low processing cost. The productivity of the processing is also excellent, and various kinds of shape and size of SiC/SiC composites can be produced by the NITE processing. The NITE processing can form highly crystalline matrix, which is requirement for nuclear application. The objective of this work is to understand irradiation effect of the NITESiC/SiC composites. The SiC/SiC composites used were reinforced with high purity SiC fibers, Tyranno TM SA and fabricated by the NITE method. The NITE-SiC/SiC composite bars and reference monolithic SiC bars fabricated by CVI and NITE were irradiated at up to 1.0 dpa and 600-1000 deg. C at JMTR, Japan. Mechanical properties of non-irradiated and irradiated NITESiC/ SiC composites bars were evaluated by tensile tests. Monolithic SiC bars were evaluated by flexural tests. The fracture surface was examined by SEM. Ultimate

  9. Visible light irradiation-induced conductivity change for CVD-grown graphene on different substrates

    Science.gov (United States)

    Li, Xiangdi; Zhang, Peng; Liu, Xianming; Cao, Xueying; Lei, Xiaohua; Chen, Weimin

    2017-08-01

    This research examines the influence of lighting on the electrical properties of graphene on different substrates, including PET, glass and SiO2, which are the most widely used substrate materials representing the flexible and rigid applications. The graphene sheets were prepared by CVD and subsequently transferred to three substrates. The resistances of graphene under periodic visible light irradiation were measured inside a vacuum chamber. Results show that the resistances for graphene samples on all substrates increased slowly under lighting, while decreased slowly as well after the light was switched off. The change degree and speed were different for graphene on different substrates, which were influenced as well by the illumination time, environment atmosphere and irradiation power. Graphene on flexible PET substrate is more stable than that on other substrates.

  10. Nano-/micro metallic wire synthesis on Si substrate and their characterization

    International Nuclear Information System (INIS)

    Kaur, Jaskiran; Kaur, Harmanmeet; Singh, Surinder; Kanjilal, Dinakar; Chakarvarti, Shiv Kumar

    2014-01-01

    Nano-/micro wires of copper are grown on semiconducting Si substrate using the template method. It involves the irradiation of 8 um thick polymeric layer coated on Si with150 MeV Ni ion beam at a fluence of 2E8. Later, by using the simple technique of electrodeposition, copper nano-/micro wires were grown via template synthesis. Synthesized wires were morphologically characterized using SEM and electrical characterization was carried out by finding I-V plot

  11. SHI induced irradiation effect on Mo/Si interface

    International Nuclear Information System (INIS)

    Agarwal, Garima; Agarwal, Shivani; Jain, Rajkumar; Lal, Chhagan; Jain, I.P.; Kabiraj, D.; Pandey, Akhilesh

    2006-01-01

    Present parametric study investigates the characteristics of SHI induced mixed molybdenum silicide film with various ion fluences. The deposition of molybdenum thin films onto the Silicon substrate was performed using e-beam evaporation, while the heavy Au ion irradiation with energy 120 MeV was subsequently applied to form molybdenum silicide. The samples have been characterized by grazing incidence X-ray diffraction (GIXRD) for the identification of phase formation at the interface. Formation of t-Mo 5 Si 3 mixed molybdenum silicide was observed on increasing the ion irradiation fluences. (author)

  12. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Synthesizing single-phase β-FeSi2 via ion beam irradiations of Fe/Si bilayers

    International Nuclear Information System (INIS)

    Milosavljevic, M.; Dhar, S.; Schaaf, P.; Bibic, N.; Lieb, K.P.

    2001-01-01

    This paper presents results on the direct synthesis of the β-FeSi 2 phase by ion beam mixing of Fe/Si bilayers with Xe ions. The influence of the substrate temperature, ion fluence and energy on the growth of this phase was investigated using Rutherford backscattering (RBS), X-ray diffraction (XRD) and conversion electron Moessbauer spectroscopy (CEMS). Complete growth of single-phase β-FeSi 2 was achieved by 205 keV Xe ion irradiation to a fluence of 2x10 16 ions/cm 2 at 600 deg. C. We propose a two-step reaction mechanism involving thermal and ion beam energy deposition

  14. Disorder accumulation and recovery in gold-ion irradiated 3C-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Weber, William J.; Lian, Jie; Kalkhoran, N. M.

    2009-01-12

    A single-crystal 3C-SiC film on the Si/SiO2/Si (SIMOX) substrate was irradiated in different areas at 156 K with Au2+ ions to low fluences. The disorder profiles as a function of dose on both the Si and C sublattices have been determined in situ using a combination of 0.94 MeV D+ Rutherford backscattering spectrometry and nuclear reaction analysis in channeling geometry along the <100>, <110> and <111> axes. The results indicate that for the same damage state, the level of disorder on the Si sublattice in 3C-SiC follows a decreasing order along the <111>, <100> and <110> axes, while that on the C sublattice shows comparable values. Similar levels of Si and C disorder are observed along the <111> axis over the applied dose range. However, the level of C disorder is higher than that of Si disorder along either <100> or <110>. The amount of disorder recovery during thermal annealing processes depends on the sublattice (Si or C) and crystallographic orientation. Room-temperature recovery occurs for both sublattices in 3C-SiC irradiated to a dose of 0.047 dpa or lower. Significant recovery is observed along all directions during thermal annealing at 600 K. The results will be discussed and compared to those for 6H- and 4H-SiC under similar irradiation conditions.

  15. Effects of electron-irradiation on electrical properties of AgCa/Si Schottky diodes

    International Nuclear Information System (INIS)

    Harmatha, L.; Zizka, M.; Sagatova, A.; Nemec, M.; Hybler, P.

    2013-01-01

    This contribution presents the results of the current-voltage I-V and the capacitance-voltage C-V measurement on the Schottky diodes with the AgCa gate on the silicon n-type substrate. The Si substrate was irradiated by 5 MeV electrons with a different dose value before the Schottky diode preparation. (authors)

  16. Positron trap centers in x-ray and γ-ray irradiated SiO2

    International Nuclear Information System (INIS)

    Khatri, R.; Asoka-Kumar, P.; Nielsen, B.; Roellig, L.O.; Lynn, K.G.

    1993-01-01

    Using Doppler broadening annihilation spectroscopy, we investigated the properties of irradiated samples of SiO 2 /Si(100) with 117 nm thick oxide layer, grown in dry O 2 on p- and n-type substrates. These samples were irradiated with γ rays and x rays at doses in the range of 7x10 4 --9x10 6 rad and 50--2000 mJ/cm 2 , respectively. The changes observed in the Doppler broadening line shape parameter after irradiation and its recovery during isochronal annealing were used to obtain an activation energy of 1.48--1.61 eV required for annealing the defects

  17. Low dose irradiation performance of SiC interphase SiC/SiC composites

    International Nuclear Information System (INIS)

    Snead, L.L.; Lowden, R.A.; Strizak, J.; More, K.L.; Eatherly, W.S.; Bailey, J.; Williams, A.M.; Osborne, M.C.; Shinavski, R.J.

    1998-01-01

    Reduced oxygen Hi-Nicalon fiber reinforced composite SiC materials were densified with a chemically vapor infiltrated (CVI) silicon carbide (SiC) matrix and interphases of either 'porous' SiC or multilayer SiC and irradiated to a neutron fluence of 1.1 x 10 25 n m -2 (E>0.1 MeV) in the temperature range of 260 to 1060 C. The unirradiated properties of these composites are superior to previously studied ceramic grade Nicalon fiber reinforced/carbon interphase materials. Negligible reduction in the macroscopic matrix microcracking stress was observed after irradiation for the multilayer SiC interphase material and a slight reduction in matrix microcracking stress was observed for the composite with porous SiC interphase. The reduction in strength for the porous SiC interfacial material is greatest for the highest irradiation temperature. The ultimate fracture stress (in four point bending) following irradiation for the multilayer SiC and porous SiC interphase materials was reduced by 15% and 30%, respectively, which is an improvement over the 40% reduction suffered by irradiated ceramic grade Nicalon fiber materials fabricated in a similar fashion, though with a carbon interphase. The degradation of the mechanical properties of these composites is analyzed by comparison with the irradiation behavior of bare Hi-Nicalon fiber and Morton chemically vapor deposited (CVD) SiC. It is concluded that the degradation of these composites, as with the previous generation ceramic grade Nicalon fiber materials, is dominated by interfacial effects, though the overall degradation of fiber and hence composite is reduced for the newer low-oxygen fiber. (orig.)

  18. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  19. Mechanics of patterned helical Si springs on Si substrate.

    Science.gov (United States)

    Liu, D L; Ye, D X; Khan, F; Tang, F; Lim, B K; Picu, R C; Wang, G C; Lu, T M

    2003-12-01

    The elastic response, including the spring constant, of individual Si helical-shape submicron springs, was measured using a tip-cantilever assembly attached to a conventional atomic force microscope. The isolated, four-turn Si springs were fabricated using oblique angle deposition with substrate rotation, also known as the glancing angle deposition, on a templated Si substrate. The response of the structures was modeled using finite elements, and it was shown that the conventional formulae for the spring constant required modifications before they could be used for the loading scheme used in the present experiment.

  20. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  1. Irradiation damage of SiC semiconductor device (I)

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2000-09-01

    This report reviewed the irradiation damage of SiC semiconductor devices and examined a irradiation behavior of SiC single crystal as a pre-examination for evaluation of irradiation behavior of SiC semiconductor devices. The SiC single was crystal irradiated by gamma-beam, N+ ion and electron beam. Annealing examinations of the irradiated specimens also were performed at 500 deg C. N-type 6H-SiC dopped with N+ ion was used and irradiation doses of gamma-beam, N+ion and electron beam were up to 200 Mrad, 1x10 16 N + ions/cm 2 and 3.6 x 10 17 e/cm 2 and 1.08 x 10 18 e/cm 2 , respectively. Irradiation damages were analyzed by the EPR method. Additionally, properties of SiC, information about commercial SiC single crystals and the list of web sites with related to the SiC device were described in the appendix

  2. Irradiation damage of SiC semiconductor device (I)

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2000-09-01

    This report reviewed the irradiation damage of SiC semiconductor devices and examined a irradiation behavior of SiC single crystal as a pre-examination for evaluation of irradiation behavior of SiC semiconductor devices. The SiC single was crystal irradiated by gamma-beam, N+ ion and electron beam. Annealing examinations of the irradiated specimens also were performed at 500 deg C. N-type 6H-SiC dopped with N+ ion was used and irradiation doses of gamma-beam, N+ion and electron beam were up to 200 Mrad, 1x10{sup 16} N{sup +} ions/cm{sup 2} and 3.6 x 10{sup 17} e/cm{sup 2} and 1.08 x 10{sup 18} e/cm{sup 2} , respectively. Irradiation damages were analyzed by the EPR method. Additionally, properties of SiC, information about commercial SiC single crystals and the list of web sites with related to the SiC device were described in the appendix.

  3. Strain and defect microstructure in ion-irradiated GeSi/Si strained layers as a function of annealing temperature

    International Nuclear Information System (INIS)

    Glasko, J.M.; Elliman, R.G.; Zou, J.; Cockayne, D.J.H.; Fitz Gerald, J.D.

    1998-01-01

    High energy (1 MeV), ion irradiation of GeSi/Si strained layers at elevated temperatures can cause strain relaxation. In this study, the effect of subsequent thermal annealing was investigated. Three distinct annealing stages were identified and correlated with the evolution of the defect microstructure. In the temperature range from 350 to 600 deg C, a gradual recovery of strain is observed. This is believed to result from the annealing of small defect clusters and the growth of voids. The voids are visible at annealing temperatures in excess of 600 deg C, consistent with an excess vacancy concentration in the irradiated alloy layer. The 600 to 750 deg C range is marked by pronounced maximal recovery of strain, and is correlated with the dissolution of faulted loops in the substrate. At temperatures in the range 750-1000 deg C, strain relaxation is observed and is correlated with the growth of intrinsic dislocations within the alloy layer. These dislocations nucleate at the alloy-substrate interface and grow within the alloy layer, towards the surface. (authors)

  4. Effect of irradiation on thermal expansion of SiCf/SiC composites

    International Nuclear Information System (INIS)

    Senor, D.J.; Trimble, D.J.; Woods, J.J.

    1996-06-01

    Linear thermal expansion was measured on five different SiC-fiber-reinforced/SiC-matrix (SiC f /SiC) composite types in the unirradiated and irradiated conditions. Two matrices were studied in combination with Nicalon CG reinforcement and a 150 nm PyC fiber/matrix interface: chemical vapor infiltrated (CVI) SiC and liquid-phase polymer impregnated precursor (PIP) SiC. Composites of PIP SiC with Tyranno and HPZ fiber reinforcement and a 150 nm PyC interface were also tested, as were PIP SiC composites with Nicalon CG reinforcement and a 150 nm BN fiber/matrix interface. The irradiation was conducted in the Experimental Breeder Reactor-II at a nominal temperature of 1,000 C to doses of either 33 or 43 dpa-SiC. Irradiation caused complete fiber/matrix debonding in the CVI SiC composites due to a dimensional stability mismatch between fiber and matrix, while the PIP SiC composites partially retained their fiber/matrix interface after irradiation. However, the thermal expansion of all the materials tested was found to be primarily dependent on the matrix and independent of either the fiber or the fiber/matrix interface. Further, irradiation had no significant effect on thermal expansion for either the CVI SiC or PIP SiC composites. In general, the thermal expansion of the CVI SiC composites exceeded that of the PIP SiC composites, particularly at elevated temperatures, but the expansion of both matrix types was less than chemical vapor deposited (CVD) β-SiC at all temperatures

  5. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  6. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  7. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  8. Mechanical properties of MeV ion-irradiated SiC/SiC composites characterized by indentation technique

    International Nuclear Information System (INIS)

    Park, J.Y.; Park, K.H.; Kim, W.; Kishimoto, H.; Kohyama, A.

    2007-01-01

    Full text of publication follows: SiC/SiC composites have been considered as a structural material for advanced fusion concepts. In the core of fusion reactor, those SiC/SiC composites are experienced the complex attacks such as strong neutron, high temperature and transmuted gases. One of the vital data for designing the SiC/SiC composites to the fusion reactor is mechanical properties under the severe neutron irradiation. In this work, various SiC/SiC composites were prepared by the different fabrication processes like CVI (chemical vapor infiltration), WA-CVI (SiC whisker assisted CVI) and hot-pressed method. The expected neutron irradiation was simulated by a silicon self-ion irradiation at a DuET facility; Dual-beam for Energy Technologies, Kyoto University. The irradiation temperature were 600 deg. C and 1200 deg. C, and the irradiation does were 5 dpa and 20 dpa, respectively. The 5.1 MeV Si ions were irradiated to the intrinsic CVI-SiC, SiC whisker reinforced SiC and SiC composites produced by hot-press method. The mechanical properties like hardness, elastic modulus and fracture toughness were characterized by an indentation technique. The ion irradiation caused the increase of the hardness and fracture toughness, which was dependent on the irradiation temperature. SiC whisker reinforcement in the SiC matrix accelerated the increase of the fracture toughness by the ion irradiation. For SiC/SiC composites after the ion irradiation, this work will provide the additional data for the mechanical properties as well as the effect of SiC whisker reinforcement. (authors)

  9. Site-specific forest-assembly of single-wall carbon nanotubes on electron-beam patterned SiOx/Si substrates

    International Nuclear Information System (INIS)

    Wei Haoyan; Kim, Sang Nyon; Kim, Sejong; Huey, Bryan D.; Papadimitrakopoulos, Fotios; Marcus, Harris L.

    2008-01-01

    Based on electron-beam direct writing on the SiO x /Si substrates, favorable absorption sites for ferric cations (Fe 3+ ions) were created on the surface oxide layer. This allowed Fe 3+ -assisted self-assembled arrays of single-wall carbon nanotube (SWNT) probes to be produced. Auger investigation indicated that the incident energetic electrons depleted oxygen, creating more dangling bonds around Si atoms at the surface of the SiO x layer. This resulted in a distinct difference in the friction forces from unexposed regions as measured by lateral force microscopy (LFM). Atomic force microscopy (AFM) affirmed that the irradiated domains absorbed considerably more Fe 3+ ions upon immersion into pH 2.2 aqueous FeCl 3 solution. This rendered a greater yield of FeO(OH)/FeOCl precipitates, primarily FeO(OH), upon subsequent washing with lightly basic dimethylformamide (DMF) solution. Such selective metal-functionalization established the basis for the subsequent patterned forest-assembly of SWNTs as demonstrated by resonance Raman spectroscopy

  10. Effect of Si ion irradiation on polycrystalline CdS thin film grown from novel photochemical deposition technique

    International Nuclear Information System (INIS)

    Soundeswaran, S.; Senthil Kumar, O.; Ramasamy, P.; Kabi Raj, D.; Avasthi, D.K.; Dhanasekaran, R.

    2005-01-01

    CdS thin films have been deposited from aqueous solution by photochemical reactions. The solution contains Cd(CH 3 COO) 2 and Na 2 S 2 O 3 , and pH is controlled in an acidic region by adding H 2 SO 4 . The solution is illuminated with light from a high-pressure mercury-arc lamp. CdS thin films are formed on a glass substrate by the heterogeneous nucleation and the deposited thin films have been subjected to high-energy Si ion irradiations. Si ion irradiation has been performed with an energy of 80 MeV at fluences of 1x10 11 , 1x10 12 , 1x10 13 and 1x10 14 ions/cm 2 using tandem pelletron accelerator. The irradiation-induced changes in CdS thin films are studied using XRD, Raman spectroscopy and photoluminescence. Broadening of the PL emission peak were observed with increasing irradiation fluence, which could be attributed to the band tailing effect of the Si ion irradiation. The lattice disorder takes place at high Si ion fluences

  11. Phenomenological inelastic constitutive equations for SiC and SiC fibers under irradiation

    International Nuclear Information System (INIS)

    El-Azab, A.; Ghoniem, N.M.

    1994-01-01

    Experimental data on irradiation-induced dimensional changes and creep in β-SiC and SiC fibers is analyzed, with the objective of studying the constitutive behavior of these materials under high-temperature irradiation. The data analysis includes empirical representation of irradiation-induced dimensional changes in SiC matrix and SiC fibers as function of time and irradiation temperature. The analysis also includes formulation of simple scaling laws to extrapolate the existing data to fusion conditions on the basis of the physical mechanisms of radiation effects on crystalline solids. Inelastic constitutive equations are then developed for SCS-6 SiC fibers, Nicalon fibers and CVD SiC. The effects of applied stress, temperature, and irradiation fields on the deformation behavior of this class of materials are simultaneously represented. Numerical results are presented for the relevant creep functions under the conditions of the fusion reactor (ARIES IV) first wall. The developed equations can be used in estimating the macro mechanical properties of SiC-SiC composite systems as well as in performing time-dependent micro mechanical analysis that is relevant to slow crack growth and fiber pull-out under fusion conditions

  12. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  13. Interface reactions between Pd thin films and SiC by thermal annealing and SHI irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Njoroge, E.G., E-mail: eric.njoroge@up.ac.za [Department of Physics, University of Pretoria, Pretoria (South Africa); Theron, C.C. [Department of Physics, University of Pretoria, Pretoria (South Africa); Skuratov, V.A. [Joint Institute for Nuclear Research, Dubna (Russian Federation); Wamwangi, D. [School of Physics, University of Witwatersrand, Johannesburg (South Africa); Hlatshwayo, T.T. [Department of Physics, University of Pretoria, Pretoria (South Africa); Comrie, C.M. [MRD, iThemba LABS, P.O. Box 722, Somerset West 7129 (South Africa); Malherbe, J.B. [Department of Physics, University of Pretoria, Pretoria (South Africa)

    2016-03-15

    The solid-state reactions between Pd thin films and 6H-SiC substrates induced by thermal annealing, room temperature swift heavy ion (SHI) irradiation and high temperature SHI irradiation have been investigated by in situ and real-time Rutherford backscattering spectrometry (RBS) and Grazing incidence X-ray diffraction (GIXRD). At room temperature, no silicides were detected to have formed in the Pd/SiC samples. Two reaction growth zones were observed in the samples annealed in situ and analysed by real time RBS. The initial reaction growth region led to formation of Pd{sub 3}Si or (Pd{sub 2}Si + Pd{sub 4}Si) as the initial phase(s) to form at a temperature of about 450 °C. Thereafter, the reaction zone did not change until a temperature of 640 °C was attained where Pd{sub 2}Si was observed to form in the reaction zone. Kinetic analysis of the initial reaction indicates very fast reaction rates of about 1.55 × 10{sup 15} at cm{sup −2}/s and the Pd silicide formed grew linear with time. SHI irradiation of the Pd/SiC samples was performed by 167 MeV Xe{sup 26+} ions at room temperature at high fluences of 1.07 × 10{sup 14} and 4 × 10{sup 14} ions/cm{sup 2} and at 400 °C at lower fluences of 5 × 10{sup 13} ions/cm{sup 2}. The Pd/SiC interface was analysed by RBS and no SHI induced diffusion was observed for room temperature irradiations. The sample irradiated at 400 °C, SHI induced diffusion was observed to occur accompanied with the formation of Pd{sub 4}Si, Pd{sub 9}Si{sub 2} and Pd{sub 5}Si phases which were identified by GIXRD analysis.

  14. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  15. Irradiation project of SiC/SiC fuel pin 'INSPIRE': Status and future plan

    International Nuclear Information System (INIS)

    Kohyama, Akira; Kishimoto, Hirotatsu

    2015-01-01

    After the March 11 Disaster in East-Japan, Research and Development towards Ensuring Nuclear Safety Enhancement for LWR becomes a top priority R and D in nuclear energy policy of Japan. The role of high temperature non-metallic materials, such as SiC/SiC, is becoming important for the advanced nuclear reactor systems. SiC fibre reinforced SiC composite has been recognised to be the most attractive option for the future, now, METI fund based project, INSPIRE, has been launched as 5-year termed project at OASIS in Muroran Institute of Technology aiming at early realisation of this system. INSPIRE is the irradiation project of SiC/SiC fuel pins aiming to accumulate material, thermal, irradiation effect data of NITE-SiC/SiC in BWR environment. Nuclear fuel inserted SiC/SiC fuel pins are planned to be installed in the Halden reactor. The project includes preparing the NITE-SiC/SiC tubes, joining of end caps, preparation of rigs to control the irradiation environment to BWR condition and the instruments to measure the condition of rigs and pins in operation. Also, basic neutron irradiation data will be accumulated by SiC/SiC coupon samples currently under irradiation in BR2. The output from this project may present the potentiality of NITE-SiC/SiC fuel cladding with the first stage fuel-cladding interaction. (authors)

  16. Effects of dual-ion irradiation on the swelling of SiC/SiC composites

    International Nuclear Information System (INIS)

    Kishimoto, Hirotatsu; Kohyama, Akira; Ozawa, Kazumi; Kondo, Sosuke

    2005-01-01

    Silicon carbide (SiC) matrix composites reinforced by SiC fibers is a candidate structural material of fusion gas-cooled blanket system. From the viewpoint of material designs, it is important to investigate the swelling by irradiation, which results from the accumulation of displacement damages. In the fusion environment, (n, α) nuclear reactions are considered to produce helium gas in SiC. For the microstructural evolution, a dual-ion irradiation method is able to simulate the effects of helium. In the present research, 1.7 MeV tandem and 1 MeV single-end accelerators were used for Si self-ion irradiation and helium implantation, respectively. The average helium over displacement per atom (dpa) ratio in SiC was adjusted to 60 appm/dpa. The irradiation temperature ranged from room temperature to 1400degC. The irradiation-induced swelling was measured by the step height method. Helium that was implanted simultaneously with displacement damages in dual-ion irradiated SiC increased the swelling that was larger than that by single-ion irradiated SiC below 800degC. Since this increase was not observed above 1000degC, the interaction of helium and displacement damages was considered to change above 800degC. In this paper, the microstructural behavior and dimensional stability of SiC materials under the fusion relevant environment are discussed. (author)

  17. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  18. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  19. Towards a laser fluence dependent nanostructuring of thin Au films on Si by nanosecond laser irradiation

    International Nuclear Information System (INIS)

    Ruffino, F.; Pugliara, A.; Carria, E.; Romano, L.; Bongiorno, C.; Fisicaro, G.; La Magna, A.; Spinella, C.; Grimaldi, M.G.

    2012-01-01

    Highlights: ► Au nanoclusters are produced by nanosecond laser irradiations of thin Au film on Si. ► The shape, size, and surface density of the Au nanoclusters are tunable by laser fluence. ► The formation dynamic of the Au nanoclusters under nanosecond laser irradiation is analyzed. - Abstract: In this work, we study the nanostructuring effects of nanosecond laser irradiations on 5 nm thick Au film sputter-deposited on Si. After deposition of Au on Si substrate, nanosecond laser irradiations were performed increasing the laser fluence from 750 to 1500 mJ/cm 2 . Several analyses techniques, such as Rutherford backscattering spectrometry, scanning electron microscopy, atomic force microscopy, and transmission electron microscopy were crossed to study the morphological evolution of the Au film as a function of laser fluence. In particular, the formation of Au nanoparticles was observed. The analyses allowed a quantitative evaluation of the evolution of the nanoparticles size, surface density, and shape as a function of the laser fluence. Therefore, a control the structural properties of the Au nanoparticles is reached, for example, for applications in Si nanowires growth or plasmonics.

  20. Specimen size effect considerations for irradiation studies of SiC/SiC

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Henager, C.H. Jr.; Jones, R.H. [Pacific Northwest National Lab., Richland, WA (United States)

    1996-10-01

    For characterization of the irradiation performance of SiC/SiC, limited available irradiation volume generally dictates that tests be conducted on a small number of relatively small specimens. Flexure testing of two groups of bars with different sizes cut from the same SiC/SiC plate suggested the following lower limits for flexure specimen number and size: Six samples at a minimum for each condition and a minimum bar size of 30 x 6.0 x 2.0 mm{sup 3}.

  1. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  2. Irradiation Effect of Argon Ion on Interfacial Structure Fe(2nm/Si(tsi=0.5-2 nm Multilayer thin Film

    Directory of Open Access Journals (Sweden)

    S. Purwanto

    2010-04-01

    Full Text Available Investigation includes formation of interfacial structure of Fe(2nm/Si(tSi= 0.5-2 nm multilayer thin film and the behavior of antiferromagnetic coupling between Fe layers due to Argon ion irradiation was investigated. [Fe(2nm/Si]30 multilayers (MLs with a thickness of Si spacer 0.5 - 2 nanometer were prepared on n-type (100 Si substrate by the helicon plasma sputtering method. Irradiation were performed using 400keV Ar ion to investigate the behavior of magnetic properties of the Fe/Si MLs. The magnetization measurements of Fe/Si MLs after 400keV Ar ion irradiation show the degradation of antiferromagnetic behavior of Fe layers depend on the ion doses. The Magnetoresistance (MR measurements using by Four Point Probe (FPP method also confirm that MR ratio decrease after ion irradiation. X-ray diffraction (XRD patterns indicate that the intensity of a satellite peak induced by a superlattice structure does not change within the range of ion dose. These results imply that the surface of interface structures after ion irradiation become rough although the layer structures are maintained. Therefore, it is considered that the MR properties of Fe/Si MLs also are due to the metallic superlattice structures such as Fe/Cr and Co/Cu MLs.

  3. Irradiation-induced precipitation in Ni--Si alloys

    International Nuclear Information System (INIS)

    Barbu, A.; Ardell, A.J.

    1975-07-01

    The microstructures of Ni + ion-irradiated Ni--Si solid-solution alloys, containing 2, 4, 6 and 8 at. percent Si were investigated as a function of dose, dose-rate, and temperature. Results of transmission electron microscopy and other data show the precipitation of γ' (Ni 3 Si) in all samples irradiated at 500 0 C. Characteristics of the precipitates are described and a mechanism for their formation is suggested. (U.S.)

  4. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  5. Separation of stress-free AlN/SiC thin films from Si substrate

    International Nuclear Information System (INIS)

    Redkov, A V; Osipov, A V; Mukhin, I S; Kukushkin, S A

    2016-01-01

    We separated AlN/SiC film from Si substrate by chemical etching of the AlN/SiC/Si heterostructure. The film fully repeats the size and geometry of the original sample and separated without destroying. It is demonstrated that a buffer layer of silicon carbide grown by a method of substitution of atoms may have an extensive hollow subsurface structure, which makes it easier to overcome the differences in the coefficients of thermal expansion during the growth of thin films. It is shown that after the separation of the film from the silicon substrate, mechanical stresses therein are almost absent. (paper)

  6. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  7. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  8. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  9. Formation mechanism of SiC in C-Si system by ion irradiation

    International Nuclear Information System (INIS)

    Hishita, Shunichi; Aizawa, Takashi; Suehara, Shigeru; Haneda, Hajime

    2003-01-01

    The irradiation effects of 2 MeV He + , Ne + , and Ar + ions on the film structure of the C-Si system were investigated with RHEED and XPS. The ion dose dependence of the SiC formation was kinetically analyzed. The SiC formation at moderate temperature was achieved by 2 MeV ion irradiation when the thickness of the initial carbon films was appropriate. The evolution process of the SiC film thickness consisted of the 3 stages. The first stage was the steep increase of the SiC, and was governed by the inelastic collision. The second was the gentle increase of the SiC, and was governed by the diffusion. The last was the decrease of the SiC, and was caused by the sputtering. The formation mechanism of the SiC was discussed. (author)

  10. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  11. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  12. Alpha-particle irradiation induced defects in SiO2 films of Si-SiO2 structures

    International Nuclear Information System (INIS)

    Koman, B.P.; Gal'chynskyy, O.V.; Kovalyuk, R.O.; Shkol'nyy, A.K.

    1996-01-01

    The aim of the work was to investigate alpha-particle irradiation induced defects in Si-SiO 2 structures by means of the thermostimulated discharge currents (TSDC) analysis. The object of investigation were (p-Si)-SiO 2 structures formed by a combined oxidation of the industrial p-Si wafers in dry and wet oxygen at temperature of 1150 C. The TSD currents were investigated in the temperature range between 90 and 500 K under linear heating rate. Pu 238 isotopes were the source of alpha-particles with an energy of 4-5 MeV and a density of 5.10 7 s -1 cm -2 . The TSD current curves show two peculiar maxima at about 370 and 480 K. Alpha-particle irradiation doesn't affect the general shape of the TSDC curves but leads to a shift of the maximum at 370 K and reduces the total electret charge which is accumulated in the Si-SiO 2 structures during polarization. The energy distribution function of the defects which are involved in SiO 2 polarization has been calculated. It showes that defects with activation energies of about 0.8 and 1.0 eV take part in forming the electret state, and these activation energies have certain energy distributions. It has been found that the TSDC maximum at 370 K has space charge nature and is caused by migration of hydrogen ions. In irradiated samples hydrogen and natrium ions localize on deeper trapping centres induced by alpha-particle irradiation. (orig.)

  13. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  14. Determination of irradiation temperature using SiC temperature monitors

    International Nuclear Information System (INIS)

    Maruyama, Tadashi; Onose, Shoji

    1999-01-01

    This paper describes a method for detecting the change in length of SiC temperature monitors and a discussion is made on the relationship between irradiation temperature and the recovery in length of SiC temperature monitors. The SiC specimens were irradiated in the experimental fast reactor JOYO' at the irradiation temperatures around 417 to 645degC (design temperature). The change in length of irradiated specimens was detected using a dilatometer with SiO 2 glass push rod in an infrared image furnace. The temperature at which recovery in macroscopic length begins was obtained from the annealing intersection temperature. The results of measurements indicated that a difference between annealing intersection temperature and the design temperature sometimes reached well over ±100degC. A calibration method to obtain accurate irradiation temperature was presented and compared with the design temperature. (author)

  15. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  16. Irradiation damages in Ti3SiC2

    International Nuclear Information System (INIS)

    Nappe, J.C.; Grosseau, Ph.; Guilhot, B.; Audubert, F.; Beauvy, M.

    2007-01-01

    Carbides, by their remarkable properties, are considered as possible materials (fuel cans) in reactor of generation IV. Among those studied, Ti 3 SiC 2 is particularly considered because it joins both the ceramics and metals properties. Nevertheless, its behaviour under irradiation is not known. Characterizations have been carried out on samples irradiated at 75 MeV krypton ions. They have revealed that TiO 2 (formed at the surface of Ti 3 SiC 2 ) is pulverized by the irradiation and that the crystal lattice of Ti 3 SiC 2 dilates with c. (O.M.)

  17. Electron irradiation-induced defects in {beta}-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Oshima, Ryuichiro [Osaka Prefectural Univ., Sakai (Japan). Reseach Inst. for Advanced Science and Technology

    1996-04-01

    To add information of point defects in cubic crystal SiC, polycrystal {beta}-SiC on the market was used as sample and irradiated by neutron and electron. In situ observation of neutron and electron irradiation-induced defects in {beta}-SiC were carried out by ultra high-voltage electronic microscope (UHVEM) and ordinary electronic microscope. The obtained results show that the electron irradiation-induced secondary defects are micro defects less than 20 nm at about 1273K, the density of defects is from 2x10{sup 17} to 1x10{sup 18}/cc, the secondary defects may be hole type at high temperature and the preexistant defects control nuclear formation of irradiation-induced defects, effective sink. (S.Y.)

  18. Ag Transport Through Non-Irradiated and Irradiated SiC

    Energy Technology Data Exchange (ETDEWEB)

    Szlufarska, Izabela [Univ. of Wisconsin, Madison, WI (United States); Morgan, Dane [Univ. of Wisconsin, Madison, WI (United States); Blanchard, James [Univ. of Wisconsin, Madison, WI (United States)

    2016-01-11

    Silicon carbide is the main barrier to diffusion of fission products in the current design of TRistuctural ISOtropic (TRISO) coated fuel particles, and Ag is one of the few fission products that have been shown to escape through this barrier. Because the SiC coating in TRISO is exposed to radiation throughout the lifetime of the fuel, understanding of how radiation changes the transport of the fission products is essential for the safety of the reactor. The goals of this project are: (i) to determine whether observed variation in integral release measurements of Ag through SiC can be explained by differences in grain size and grain boundary (GB) types among the samples; (2) to identify the effects of irradiation on diffusion of Ag through SiC; (3) to discover phenomena responsible for significant solubility of Ag in polycrystalline SiC. To address these goals, we combined experimental analysis of SiC diffusion couples with modeling studies of diffusion mechanisms through bulk and GBs of this material. Comparison between results obtained for pristine and irradiated samples brings in insights into the effects of radiation on Ag transport.

  19. Ag Transport Through Non-Irradiated and Irradiated SiC

    International Nuclear Information System (INIS)

    Szlufarska, Izabela; Morgan, Dane; Blanchard, James

    2016-01-01

    Silicon carbide is the main barrier to diffusion of fission products in the current design of TRistuctural ISOtropic (TRISO) coated fuel particles, and Ag is one of the few fission products that have been shown to escape through this barrier. Because the SiC coating in TRISO is exposed to radiation throughout the lifetime of the fuel, understanding of how radiation changes the transport of the fission products is essential for the safety of the reactor. The goals of this project are: (i) to determine whether observed variation in integral release measurements of Ag through SiC can be explained by differences in grain size and grain boundary (GB) types among the samples; (2) to identify the effects of irradiation on diffusion of Ag through SiC; (3) to discover phenomena responsible for significant solubility of Ag in polycrystalline SiC. To address these goals, we combined experimental analysis of SiC diffusion couples with modeling studies of diffusion mechanisms through bulk and GBs of this material. Comparison between results obtained for pristine and irradiated samples brings in insights into the effects of radiation on Ag transport.

  20. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  1. Elemental process of amorphization induced by electron irradiation in Si

    International Nuclear Information System (INIS)

    Yamasaki, Jun; Takeda, Seiji; Tsuda, Kenji

    2002-01-01

    We recently found that amorphization is induced in Si by electron irradiation. Examining the amorphization systematically, we have established the diagram of steady states under electron irradiation, either amorphous Si (a-Si) or crystalline Si (c-Si) as a function of incident electron energy, electron dose, and irradiation temperature. Utilizing transmission electron microscopy, electron energy filtered diffraction and electron energy-loss spectroscopy, we have characterized the atomic structure, the electronic structure, and the thermal stability of a-Si induced by electron irradiation. Based on the experimental data, we have also concluded that the amorphization is caused by the accumulation of not point defects but small cascade damages. Analyzing the change in the intensity of halo diffraction rings during amorphization, we have clarified that the smallest cascade damage that contributes to amorphization includes only about four Si atoms. This presumably supports the amorphization mechanism that four self-interstitial atoms form the quasistable structure I4 in c-Si and it becomes an amorphous embryo

  2. Ag/SiO2 surface-enhanced Raman scattering substrate for plasticizer detection

    Science.gov (United States)

    Wu, Ming-Chung; Lin, Ming-Pin; Lin, Ting-Han; Su, Wei-Fang

    2018-04-01

    In this study, we demonstrated a simple method of fabricating a high-performance surface-enhanced Raman scattering (SERS) substrate. Monodispersive SiO2 colloidal spheres were self-assembled on a silicon wafer, and then a silver layer was coated on it to obtain a Ag/SiO2 SERS substrate. The Ag/SiO2 SERS substrates were used to detect three kinds of plasticizer with different concentrations, namely, including bis(2-ethylhexyl)phthalate (DEHP), benzyl butyl phthalate (BBP), and dibutyl phthalate (DBP). The enhancement of Raman scattering intensity caused by surface plasmon resonance can be observed using the Ag/SiO2 SERS substrates. The Ag/SiO2 SERS substrate with a 150-nm-thick silver layer can detect plasticizers, and it satisfies the detection limit of plasticizers at 100 ppm. The developed highly sensitive Ag/SiO2 SERS substrates show a potential for the design and fabrication of functional sensors to identify the harmful plasticizers that plastic products release in daily life.

  3. Effect of simultaneous ion irradiation on microstructural change of SiC/SiC composites at high temperature

    International Nuclear Information System (INIS)

    Taguchi, T.; Wakai, E.; Igawa, N.; Nogami, S.; Snead, L.L.; Hasegawa, A.; Jitsukawa, S.

    2002-01-01

    The effect of simultaneous triple ion irradiation of He, H and Si on microstructural evolution of two kinds of SiC/SiC composites (HNS composite (using Hi-Nicalon type S SiC fiber) and TSA composite (using Tyranno SA SiC fiber)) at 1000 deg. C has been investigated. The microstructure observations of SiC/SiC composites irradiated to 10 dpa were examined by transmission electron microscopy. He bubbles were hardly formed in matrix of TSA composite, but many helium bubbles and some cracks were observed at grain boundaries of matrix of HNS composite. He bubbles and cracks were not, on the other hand, observed in the both fiber fabrics of HNS and TSA composites. Debonding between fiber and carbon layer following irradiation region was not observed in the both composites. Under these irradiation conditions, TSA composite showed the better microstructural stability against ion beams irradiation than one of HNS composite

  4. Near-surface segregation in irradiated Ni3Si

    International Nuclear Information System (INIS)

    Wagner, W.; Rehn, L.E.; Wiedersich, H.

    1982-01-01

    The radiation-induced growth of Ni 3 Si films on the surfaces of Ni(Si) alloys containing = 3 Si phase has been observed. Post-irradiation depth profiling by Auger electron spectroscopy, as well as in situ analysis by high-resolution Rutherford backscattering spectrometry, reveals Si-enrichment at the surfaces of Ni(Si) alloys in excess of stoichiometric Ni 3 Si during irradiation. Thin, near-surface layers with silicon concentrations of 28 to 30 at.% are observed, and even higher Si enrichment is found in the first few atom layers. Transmission electron microscopy and selected area-electron diffraction were employed to characterize these Si-enriched layers. A complex, multiple-spot diffraction pattern is observed superposed on the diffraction pattern of ordered Ni 3 Si. The d-spacings obtained from the extra spots are consistent with those of the orthohexagonal intermetallic compound Ni 5 Si 2 . (author)

  5. Precipitation in Ni-Si during electron and ion irradiation

    Science.gov (United States)

    Lucas, G. E.; Zama, T.; Ishino, S.

    1986-11-01

    This study was undertaken to further investigate how the nature of the irradiation condition affects precipitation in a dilute Ni-Si system. Transmission electron microscopy (TEM) discs of a solution annealed Ni alloy containing 5 at% Si were irradiated with 400 keV Ar + ions, 200 keV He + ions and 1 MeV electrons at average displacement rates in the range 2 × 10 -5dpa/s to 2 × 10 -3dpa/s at temperatures in the range 25°C to 450°C. Samples irradiated with electrons were observed in situ in an HVEM, while ion irradiated specimens were examined in a TEM after irradiation. Precipitation of Ni 3Si was detected by the appearance of superlattice spots in the electron diffraction patterns. It was found that as the mass of the irradiating species increased, the lower bound temperature at which Ni 3Si precipitation was first observed increased. For electron irradiation, the lower bound temperature at 2 × 10 -3dpa/s was ˜125°C, whereas for 400 keV Ar + irradiation at a similar average displacement rate the lower boundary was approximately 325°C. This suggests that cascade disordering competes with radiation induced solute segregation.

  6. Precipitation in Ni-Si during electron and ion irradiation

    International Nuclear Information System (INIS)

    Lucas, G.E.; Zama, T.; Ishino, S.

    1986-01-01

    This study was undertaken to further investigate how the nature of the irradiation condition affects precipitation in a dilute Ni-Si system. Transmission electron microscopy (TEM) discs of a solution annealed Ni alloy containing 5 at% Si were irradiated with 400 keV Ar + ions, 200 keV He + ions and 1 MeV electrons at average displacement rates in the range 2x10 -5 dpa/s to 2x10 -3 dpa/s at temperatures in the range 25 0 C to 450 0 C. Samples irradiated with electrons were observed in situ in an HVEM, while ion irradiated specimens were examined in a TEM after irradiation. Precipitation of Ni 3 Si was detected by the appearance of superlattice spots in the electron diffraction patterns. It was found that as the mass of the irradiating species increased, the lower bound temperature at which Ni 3 Si precipitation was first observed increased. For electron irradiation, the lower bound temperature at 2x10 -3 dpa/s was ∝125 0 C, whereas for 400 keV Ar + irradiation at a similar average displacement rate the lower boundary was approximately 325 0 C. This suggests that cascade disordering competes with radiation induced solute segregation. (orig.)

  7. Interaction of silicene with β-Si3N4(0001)/Si(111) substrate; energetics and electronic properties

    International Nuclear Information System (INIS)

    Filippone, Francesco

    2014-01-01

    The free-standing, quasi-2D layer of Si is known as silicene, in analogy with graphene. Much effort is devoted in the study of silicene, since, similarly to graphene, it shows a very high electron mobility. The interaction of silicene with a hybrid substrate, β-Si 3 N 4 (0001)/Si(111), exposing the β-Si 3 N 4 (0001) surface, has been studied by means of Density Functional calculations, with van der Waals interactions included. Once deepened the most important structural and electronic features of the hybrid substrate, we demonstrated that an electron transfer occurs from the substrate to the silicene layer. In turn, such an electron transfer can be modulated by the doping of the substrate. The β-Si 3 N 4 /silicene interaction appears to be strong enough to ensure adequate adsorption stability. It is also shown that electronic states of substrate and adsorbate still remain decoupled, paving the way for the exploitation of the peculiar electron mobility properties of the silicene layer. A detailed analysis in both direct and reciprocal space is reported. (paper)

  8. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  9. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  10. Positron Annihilation Study of Ion-irradiated Si

    International Nuclear Information System (INIS)

    Shin, Jung Ki; Kwon, Jun Hyun; Lee, Jong Yong

    2009-01-01

    Structural parts like a spaceship, satellite and solar cell are composed of metal alloy or semiconductor materials. Especially, Si is used as a primary candidate alloy. But, manned and robotic missions to the Earth's moon and Mars are exposed to a continuous flux of Galactic Cosmic Rays (GCR) and occasional, but intense, fluxes of Solar Energetic Particles. These natural radiations impose hazards to manned exploration. Irradiation of cosmic particle induces various changes in the mechanical and physical properties of device steels. It is, therefore, important to investigate radiation damage to the component materials in semiconductor. The evolution of radiation-induced defects leads to degradation of the mechanical properties. One of them includes irradiation embrittlement, which can cause a loss of ductility and further increase the probability of a brittle fracture. It can be more dangerous in the space. Positron annihilation lifetime spectroscopy(PALS) have been applied to investigate the production of vacancy-type defects for Ion-irradiated Si wafer penetrated by H, He, O and Fe ions. Then, we carried out a comparison with an un-irradiated Si wafer

  11. Irradiation of 4H-SiC UV detectors with heavy ions

    International Nuclear Information System (INIS)

    Kalinina, E. V.; Lebedev, A. A.; Bogdanova, E.; Berenquier, B.; Ottaviani, L.; Violina, G. N.; Skuratov, V. A.

    2015-01-01

    Ultraviolet (UV) photodetectors based on Schottky barriers to 4H-SiC are formed on lightly doped n-type epitaxial layers grown by the chemical vapor deposition method on commercial substrates. The diode structures are irradiated at 25°C by 167-MeV Xe ions with a mass of 131 amu at a fluence of 6 × 10 9 cm −2 . Comparative studies of the optical and electrical properties of as-grown and irradiated structures with Schottky barriers are carried out in the temperature range 23–180°C. The specific features of changes in the photosensitivity and electrical characteristics of the detector structures are accounted for by the capture of photogenerated carriers into traps formed due to fluctuations of the conduction-band bottom and valence-band top, with subsequent thermal dissociation

  12. Irradiation damages in Ti{sub 3}SiC{sub 2}; Dommages d'irradiation dans Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Nappe, J.C.; Grosseau, Ph. [Ecole Nationale Superieure des Mines, Centre SPIN, Lab. PMMC et LPMG UMR CNRS 5148, 42 - Saint-Etienne (France); Guilhot, B. [Ecole Nationale Superieure des Mines, Centre CIS, 42 - Saint-Etienne (France); Audubert, F.; Beauvy, M. [CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. d' Etudes des Combustibles; Iacconi, Ph.; Benabdesselam, M. [Nice Univ. - Sophia Antipolis, Lab. LPES-CRESA, 06 (France)

    2007-07-01

    Carbides, by their remarkable properties, are considered as possible materials (fuel cans) in reactor of generation IV. Among those studied, Ti{sub 3}SiC{sub 2} is particularly considered because it joins both the ceramics and metals properties. Nevertheless, its behaviour under irradiation is not known. Characterizations have been carried out on samples irradiated at 75 MeV krypton ions. They have revealed that TiO{sub 2} (formed at the surface of Ti{sub 3}SiC{sub 2}) is pulverized by the irradiation and that the crystal lattice of Ti{sub 3}SiC{sub 2} dilates with c. (O.M.)

  13. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  14. The performance of the anthraquinone/p-Si and the pyridine/p-Si rectifying device under X-ray irradiation

    International Nuclear Information System (INIS)

    Şahin, Yılmaz; Aydoğan, Şakir; Ekinci, Duygu; Turut, Abdulmecit

    2016-01-01

    Some X-ray irradiation-induced electrical characteristics of the Au/anthraquinone/p-Si and the Au/pyridine/p-Si junction devices have been investigated. The experimental ideality factors increased for both devices with increasing irradiation dose from 25 Gy to 150 Gy. These values ranged from 1.10 to 1.52 for Au/anthraquinone/p-Si and from 1.46 to 1.77 for Au/pyridine/p-Si, respectively. Furthermore, the barrier height of Au/anthraquinone/p-Si increased with increasing irradiation dose from 0.75 to 0.91 eV, whereas it displayed about a constant value for Au/pyridine/p-Si. In addition, the series resistance of both devices increased with x-ray dose too. The increase in the series resistance with x-ray irradiation has been attributed to the decrease in the active dopant densities. It was seen that the ionization damage is effective on most of the junction characteristics. The leakage current of the Au/anthraquinone/p-Si device decreased with x-ray irradiation since the irradiation induced the formation of electron-hole pairs and hydroquinone structure, and thus some of them are trapped by the interface states. The degradation of the I-V curves of Au/pyridine/p-Si/Al device is attributed to the variation of the surface or interface states distribution for the devices. The reverse and forward bias currents relatively increased after x-ray irradiation because of the decrease in bulk lifetime. In addition, ATR-FTIR spectra of anthraquinone and pyridine films showed that pyridine is more stable than anthraquinone under x-ray irradiation. - Highlights: • Two junction devices based on organic materials were fabricated. • The effect of the x-ray irradiation on devices were examined. • Both devices showed x-irradiation-dependence.

  15. The performance of the anthraquinone/p-Si and the pyridine/p-Si rectifying device under X-ray irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Şahin, Yılmaz [Department of Physics, Faculty of Sciences, University of Atatürk, 25240 Erzurum (Turkey); Aydoğan, Şakir, E-mail: saydogan@atauni.edu.tr [Department of Physics, Faculty of Sciences, University of Atatürk, 25240 Erzurum (Turkey); Ekinci, Duygu [Department of Chemistry, Faculty of Sciences, University of Atatürk, 25240 Erzurum (Turkey); Turut, Abdulmecit [Department of Engineering Physics, Faculty of Sciences, Istanbul Medeniyet University (Turkey)

    2016-11-01

    Some X-ray irradiation-induced electrical characteristics of the Au/anthraquinone/p-Si and the Au/pyridine/p-Si junction devices have been investigated. The experimental ideality factors increased for both devices with increasing irradiation dose from 25 Gy to 150 Gy. These values ranged from 1.10 to 1.52 for Au/anthraquinone/p-Si and from 1.46 to 1.77 for Au/pyridine/p-Si, respectively. Furthermore, the barrier height of Au/anthraquinone/p-Si increased with increasing irradiation dose from 0.75 to 0.91 eV, whereas it displayed about a constant value for Au/pyridine/p-Si. In addition, the series resistance of both devices increased with x-ray dose too. The increase in the series resistance with x-ray irradiation has been attributed to the decrease in the active dopant densities. It was seen that the ionization damage is effective on most of the junction characteristics. The leakage current of the Au/anthraquinone/p-Si device decreased with x-ray irradiation since the irradiation induced the formation of electron-hole pairs and hydroquinone structure, and thus some of them are trapped by the interface states. The degradation of the I-V curves of Au/pyridine/p-Si/Al device is attributed to the variation of the surface or interface states distribution for the devices. The reverse and forward bias currents relatively increased after x-ray irradiation because of the decrease in bulk lifetime. In addition, ATR-FTIR spectra of anthraquinone and pyridine films showed that pyridine is more stable than anthraquinone under x-ray irradiation. - Highlights: • Two junction devices based on organic materials were fabricated. • The effect of the x-ray irradiation on devices were examined. • Both devices showed x-irradiation-dependence.

  16. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  17. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  18. PIE of nuclear grade SiC/SiC flexural coupons irradiated to 10 dpa at LWR temperature

    Energy Technology Data Exchange (ETDEWEB)

    Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-03-01

    Silicon carbide fiber-reinforced SiC matrix (SiC/SiC) composites are being actively investigated for accident-tolerant core structures of light water reactors (LWRs). Owing to the limited number of irradiation studies previously conducted at LWR-coolant temperature, this study examined SiC/SiC composites following neutron irradiation at 230–340°C to 2.0 and 11.8 dpa in the High Flux Isotope Reactor. The investigated materials are chemical vapor infiltrated (CVI) SiC/SiC composites with three different reinforcement fibers. The fiber materials were monolayer pyrolytic carbon (PyC)-coated Hi-NicalonTM Type-S (HNS), TyrannoTM SA3 (SA3), and SCS-UltraTM (SCS) SiC fibers. The irradiation resistance of these composites was investigated based on flexural behavior, dynamic Young’s modulus, swelling, and microstructures. There was no notable mechanical properties degradation of the irradiated HNS and SA3 SiC/SiC composites except for reduction of the Young’s moduli by up to 18%. The microstructural stability of these composites supported the absence of degradation. In addition, no progressive swelling from 2.0 to 11.8 dpa was confirmed for these composites. On the other hand, the SCS composite showed significant mechanical degradation associated with cracking within the fiber. This study determined that SiC/SiC composites with HNS or SA3 SiC/SiC fibers, a PyC interphase, and a CVI SiC matrix retain their properties beyond the lifetime dose for LWR fuel cladding at the relevant temperature.

  19. Effects of sintering additives on the microstructural and mechanical properties of the ion-irradiated SiCf/SiC

    Science.gov (United States)

    Fitriani, Pipit; Sharma, Amit Siddharth; Yoon, Dang-Hyok

    2018-05-01

    SiCf/SiC composites containing three different types of sintering additives viz. Sc-nitrate, Al2O3-Sc2O3, and Al2O3-Y2O3, were subjected to ion irradiation using 0.2 MeV H+ ions with a fluence of 3 × 1020 ions/m2 at room temperature. Although all composites showed volumetric swelling upon ion irradiation, SiCf/SiC with Sc-nitrate showed the smallest change followed by those with the Al2O3-Sc2O3 and Al2O3-Y2O3 additives. In particular, SiCf/SiC containing the conventional Al2O3-Y2O3 additive revealed significant microstructural changes, such as surface roughening and the formation of cracks and voids, resulting in reduced fiber pullout upon irradiation. On the other hand, the SiCf/SiC with Sc-nitrate showed the highest resistance against ion irradiation without showing any macroscopic changes in surface morphology and mechanical strength, indicating the importance of the sintering additive in NITE-based SiCf/SiC for nuclear structural applications.

  20. Pre-irradiation tests on U-Si alloys

    International Nuclear Information System (INIS)

    Howe, L.M.; Bell, L.G.

    1958-05-01

    Pre-irradiation tests of hardness, density, electrical resistivity, and corrosion resistance as well as metallographic and X-ray examinations were undertaken on U-Si core material, which had been co-extruded in Zr--2, in order that the effect of irradiation on alloys in the epsilon range could be assessed. In addition, a study of the epsilonization of arc-melted material was undertaken in order to rain familiarity with the epsilonization process and to obtain information on the corrosion behaviour of epsilonized material. Sheathed U-Si samples in the epsilonized and de-epsilonized conditions have been irradiated in the X-2 loop, with a water temperature of 275 o C. The samples have been examined after 250 MWD/Tonne and show no dimensional change. (author)

  1. The annealing effects on irradiated SiC piezo resistive pressure sensor

    International Nuclear Information System (INIS)

    Almaz, E.; Blue, T. E.; Zhang, P.

    2009-01-01

    The effects of temperature on annealing of Silicon Carbide (SiC) piezo resistive pressure sensor which was broken after high fluence neutron irradiation, were investigated. Previously, SiC piezo resistive sensor irradiated with gamma ray and fast neutron in the Co-60 gamma-ray irradiator and Beam Port 1 (BP1) and Auxiliary Irradiation Facility (AIF) at the Ohio State University Nuclear Reactor Laboratory (OSUNRL) respectively. The Annealing temperatures were tested up to 400 C. The Pressure-Output voltage results showed recovery after annealing process on SiC piezo resistive pressure sensor. The bridge resistances of the SiC pressure sensor stayed at the same level up to 300 C. After 400 C annealing, the resistance values changed dramatically.

  2. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  3. The Co-60 gamma-ray irradiation effects on the Al/HfSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Karacali, H.; Yilmaz, E.

    2017-12-01

    In this work, the initial interface trap density (Nit) to examine device compability for microelectronics and then the Co-60 gamma irradiation responses of Al/HfSiO4/p-Si/Al (MOS) capacitors were investigated in various dose ranges up to 70 Gy. Pre-irradiation response of the devices was evaluated from high frequency (HF) and low frequency (LF) capacitance method and the Nit was calculated as 9.91 × 1011 cm-2 which shows that the HfSiO4/p-Si interface quality is convenient for microelectronics applications. The irradiation responses of the devices were carried out from flat-band and mid-gap voltage shifts obtained from stretch of capacitance characteristics prior to and after irradiation. The results show that the flat band voltages very slightly shifted to positive voltage values demonstrating the enhancement of negative charge trapping in device structure. The sensitivity of the Al/HfSiO4/p-Si/Al MOS capacitors was found to be 4.41 mV/Gy for 300 nm-thick HfSiO4 gate dielectrics. This value approximately 6.5 times smaller compared to the same thickness conventional SiO2 based MOS devices. Therefore, HfSiO4 exhibits crucial irradiation tolerance in gamma irradiation environment. Consequently, HfSiO4 dielectrics may have significant usage for microelectronic technology as a radiation hard material where radiation field exists such as in space applications.

  4. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  5. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  6. Effects of ion irradiation on the mechanical properties of SiNawOxCyHz sol-gel derived thin films

    International Nuclear Information System (INIS)

    Lucca, D.A.; Qi, Y.; Harriman, T.A.; Prenzel, T.; Wang, Y.Q.; Nastasi, M.; Dong, J.; Mehner, A.

    2010-01-01

    A study of the effects of ion irradiation of hybrid organic/inorganic modified silicate thin films on their mechanical properties is presented. NaOH catalyzed SiNa w O x C y H z thin films were synthesized by sol-gel processing from tetraethylorthosilicate (TEOS) and methyltriethoxysilane (MTES) precursors and spin-coated onto Si substrates. After drying at 300 o C, the films were irradiated with 125 keV H + or 250 keV N 2+ at fluences ranging from 1 x 10 14 to 2.5 x 10 16 ions/cm 2 . Nanoindentation was used to characterize the films. Changes in hardness and reduced elastic modulus were examined as a function of ion fluence and irradiating species. The resulting increases in hardness and reduced elastic modulus are compared to similarly processed acid catalyzed silicate thin films.

  7. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  8. Diffusion barrier and adhesion properties of SiO(x)N(y) and SiO(x) layers between Ag/polypyrrole composites and Si substrates.

    Science.gov (United States)

    Horváth, Barbara; Kawakita, Jin; Chikyow, Toyohiro

    2014-06-25

    This paper describes the interface reactions and diffusion between silver/polypyrrole (Ag/PPy) composite and silicon substrate. This composite material can be used as a novel technique for 3D-LSI (large-scale integration) by the fast infilling of through-silicon vias (TSV). By immersion of the silicon wafer with via holes into the dispersed solution of Ag/PPy composite, the holes are filled with the composite. It is important to develop a layer between the composite and the Si substrate with good diffusion barrier and adhesion characteristics. In this paper, SiOx and two types of SiOxNy barrier layers with various thicknesses were investigated. The interface structure between the Si substrate, the barrier, and the Ag/PPy composite was characterized by transmission electron microscopy. The adhesion and diffusion properties of the layers were established for Ag/PPy composite. Increasing thickness of SiOx proved to permit less Ag to transport into the Si substrate. SiOxNy barrier layers showed very good diffusion barrier characteristics; however, their adhesion depended strongly on their composition. A barrier layer composition with good adhesion and Ag barrier properties has been identified in this paper. These results are useful for filling conductive metal/polymer composites into TSV.

  9. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  10. MeV ion irradiation effects on the luminescence properties of Si-implanted SiO{sub 2}-thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Primetzhofer, D. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J.; Hallen, A. [Royal Institute of Technology (KTH), School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2016-12-15

    The effects of MeV heavy ion irradiation at varying fluence and flux on excess Si, introduced in SiO{sub 2} by keV ion implantation, are investigated by photoluminescence (PL). From the PL peak wavelength (λ) and decay lifetime (τ), two PL sources are distinguished: (i) quasi-direct recombination of excitons of Si-nanoparticles (SiNPs), appearing after thermal annealing (λ > 720 nm, τ ∝ μs), and (ii) fast-decay PL, possibly due to oxide-related defects (λ ∝ 575-690 nm, τ ∝ ns). The fast-decay PL (ii) observed before and after ion irradiation is induced by ion implantation. It is found that this fast-decay luminescence decreases for higher irradiation fluence of MeV heavy ions. After thermal annealing (forming SiNPs), the SiNP PL is reduced for samples irradiated by MeV heavy ions but found to stabilize at higher level for higher irradiation flux; the (ii) band vanishes as a result of annealing. The results are discussed in terms of the influence of electronic and nuclear stopping powers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  12. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    Science.gov (United States)

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  13. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  14. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  15. Evolution of 3C-SiC islands nucleated from a liquid phase on Si face α-SiC substrates

    International Nuclear Information System (INIS)

    Kim-Hak, Olivier; Ferro, Gabriel; Lorenzzi, Jean; Carole, Davy; Dazord, Jacques; Chaudouet, Patrick; Chaussende, Didier; Miele, Philippe

    2010-01-01

    The contact between α-SiC crystals and Si-Ge based melts provokes the nucleation of 3C-SiC islands on the crystal surface. Evolution of these islands as a function of various parameters was studied. On both 4H and 6H substrates, it was found that, after nucleation, 3C-SiC islands first enlarge and may form a complete 3C layer under certain conditions. The 3C deposit can then be dissolved by the liquid phase at high temperature or for prolonged contact at relatively moderate temperature. The graphite crucible is proposed to play a central role in these enlargement and dissolution mechanisms by providing extra carbon atoms on the seed surface (enlargement) or provoking thermal induced carbon transport toward the sidewall (dissolution). Several differences between the use of 4H and 6H substrates were also observed.

  16. Irradiation mixing of Al into U3Si

    International Nuclear Information System (INIS)

    Birtcher, R.C.; Ding, F.R.; Kestel, B.J.; Baldo, P.M.; Zaluzec, N.J.

    1995-11-01

    Thermal and irradiation induced intermixing of uranium silicide reactor fuels with the aluminum cladding is an important consideration in understanding their fission gas and fuel swelling behavior. The authors have used Rutherford backscattering to follow the behavior of an Al thin film on U 3 Si and U 3 Si 2 during 1.5 MeV Kr ion irradiation at temperatures of 30 and 350 C. After an initial dose during which no intermixing occurs, the Al mixes quickly into U 3 Si. The threshold dose is believed to be associated with an oxide layer between the Al and the uranium silicide. At 300 C and doses greater than threshold, rates of mixing and aluminide phase growth are extracted

  17. Minimum bar size for flexure testing of irradiated SiC/SiC composite

    International Nuclear Information System (INIS)

    Youngblood, G.E.; Jones, R.H.

    1998-01-01

    This report covers material presented at the IEA/Jupiter Joint International Workshop on SiC/SiC Composites for Fusion structural Applications held in conjunction with ICFRM-8, Sendai, Japan, Oct. 23-24, 1997. The minimum bar size for 4-point flexure testing of SiC/SiC composite recommended by PNNL for irradiation effects studies is 30 x 6 x 2 mm 3 with a span-to-depth ratio of 10/1

  18. Effects of Si3+ and H+ Irradiation on Tungsten Evaluated by Internal Friction Method

    International Nuclear Information System (INIS)

    Hu Jing; Wang Xianping; Fang Qianfeng; Liu Changsong; Zhang Yanwen; Zhao Ziqiang

    2013-01-01

    Effects of Si 3+ and H + irradiation on tungsten were investigated by internal friction (IF) technique. Scanning electron microscope (SEM) analysis revealed that sequential dual Si+H irradiation resulted in more serious damage than single Si irradiation. After irradiation, the IF background was significantly enhanced. Besides, two obvious IF peaks were initially found in temperature range of 70∼330 K in the sequential Si+H irradiated tungsten sample. The mechanism of increased IF background for the irradiated samples was suggested to originate from the high density dislocations induced by ion irradiation. On the other hand, the relaxation peak P L and non-relaxation peak P H in the Si+H irradiated sample were ascribed to the interaction process of hydrogen atoms with mobile dislocations and transient processes of hydrogen redistribution, respectively. The obtained experimental results verified the high sensitivity of IF method on the irradiation damage behaviors in nuclear materials

  19. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  20. Performance evaluation of gamma irradiated SiR-EPDM blends

    Energy Technology Data Exchange (ETDEWEB)

    Deepalaxmi, R., E-mail: deepalaxmivaithi@gmail.com; Rajini, V.

    2014-07-01

    Highlights: • The effects of gamma irradiation on SiR-EPDM blend are examined. • Cross-linking reaction is dominant in blends C, D and E, due to higher EPDM content. • The tensile strength and hardness of blend E is improved by gamma irradiation. • The blend C and EPDM rich blends (D, E) are found to have superior performance. • Among C, D and E, suitable blend can be selected for a particular NPP application. - Abstract: Cable insulation materials (CIM) should perform their safety functions throughout their installed life in nuclear power plants (NPP). The CIM will be exposed to gamma irradiation at the installed locations. In order to forecast long-term performance of CIM, the short time accelerated testing was carried out. Due to its good mechanical strength, ethylene propylene diene monomer (EPDM) is widely used as CIM. Silicone rubber (SiR) is used in high temperature environments, due to its good di-electric properties/hydrophobicity. The blending of these two polymers may result in the improvement in their specific properties. This paper analyses the effects of gamma irradiation on the five different compositions (90-10; 70-30; 50-50; 30-70; 10-90) of SiR-EPDM blends. The blends were exposed to four different doses (25 Mrad, 100 Mrad, 200 Mrad and 250 Mrad) of gamma irradiation. The electrical and mechanical parameters like volume resistivity (VRY), surface resistivity (SRY), tensile strength (TS), elongation at break (EB), hardness (H) of the virgin and gamma irradiated blends were determined as per ASTM/IEC standards. The nature of degradation was investigated using Fourier transform infrared spectroscopy (FTIR). The simultaneous occurrence of cross-linking and chain scission is found to be the mechanism for ageing in SiR-EPDM blends. The electrical parameters such as volume resistivity and surface resistivity of all the blends are found to improve for all doses of gamma irradiation. To validate the influence of cross-linking reaction of the Si

  1. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  2. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  3. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  4. Ultra-high sensitive substrates for surface enhanced Raman scattering, made of 3 nm gold nanoparticles embedded on SiO2 nanospheres

    Science.gov (United States)

    Phatangare, A. B.; Dhole, S. D.; Dahiwale, S. S.; Bhoraskar, V. N.

    2018-05-01

    The surface properties of substrates made of 3 nm gold nanoparticles embedded on SiO2 nanospheres enabled fingerprint detection of thiabendazole (TBZ), crystal violet (CV) and 4-Aminothiophenol (4-ATP) at an ultralow concentration of ∼10-18 M by surface enhanced Raman spectroscopy (SERS). Gold nanoparticles of an average size of ∼3 nm were synthesized and simultaneously embedded on SiO2 nanospheres by the electron irradiation method. The substrates made from the 3 nm gold nanoparticles embedded on SiO2 nanospheres were successfully used for recording fingerprint SERS spectra of TBZ, CV and 4-ATP over a wide range of concentrations from 10-6 M to 10-18 M using 785 nm laser. The unique features of these substrates are roughness near the surface due to the inherent structural defects of 3 nm gold nanoparticles, nanogaps of ≤ 1 nm between the embedded nanoparticles and their high number. These produced an abundance of nanocavities which act as active centers of hot-spots and provided a high electric field at the reporter molecules and thus an enhancement factor required to record the SERS spectra at ultra low concentration of 10-18 M. The SERS spectra recorded by the substrates of 4 nm and 6 nm gold nanoparticles are discussed.

  5. Ferromagnetism in proton irradiated 4H-SiC single crystal

    Directory of Open Access Journals (Sweden)

    Ren-Wei Zhou

    2015-04-01

    Full Text Available Room-temperature ferromagnetism is observed in proton irradiated 4H-SiC single crystal. An initial increase in proton dose leads to pronounced ferromagnetism, accompanying with obvious increase in vacancy concentration. Further increase in irradiation dose lowers the saturation magnetization with the decrease in total vacancy defects due to the defects recombination. It is found that divacancies are the mainly defects in proton irradiated 4H-SiC and responsible for the observed ferromagnetism.

  6. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  7. Near zero reflection by nanostructured anti-reflection coating design for Si substrates

    Science.gov (United States)

    Al-Fandi, Mohamed; Makableh, Yahia F.; Khasawneh, Mohammad; Rabady, Rabi

    2018-05-01

    The nanostructure design of near zero reflection coating for Si substrates by using ZnO Nanoneedles (ZnONN) is performed and optimized for the visible spectral range. The design investigates the ZnONN tip to body ratio effect on the anti-reflection coating properties. Different tip to body ratios are used on Si substrates. Around zero reflection is achieved by the Nanoneedles structure design presented in this work, leading to minimal reflection losses from the Si surface. The current design evolves a solution to optical losses and surface contamination effects associated with Si solar cells.

  8. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  9. Osteoblast interaction with DLC-coated Si substrates.

    Science.gov (United States)

    Chai, Feng; Mathis, Nicolas; Blanchemain, Nicolas; Meunier, Cathy; Hildebrand, Hartmut F

    2008-09-01

    Diamond-like carbon (DLC) coating is a convenient means of modifying material surfaces that are sensitive to wear, such as titanium and silica substrates. This work aims to evaluate the osteoblast-like cells' response to DLC-coated Si (Si-DLC), which was treated under different conditions. DLC and deuterated DLC films were deposited by plasma-enhanced chemical vapor deposition to obtain a 200-nm-thick layer on all the samples. Three types of precursor gas were applied for deposition: pure methane (CH(4)), pure deuterated methane (CD(4)) and their half/half mixture. All surface treatments were performed under two different self-bias voltages (V(sb)): -400 and -600V. The modified surfaces were characterized by X-ray photoelectron spectroscopy, Raman spectroscopy, Rutherford backscattering spectroscopy, elastic recoil detection analysis, X-ray reflectometry and the sessile-drop method. MC3T3-E1 osteoblasts were cultured on the Si-DLC wafers for 3 and 6 days. Biological tests to measure cell proliferation, cell vitality, cell morphology and cell adhesion were performed. All DLC coatings produced a slightly more hydrophobic state than non-treated Si. Certain types of amorphous DLC coating, such as the surface treated under the V(sb) of -600V in pure methane (600CH(4)) or in pure deuterated methane (600CD(4)), offered a significantly higher cell proliferation rate to Si substrate. Scanning electron microscopy observations confirmed that the optimal cell adhesion behavior, among all the treated surfaces, occurred on the surface of the 600CH(4) and 600CD(4) groups, which showed increased amounts of filopodia and microvilli to enhance cell-environment exchange. In conclusion, DLC coating on Si could produce better surface stability and improved cellular responses.

  10. Effects of neutron irradiation on the strength of continuous fiber reinforced SiC/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Henager, C.H. Jr.; Jones, R.H. [Pacific National Lab., Richland, WA (United States)

    1997-04-01

    Flexural strength data as a function of irradiation temperature and dose for a SiC{sub f}/SiC composite made with Nicalon-CG fiber suggest three major degradation mechanisms. Based on an analysis of tensile strength and microstructural data for irradiated Nicalon-CG and Hi-Nicalon fibers, it is anticipated that these degradation mechanisms will be alleviated in Hi-Nicalon reinforced composites.

  11. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    Science.gov (United States)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  12. Sputtering of silicon and glass substrates with polyatomic molecular ion beams generated from ionic liquids

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Mitsuaki, E-mail: m-takeuchi@kuee.kyoto-u.ac.jp; Hoshide, Yuki; Ryuto, Hiromichi; Takaoka, Gikan H. [Photonics and Electronics Science and Engineering Center, Kyoto University, Kyotodaigaku-Katsura, Nishikyo-ku, Kyoto 615-8510 (Japan)

    2016-03-15

    The effect of irradiating 1-ethyl-3-methylimidazolium positive (EMIM{sup +}) or dicyanamide negative (DCA{sup –}) ion beams using an ionic liquid ion source was characterized concerning its sputtering properties for single crystalline Si(100) and nonalkaline borosilicate glass substrates. The irradiation of the DCA{sup –} ion beam onto the Si substrate at an acceleration voltage of 4 and 6 kV exhibited detectable sputtered depths greater than a couple of nanometers with an ion fluence of only 1 × 10{sup 15} ions/cm{sup 2}, while the EMIM{sup +} ion beam produced the same depths with an ion fluence 5 × 10{sup 15} ions/cm{sup 2}. The irradiation of a 4 kV DCA{sup –} ion beam at a fluence of 1 × 10{sup 16} ions/cm{sup 2} also yields large etching depths in Si substrates, corresponding to a sputtering yield of Si/DCA{sup – }= 10, and exhibits a smoothed surface roughness of 0.05 nm. The interaction between DCA{sup –} and Si likely causes a chemical reaction that relates to the high sputtering yield and forms an amorphous C-N capping layer that results in the smooth surface. Moreover, sputtering damage by the DCA{sup –} irradiation, which was estimated by Rutherford backscattering spectroscopy with the channeling technique, was minimal compared to Ar{sup +} irradiation at the same condition. In contrast, the glass substrates exhibited no apparent change in surface roughnesses when sputtered by the DCA{sup –} irradiation compared to the unirradiated glass substrates.

  13. Ion implantation induced structural changes in reactively sputtered Cr-N layers on Si substrates

    International Nuclear Information System (INIS)

    Novakovic, M.; Popovic, M.; Perusko, D.; Milinovic, V.; Radovic, I.; Bibic, N.; Mitric, M.; Milosavljevic, M.

    2007-01-01

    This paper presents a study of the structure and composition of reactively sputtered Cr-N layers as a function of deposition parameters, and the effects of ion implantation on these structures. The layers were deposited on (1 0 0) Si substrates to a thickness of 240-280 nm, at different nitrogen partial pressure, and subsequently irradiated with 120 keV Ar ions. Structural characterisation of the samples was performed with Rutherford backscattering spectroscopy, transmission electron microscopy and X-ray diffraction analysis. We also measured their electrical resistivity with a four point probe. It was found that the layers grow in form of columnar structures, and their composition, Cr 2 N or CrN, strongly depends on the nitrogen partial pressure during deposition. Ion irradiation induces local micro-structural changes, formation of nano-particles and defects, which can be nicely correlated to the measured electrical resistivity

  14. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Lina [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Falco, Charles M. [University of Arizona, College of Optical Sciences, AZ 85721 (United States)

    2016-10-30

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  15. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    International Nuclear Information System (INIS)

    Guo, Lina; Liu, Shuang; Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong; Falco, Charles M.

    2016-01-01

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  16. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  17. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  18. Mo-Si-B-Based Coatings for Ceramic Base Substrates

    Science.gov (United States)

    Perepezko, John Harry (Inventor); Sakidja, Ridwan (Inventor); Ritt, Patrick (Inventor)

    2015-01-01

    Alumina-containing coatings based on molybdenum (Mo), silicon (Si), and boron (B) ("MoSiB coatings") that form protective, oxidation-resistant scales on ceramic substrate at high temperatures are provided. The protective scales comprise an aluminoborosilicate glass, and may additionally contain molybdenum. Two-stage deposition methods for forming the coatings are also provided.

  19. Enhancement of adhesion between carbon nanotubes and polymer substrates using microwave irradiation

    International Nuclear Information System (INIS)

    Shim, Hyung Cheoul; Kwak, Yoon Keun; Han, Chang-Soo; Kim, Soohyun

    2009-01-01

    This paper reports the enhancement of adhesive strength between single-walled carbon nanotubes (SWNTs) and polymer substrates using microwave irradiation of 0-5 min duration at 2.45 GHz and 800 W. Field emission scanning electron microscopy images, ultraviolet-visible data and four-point probe sheet resistance measurement data indicate that microwave irradiation is effective for enhancement of adhesion between SWNTs and polymer substrates. SWNTs could be locally welded onto a polymer substrate due to their active response to microwave irradiation.

  20. Irradiation-induced hardening/softening in SiO2 studied with instrumented indentation

    International Nuclear Information System (INIS)

    Nakano, Shinsuke; Muto, Shunsuke; Tanabe, Tetsuo

    2005-01-01

    To understand the plastic deformation mechanism of SiO 2 polytypes, we measured the mechanical parameters of He + -irradiated crystalline SiO 2 (α-quartz, c-SiO 2 ) and vitreous SiO 2 (silica glass, v-SiO 2 ) as functions of the irradiation dose, by using the instrumented indentation method combined with a finite-element analysis. We extracted the effects of local rotation and bending of the SiO 4 framework (the degree of local structural freedom), which play key roles in the plastic deformation, and expressed the hardness change with a simple formula. For v-SiO 2 , the changes in the density and the number of broken bonds correlated well with the change in the degree of freedom. In contrast, for c-SiO 2 the present formulation was insufficient to fully express the hardness change in the structural disordering regime. The structure change by irradiation peculiar to this material is discussed, based on the theoretical formulation

  1. XPS study of graphene oxide reduction induced by (100) and (111)-oriented Si substrates

    Science.gov (United States)

    Priante, F.; Salim, M.; Ottaviano, L.; Perrozzi, F.

    2018-02-01

    The reduction of graphene oxide (GO) has been extensively studied in literature in order to let GO partially recover the properties of graphene. Most of the techniques proposed to reduce GO are based on high temperature annealing or chemical reduction. A new procedure, based on the direct reduction of GO by etched Si substrate, was recently proposed in literature. In the present work, we accurately investigated the Si-GO interaction with x-ray photoelectron spectroscopy. In order to avoid external substrate oxidation factors we used EtOH as the GO solvent instead of water, and thermal annealing was carried out in UHV. We investigated the effect of Si(100), Si(111) and Au substrates on GO, to probe the role played by both the substrate composition and substrate orientation during the reduction process. A similar degree of GO reduction was observed for all samples but only after thermal annealing, ruling out the direct reduction effect of the substrate.

  2. Broadband antireflection nanodome structures on SiC substrate

    DEFF Research Database (Denmark)

    Ou, Yiyu; Zhu, Xiaolong; Møller, Uffe Visbech

    2013-01-01

    Nanodome structures are demonstrated on the SiC substrate by using nanosphere lithography and dry etching. Significant surface antireflection has been observed over a broad spectral range from 400 nm to 1600 nm....

  3. Effect of SiO2 addition and gamma irradiation on the lithium borate glasses

    Science.gov (United States)

    Raut, A. P.; Deshpande, V. K.

    2018-01-01

    The physical properties like density, glass transition temperature (Tg), and ionic conductivity of lithium borate (LB) glasses with SiO2 addition were measured before and after gamma irradiation. Remarkable changes in properties have been obtained in the physical properties of LB glasses with SiO2 addition and after gamma irradiation. The increase in density and glass transition temperature of LB glasses with SiO2 addition has been explained with the help of increase in density of cross linking due to SiO4 tetrahedra formation. The increase in ionic conductivity with SiO2 addition was explained with the help of ‘mixed glass former effect’. The increase in density and Tg of LB glasses with SiO2 addition after gamma irradiation has been attributed to fragmentation of bigger ring structure into smaller rings, which increases the density of cross linking and hence compaction. The exposure of gamma irradiation has lead to decrease in ionic conductivity of LB glasses with SiO2 addition. The atomic displacement caused by gamma irradiation resulted in filling of interstices and decrease in trapping sites. This explains the obtained decrease in ionic conductivity after gamma irradiation of glasses. The obtained results of effect of SiO2 addition and gamma irradiation on the density, Tg and ionic conductivity has been supported by FTIR results.

  4. Conductive stability of graphene on PET and glass substrates under blue light irradiation

    Science.gov (United States)

    Cao, Xueying; Liu, Xianming; Li, Xiangdi; Lei, Xiaohua; Chen, Weimin

    2018-01-01

    Electrical properties of graphene transparent conductive film under visible light irradiation are investigated. The CVD-grown graphene on Polyethylene Terephthalate (PET) and glass substrates for flexible and rigid touch screen display application are chosen for research. The resistances of graphene with and without gold trichloride (AuCl3) doping are measured in vacuum and atmosphere environment under blue light irradiation. Results show that the conductivities of all samples change slowly under light irradiation. The change rate and degree are related to the substrate material, doping, environment and lighting power. Graphene on flexible PET substrate is more stable than that on rigid glass substrate. Doping can improve the electrical conductivity but induce instability under light irradiation. Finally, the main reason resulting in the graphene resistance slowly increasing under blue light irradiation is analyzed.

  5. Experimental design and analysis for irradiation of SiC/SiC composite tubes under a prototypic high heat flux

    Science.gov (United States)

    Petrie, Christian M.; Koyanagi, Takaaki; McDuffee, Joel L.; Deck, Christian P.; Katoh, Yutai; Terrani, Kurt A.

    2017-08-01

    The purpose of this work is to design an irradiation vehicle for testing silicon carbide (SiC) fiber-reinforced SiC matrix composite cladding materials under conditions representative of a light water reactor in order to validate thermo-mechanical models of stress states in these materials due to irradiation swelling and differential thermal expansion. The design allows for a constant tube outer surface temperature in the range of 300-350 °C under a representative high heat flux (∼0.66 MW/m2) during one cycle of irradiation in an un-instrumented ;rabbit; capsule in the High Flux Isotope Reactor. An engineered aluminum foil was developed to absorb the expansion of the cladding tubes, due to irradiation swelling, without changing the thermal resistance of the gap between the cladding and irradiation capsule. Finite-element analyses of the capsule were performed, and the models used to calculate thermal contact resistance were validated by out-of-pile testing and post-irradiation examination of the foils and passive SiC thermometry. Six irradiated cladding tubes (both monoliths and composites) were irradiated and subsequently disassembled in a hot cell. The calculated temperatures of passive SiC thermometry inside the capsules showed good agreement with temperatures measured post-irradiation, with two calculated temperatures falling within 10 °C of experimental measurements. The success of this design could lead to new opportunities for irradiation applications with materials that suffer from irradiation swelling, creep, or other dimensional changes that can affect the specimen temperature during irradiation.

  6. Experimental design and analysis for irradiation of SiC/SiC composite tubes under a prototypic high heat flux

    Energy Technology Data Exchange (ETDEWEB)

    Petrie, Christian M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); McDuffee, Joel L. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Deck, Christian P. [General Atomics, San Diego, CA (United States); Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Terrani, Kurt A. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-05-04

    The purpose of this work is to design an irradiation vehicle for testing silicon carbide (SiC) fiber-reinforced SiC matrix composite cladding materials under conditions representative of a light water reactor in order to validate thermo-mechanical models of stress states in these materials due to irradiation swelling and differential thermal expansion. The design allows for a constant tube outer surface temperature in the range of 300–350 °C under a representative high heat flux (~0.66 MW/m2) during one cycle of irradiation in an un-instrumented “rabbit” capsule in the High Flux Isotope Reactor. An engineered aluminum foil was developed to absorb the expansion of the cladding tubes, due to irradiation swelling, without changing the thermal resistance of the gap between the cladding and irradiation capsule. Finite-element analyses of the capsule were performed, and the models used to calculate thermal contact resistance were validated by out-of-pile testing and post-irradiation examination of the foils and passive SiC thermometry. Six irradiated cladding tubes (both monoliths and composites) were irradiated and subsequently disassembled in a hot cell. The calculated temperatures of passive SiC thermometry inside the capsules showed good agreement with temperatures measured post-irradiation, with two calculated temperatures falling within 10 °C of experimental measurements. Furthermore, the success of this design could lead to new opportunities for irradiation applications with materials that suffer from irradiation swelling, creep, or other dimensional changes that can affect the specimen temperature during irradiation.

  7. Influence of IR-laser irradiation on α-SiC-chromium silicides ceramics

    International Nuclear Information System (INIS)

    Vlasova, M.; Marquez Aguilar, P.A.; Resendiz-Gonzalez, M.C.; Kakazey, M.; Bykov, A.; Gonzalez Morales, I.

    2005-01-01

    This project investigated the influence of IR-laser irradiation (λ = 1064 nm, P = 240 mW) on composite ceramics SiC-chromium silicides (CrSi 2 , CrSi, Cr 5 Si 3 ) by methods of X-ray diffraction, electron microscopy, atomic force microscopy, and X-ray microanalysis. Samples were irradiated in air. It was established that a surface temperature of 1990 K was required to melt chromium silicides, evaporate silicon from SiC, oxidize chromium silicides, and enrich superficial layer by carbon and chromium oxide

  8. Evaluation of the barrier capability of Zr-Si films with different substrate temperature for Cu metallization

    International Nuclear Information System (INIS)

    Wang Ying; Cao Fei; Ding Minghui; Shao Lei

    2009-01-01

    Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 deg. C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 deg. C) with higher mass density make the Cu/Zr-Si(300 deg. C)/Si sample more stable. The appearance of Cu 3 Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.

  9. Defects annihilation behavior of neutron-irradiated SiC ceramics densified by liquid-phase-assisted method after post-irradiation annealing

    Directory of Open Access Journals (Sweden)

    Mohd Idzat Idris

    2016-12-01

    Full Text Available Numerous studies on the recovery behavior of neutron-irradiated high-purity SiC have shown that most of the defects present in it are annihilated by post-irradiation annealing, if the neutron fluence is less than 1×1026 n/m2 (>0.1MeV and the irradiation is performed at temperatures lower than 973K. However, the recovery behavior of SiC fabricated by the nanoinfiltrated and transient eutectic phase (NITE process is not well understood. In this study, the effects of secondary phases on the irradiation-related swelling and recovery behavior of monolithic NITE-SiC after post-irradiation annealing were studied. The NITE-SiC specimens were irradiated in the BR2 reactor at fluences of up to 2.0–2.5×1024 n/m2 (E>0.1MeV at 333–363K. This resulted in the specimens swelling up ∼1.3%, which is 0.1% higher than the increase seen in concurrently irradiated high-purity SiC. The recovery behaviors of the specimens after post-irradiation thermal annealing were examined using a precision dilatometer; the specimens were heated at temperatures of up to 1673K using a step-heating method. The recovery curves were analyzed using a first-order model, and the rate constants for each annealing step were obtained to determine the activation energy for volume recovery. The NITE-A specimen (containing 12 wt% sintering additives recovered completely after annealing at ∼1573K; however, it shrank because of the volatilization of the oxide phases at 1673K. The NITE-B specimen (containing 18wt% sintering additives did not recover fully, since the secondary phase (YAG was crystallized during the annealing process. The recovery mechanism of NITE-A SiC was based on the recombination of the C and Si Frenkel pairs, which were very closely sited or only slightly separated at temperatures lower than 1223K, as well as the recombination of the slightly separated C Frenkel pairs and the migration of C and Si interstitials at temperatures of 1223–1573K. That is to say, the

  10. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  12. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  13. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates

    International Nuclear Information System (INIS)

    Teng, F-Y; Ting, J-M; Sharma, Sahendra P; Liao, Kun-Hou

    2008-01-01

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs

  14. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates.

    Science.gov (United States)

    Teng, F-Y; Ting, Jyh-Ming; Sharma, Sahendra P; Liao, Kun-Hou

    2008-03-05

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs.

  15. Molecular dynamics study on heat transport from single-walled carbon nanotubes to Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Ya; Zhu, Jie, E-mail: zhujie@iet.cn; Tang, Da-Wei

    2015-02-06

    In this paper, non-equilibrium molecular dynamics simulations were performed to investigate the heat transport between a vertically aligned single-walled carbon nanotube (SWNT) and Si substrate, to find out the influence of temperature and system sizes, including diameter and length of SWNT and measurements of substrate. Results revealed that high temperature hindered heat transport in SWNT itself but was a beneficial stimulus for heat transport at interface of SWNT and Si. Furthermore, the system sizes strongly affected the peaks in vibrational density of states of Si, which led to interfacial thermal conductance dependent on system sizes. - Highlights: • NEMD is performed to simulate the heat transport from SWNT to Si substrate. • We analyze both interfacial thermal conductance and thermal conductivity of SWNT. • High temperature is a beneficial stimulus for heat transport at the interface. • Interfacial thermal conductance strongly depends on the sizes of SWNT and substrate. • We calculate VDOS of C and Si atoms to analyze phonon couplings between them.

  16. Transformation of point defects under annealing of neutron-irradiated Si and Si:Ge

    International Nuclear Information System (INIS)

    Pomozov, Yu.V.; Khirunenko, L.I.; Shakhovtsev, V.I.; Yashnik, V.I.

    1990-01-01

    Transformation of point radiation defects under isochronous annealing of neurton-irradaited Si and Si:Ge is studied. It is determined, that occurence of several new centers which produce A-centre range absorption bands is observed at annealing within 423-493 K temperature range. It is shown that vacancy and oxygen are included in the centers composition. It is found that VO centre transformation into VO 2 at annealing occurs via intermediate stage in contrast to that occuring in electron-irradiated crystals via VO direct diffusion to interstitial oxygen. Transformation of centers under Si ansd Si:Ge annealing occurs similarly

  17. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  18. Ion irradiation effects on the matrix phase of SiCf/SiC composites prepared by the whisker growing assisted CVI process

    International Nuclear Information System (INIS)

    Park, Kyeong Hwan; Park, Ji Yeon; Kang, Suk Min; Kim, Weon Ju; Jung, Choong Hwan; Ryu, Woo Seog

    2005-01-01

    SiC f /SiC composites are one of promising candidates for structural material of the next generation energy system such as GFR and fusion reactors. A number of fabrication methods have been studied for obtaining an outstanding SiC f /SiC composite with a high density, high crystallinity and purity. SiC f /SiC composites consisted of whisker-reinforced matrix have a great potential at the viewpoint both of the fabrication process and the mechanical properties. SiC whiskers formed between SiC fibers improve the densification of SiC matrix during CVI process. In addition, the reinforced whiskers would be likely to enhance the mechanical properties of matrix and SiC f /SiC composite. While there has been significant developmental work on manufacturing the SiC f /SiC composite by the whisker growing assisted CVI process, detailed understanding of what effects the complex in the operating conditions combined with realistic materials property data is not adequately understood. Especially, its irradiation effects are even less clear and not well understood. A method of charged-particle irradiation is the most important R and D topics for simulating the core conditions of the advanced nuclear systems. Many studies on radiation effects of SiC and SiC f /SiC composites using a method of ion irradiation have in progress for R and D of the advanced nuclear systems. In this present work, changes of the mechanical property of SiC whisker-reinforced matrix in SiC f /SiC composite were evaluated by means of the depth sensing indentation method before and after chargedparticle irradiation

  19. Effects of DD and DT neutron irradiation on some Si devices for fusion diagnostics

    International Nuclear Information System (INIS)

    Tanimura, Y.; Iida, T.

    1998-01-01

    In order to examine the difference in the irradiation effects on Si devices between DT and DD neutrons, CCD image sensors, memory ICs and a Si detector were irradiated with neutrons from a deuteron accelerator. The transient effects (i.e. neutron-induced background noises) and permanent effects (i.e. neutron damage) on them were in situ measured during irradiation. Regarding the transient effects, brightening spot noises, soft-error upsets and induced-charge noises were measured for the CCDs, memory ICs and Si detector, respectively. As for the permanent effect, the number of damaged cells of the CCDs and the leakage current of the Si detector increased with neutron fluence. Also we developed a Monte-Carlo code with the TRIM code to evaluate the correlation of DT and DD neutron effects on Si devices. The calculated correlation factor of DT and DD neutron damage for Si devices agreed approximately with the correlation factor obtained from the irradiation experiments on the CCDs and Si detector. (orig.)

  20. Effects of DD and DT neutron irradiation on some Si devices for fusion diagnostics

    Science.gov (United States)

    Tanimura, Yoshihiko; Iida, Toshiyuki

    1998-10-01

    In order to examine the difference in the irradiation effects on Si devices between DT and DD neutrons, CCD image sensors, memory ICs and a Si detector were irradiated with neutrons from a deuteron accelerator. The transient effects (i.e. neutron-induced background noises) and permanent effects (i.e. neutron damage) on them were in situ measured during irradiation. Regarding the transient effects, brightening spot noises, soft-error upsets and induced-charge noises were measured for the CCDs, memory ICs and Si detector, respectively. As for the permanent effect, the number of damaged cells of the CCDs and the leakage current of the Si detector increased with neutron fluence. Also we developed a Monte-Carlo code with the TRIM code to evaluate the correlation of DT and DD neutron effects on Si devices. The calculated correlation factor of DT and DD neutron damage for Si devices agreed approximately with the correlation factor obtained from the irradiation experiments on the CCDs and Si detector.

  1. Effects of ion irradiation on the mechanical properties of SiNa wO xC yH z sol-gel derived thin films

    Science.gov (United States)

    Lucca, D. A.; Qi, Y.; Harriman, T. A.; Prenzel, T.; Wang, Y. Q.; Nastasi, M.; Dong, J.; Mehner, A.

    2010-10-01

    A study of the effects of ion irradiation of hybrid organic/inorganic modified silicate thin films on their mechanical properties is presented. NaOH catalyzed SiNa wO xC yH z thin films were synthesized by sol-gel processing from tetraethylorthosilicate (TEOS) and methyltriethoxysilane (MTES) precursors and spin-coated onto Si substrates. After drying at 300 °C, the films were irradiated with 125 keV H + or 250 keV N 2+ at fluences ranging from 1 × 10 14 to 2.5 × 10 16 ions/cm 2. Nanoindentation was used to characterize the films. Changes in hardness and reduced elastic modulus were examined as a function of ion fluence and irradiating species. The resulting increases in hardness and reduced elastic modulus are compared to similarly processed acid catalyzed silicate thin films.

  2. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  3. Defects in electron irradiated vitreous SiO2 probed by positron annihiliation

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Kawano, Takao; Itoh, Hisayoshi

    1994-01-01

    Defects in 3 MeV electron irradiated vitreous SiO 2 (v-SiO 2 ) were probed by the positron annihilation technique. For unirradiated v-SiO 2 specimens, almost all positrons were found to annihilate from positronium (Ps) states. This high formation probability of Ps was attributed to the trapping of positrons by open-space defects. The formation probability of Ps was decreased by the electron irradiation. The observed inhibition of the Ps formation was attributed to the trapping of positrons by point defects introduced and/or activated by the irradiation. From measurements of the lifetime distribution of Ps, it was found that, by the electron irradiation, the mean size of open-space defects was decreased and the size distribution of such defects was broadened. (Author)

  4. Silver-coated Si nanograss as highly sensitive surface-enhanced Raman spectroscopy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Jing; Kuo, Huei Pei; Hu, Min; Li, Zhiyong; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Ou, Fung Suong [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Rice University, Department of Applied Physics, Houston, TX (United States); Stickle, William F. [Hewlett-Packard Company, Advanced Diagnostic Lab, Corvallis, OR (United States)

    2009-09-15

    We created novel surface-enhanced Raman spectroscopy (SERS) substrates by metalization (Ag) of Si nanograss prepared by a Bosch process which involves deep reactive ion etching of single crystalline silicon. No template or lithography was needed for making the Si nanograss, thus providing a simple and inexpensive method to achieve highly sensitive large-area SERS substrates. The dependence of the SERS effect on the thickness of the metal deposition and on the surface morphology and topology of the substrate prior to metal deposition was studied in order to optimize the SERS signals. We observed that the Ag-coated Si nanograss can achieve uniform SERS enhancement over large area ({proportional_to}1 cm x 1 cm) with an average EF (enhancement factor) of 4.2 x 10{sup 8} for 4-mercaptophenol probe molecules. (orig.)

  5. Extremely improved InP template and GaInAsP system growth on directly-bonded InP/SiO2-Si and InP/glass substrate

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko

    2013-01-01

    We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  7. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  8. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  9. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  10. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  11. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  12. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  13. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  14. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  15. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography

    International Nuclear Information System (INIS)

    Chen Peixuan; Fan Yongliang; Zhong Zhenyang

    2009-01-01

    A new scalable approach has been developed for fabricating large-scale pit patterns with controllable periodicity on Si(001) substrates. The fabrication processes start with self-assembling a monolayer of polystyrene (PS) spheres on hydrogenated Si(001) substrates. A novel net-like mask in combination of the Au pattern thermally evaporated in between the PS spheres and the Au-catalyzed SiO 2 around them is naturally formed. After selective etching of Si by KOH solution, two-dimensionally ordered pits with a periodicity equal to the diameter of the PS spheres in the range from micrometers to less than 100 nm can be obtained. The shape of the pits can be modulated by controlling the chemical etching time. Such pit-patterned Si substrates facilitate the formation of ordered Si-based nanostructures, such as ordered self-assembled GeSi quantum dots, by deposition of Ge using molecular beam epitaxy.

  16. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    International Nuclear Information System (INIS)

    Ashrafi, Almamun; Aminuzzaman, Mohammod

    2011-01-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2) ZnO ||(0 0 0 6) SiC and [112-bar 0] ZnO ||[112-bar 0] SiC . Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  17. Gamma and electron beam irradiation effects on SiR-EPDM blends

    Directory of Open Access Journals (Sweden)

    R. Deepalaxmi

    2014-07-01

    Full Text Available Ethylene Propylene Diene Monomer (EPDM is widely used as Cable Insulation Material (CIM due to its good mechanical strength. Silicone Rubber (SiR is used in high temperature environments due to its good di-electric properties/hydrophobicity. The blending of SiR-EPDM may result in the improvement in their specific properties. The SiR-EPDM blend of equal composition (50:50 was prepared. When such blends are used as Cable Insulation Materials (CIM, they should perform their safety functions throughout their installed life in Nuclear Power Plants (NPP. The CIM will be exposed to Gamma irradiation at the installed locations. The short time accelerated testing was carried out, in order to forecast long-term performance of CIM. Electron beam irradiation is widely used in cable manufacturing industries to improve the performance of the polymeric materials. In the current study, on the purpose to investigate the effect of gamma/electron beam irradiation on the 50–50 composition of SiR-EPDM blend, blend was exposed to 25 Mrad dose of gamma/electron beam irradiation. The electrical and mechanical parameters like Volume Resistivity (VRY, Surface Resistivity (SRY, Tensile Strength (TS, Elongation at Break (EB, Hardness (H of the virgin, gamma/electron beam irradiated blends were determined as per ASTM/IEC standards. The nature of degradation was investigated using Fourier Transform Infrared Spectroscopy (FTIR. To determine the elemental composition of the materials at the surface, Energy Dispersive X-ray Analysis (EDAX has been done. Scanning Electron Microscopy (SEM analysis has been done to study the morphological changes. The occurrence of cross-linking is found to be the mechanism for ageing in gamma/electron beam irradiated SiR-EPDM blends.

  18. Fission product Pd-SiC interaction in irradiated coated particle fuels

    International Nuclear Information System (INIS)

    Tiegs, T.N.

    1980-04-01

    Silicon carbide is the main barrier to fission product release from coated particle fuels. Consequently, degradation of the SiC must be minimized. Electron microprobe analysis has identified that palladium causes corrosion of the SiC in irradiated coated particles. Further ceramographic and electron microprobe examinations on irradiated particles with kernels ranging in composition from UO 2 to UC 2 , including PuO/sub 2 -x/ and mixed (Th, Pu) oxides, and in enrichment from 0.7 to 93.0% 235 U revealed that temperature is the major factor affecting the penetration rate of SiC by Pd. The effects of kernel composition, Pd concentration, other fission products, and SiC properties are secondary

  19. Interface thermal resistance of nanostructured FeCoCu film and Si substrate

    Science.gov (United States)

    Nikolaenko, Yuri M.; Medvedev, Yuri V.; Genenko, Yuri A.; Ghafari, Mohammad; Hahn, Horst

    2006-05-01

    Results of measurement of thermal resistance (RFS ) of film substrate interface of 10 nm (Fe1-x Cox )1-y Cuy film on Si substrate with 50 nm SiO2 sublayer are presented. The estimated magnitude is two orders greater then RFS of epitaxial manganite films on StTiO3 substrate with and without sublayer. The significant increase of RFS is explained by granular structure of film with average size of grain about 10 nm. In this case the additional thermal barier in the film-substrate interface is appeared. It provides the change of regime of phonons propagation from ballistic to diffusion one. The principle possibility of variation of RFS in wide range as a task of nanotechnology is discussed.

  20. Self-irradiation damage in 4H-SiC by molecular dynamics simulation

    International Nuclear Information System (INIS)

    Han Miaomiao; Wang Qingyu; Li Taosheng; Li Zhongyu

    2014-01-01

    The development of nuclear technology is closely and inseparably related to the improvements of materials irradiation performance. The irradiation damage of nuclear materials is an important issue of characteristics and difficulties. Because of the excellent features, SiC becomes one of the candidate materials for the cladding material and structure material in fast neutron reactor and fusion reactor. As one of the polytypes, 4H-SiC has prospective important applications in a strong irradiation environment. In this work, molecular dynamics (MD) simulation was performed to study the irradiation-induced cascade damage in single-crystalline 4H-SiC to get the microscopic evolution during the irradiation, in the aim of getting access to the detail that we cannot get from experiments. The software LAMMPS was used to simulate the damage formation process and the recovery process. The results showed that the initial project direction, the temperature and PKA energy exerted significant effects on the number and morphology of defects. (authors)

  1. Enhanced photoelectrochemical properties of 100 MeV Si8+ ion irradiated barium titanate thin films

    International Nuclear Information System (INIS)

    Solanki, Anjana; Choudhary, Surbhi; Satsangi, Vibha R.; Shrivastav, Rohit; Dass, Sahab

    2013-01-01

    Highlights: ► Effect of 100 MeV Si 8+ ion irradiation on photoelectrochemical (PEC) properties of BaTiO 3 thin films was studied. ► Films were deposited on Indium doped Tin Oxide (ITO) coated glass by sol–gel spin coating technique. ► Optimal irradiation fluence for best PEC response was 5 × 10 11 ion cm −2 . ► Maximum photocurrent density was observed to be 0.7 mA cm −2 at 0.4 V/SCE. ► Enhanced photo-conversion efficiency was due to maximum negative flatband potential, donor density and lowest resistivity. -- Abstract: Effects of high electronic energy deposition on the structure, surface topography, optical property and photoelectrochemical behavior of barium titanate (BaTiO 3 ) thin films were investigated by irradiating films with 100 MeV Si 8+ ions at different ion fluences in the range of 1 × 10 11 –2 × 10 13 ions cm −2 . BaTiO 3 thin films were deposited on indium tin oxide coated glass substrate by sol gel spin coating method. Irradiation induced modifications in the films were analyzed using the results from XRD, SEM, cross sectional SEM, AFM and UV–Vis spectrometry. Maximum photocurrent density of 0.7 mA cm −2 at 0.4 V/SCE and applied bias hydrogen conversion efficiency (ABPE) of 0.73% was observed for BaTiO 3 film irradiated at 5 × 10 11 ions cm −2 , which can be attributed to maximum negative value of the flatband potential and donor density and lowest resistivity

  2. Determination of He and D permeability of neutron-irradiated SiC tubes to examine the potential for release due to micro-cracking

    Energy Technology Data Exchange (ETDEWEB)

    Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Hu, Xunxiang [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Singh, Gyanender P. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-07-01

    is manifested by the un-detectable deuterium permeation flux at various feeding gas pressures. A large helium leakage rate was detected for the uncoated SiC/SiC composite tube exposed to atmosphere, indicating it is inherently not hermetic. The hermeticity of coated SiC/SiC composite tubes is strongly dependent on the coating materials and the preparation of the substrate SiC/SiC composite samples. To simulate the practical application environment, monolithic CVD SiC tubes were exposed to neutron irradiation at the High Flux Isotope Reactor under high heat flux from the internal surface to the external surface. Although finite element analysis and resonant ultrasound spectroscopy measurement indicated that the combined neutron irradiation and high heat flux gave rise to a high probability of cracking within the sample, the hermeticity evaluation of the tested sample still exhibited gas tightness, emphasizing that SiC cracking is inherently a statistical phenomenon. The developed permeation testing station is capable of measuring the gas permeation flux in the range of interest with full confidence based on the presented results. It is considered a critical pre- /post-irradiation examination technique to characterize SiC-based cladding materials in asreceived and irradiated states to aid the research and development of ATF.

  3. Electrical characterization of proton irradiated p+-n-n+ Si diode

    International Nuclear Information System (INIS)

    Kim, J.H.; Lee, D.U.; Kim, E.K.; Bae, Y.H.

    2006-01-01

    Electrical characterization of p + -n-n + Si power electric diodes was done with proton irradiation. The kinetic energies of irradiated protons were 2.32, 2.55 and 2.97MeV, and for each energy condition, doses of 1x10 11 , 1x10 12 and 1x10 13 cm -2 were given. By modulating the kinetic energy, the proton penetration depth into Si crystal could be adjusted to the range of 55-90μm, and then controlled to the special depth regions such as junction region, depletion region and neutral region over the depletion layer in the p + -n-n + diode structure. Defects produced by the proton irradiation affected to electrical property of the Si diode because of their carrier trapping, and then the reverse recovery time was improved from 240 to 50ns. It appeared that the defect states with activation energies of 0.47 and 0.54eV may be responsible for the decrease of the minority carrier lifetime in the proton-irradiated diode with 2.97MeV energy and 1x10 13 cm -2 doses

  4. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  5. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  6. The effect of irradiation on the stability and properties of monolithic silicon carbide and SiCf/SiC composites up to 25 dpa

    International Nuclear Information System (INIS)

    Hollenberg, G.W.; Henager, C.H. Jr.; Youngblood, G.E.; Trimble, D.J.; Simonson, S.A.; Newsome, G.A.; Lewis, E.

    1994-04-01

    Stability and properties of monolithic and SiC f /SiC composites were measured before and after irradiation in a fast neutron spectrum up to 25 dpa between 500 and 1500C. Dimensional changes were relatively consistent with previous investigations. Strength and modulus of SiC f /SiC composites decreased after irradiation as a result of fiber/matrix decoupling. For some composites, uniform elongation was not significantly degraded by irradiation. Thermal conductivity also decreased after irradiation at low temperatures because of the introduction of lattice defects as phonon scattering sites. Retention of properties under the severe conditions of 25 dpa and 800C suggests that a composite tailored for neutron damage resistance can be developed

  7. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  8. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  9. Comparison of stability of WSiX/SiC and Ni/SiC Schottky rectifiers to high dose gamma-ray irradiation

    International Nuclear Information System (INIS)

    Kim, Jihyun; Ren, F.; Chung, G.Y.; MacMillan, M.F.; Baca, A.G.; Briggs, R.D.; Schoenfeld, D.; Pearton, S.J.

    2004-01-01

    SiC Schottky rectifiers with moderate breakdown voltages of ∼450 V and with either WSi X or Ni rectifying contacts were irradiated with Co-60 γ-rays to doses up to ∼315 Mrad. The Ni/SiC rectifiers show severe reaction of the contact after irradiation at the highest dose, badly degrading the forward current characteristics and increasing the on-state resistance by up to a factor of 6 after irradiation. By sharp contrast, the WSi X /SiC devices show little deterioration of the contact with the same conditions and changes in on-state resistance of X contacts appear promising for applications requiring improved contact stability

  10. Stress impedance effect of FeCoSiB/Cu/FeCoSiB sandwich layers on flexible substrate

    International Nuclear Information System (INIS)

    Peng, B.; Zhang, W.L.; Liu, J.D.; Zhang, W.X.

    2011-01-01

    FeCoSiB/Cu/FeCoSiB sandwich layers were deposited on flexible substrate to develop flexible stress/strain sensors. The influence of stress on the impedance of the multilayers is reported. The results show that the variation of the impedance increases with the increase in deflection of the free end of the cantilever. A relative change in impedance of 6.4% is obtained in the FeCoSiB(1.5 μm)/Cu(0.25 μm)/FeCoSiB(1.5 μm) sandwich layers at 1 MHz with deflection of 2 mm. The stress impedance effects are sensitive to the frequency of the current and the thickness of both FeCoSiB and Cu layers. The stress impedance effect increases with the increase in the thickness of FeCoSiB or Cu layers. The stress impedance effect increases slightly with the increase in frequency and decreases with the further increase in frequency, which can be understood by the stress and frequency-dependent permeability of magnetic films. - Research highlights: → We deposited FeCoSiB/Cu/FeCoSiB multilayer on flexible substrate. → We studied the stress impedance effect of FeCoSiB/Cu/FeCoSiB multilayer. → Stress impedance effect increases with thickness of both FeCoSiB and Cu layer.→ Stress impedance effect is dependent on current frequency. → Results are understood using stress and frequency-dependent permeability.

  11. Ablation, surface activation, and electroless metallization of insulating materials by pulsed excimer laser irradiation

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Godbole, M.J.; Pedraza, A.J.

    1993-01-01

    Pulsed-laser irradiation of wide bandgap ceramic substrates, using photons with sub-bandgap energies, activates the ceramic surface for subsequent electroless copper deposition. The copper deposit is confined within the irradiated region when the substrate is subsequently immersed in an electroless copper bath. However, a high laser fluence (typically several j/cm 2 ) and repeated laser shots are needed to obtain uniform copper coverage by this direct-irradiation process. In contrast, by first applying an evaporated SiO x thin film (with x ∼1), laser ablation at quite low energy density (∼0.5 J/cm 2 ) results in re-deposition on the ceramic substrate of material that is catalytic for subsequent electroless copper deposition. Experiments indicate that the re-deposited material is on silicon, on which copper nucleates. Using an SiO x film on a laser-transparent substrate, quite fine (∼12 μm) copper lines can be formed at the boundary of the region that is laser-etched in SiO x . Using SiO x with an absorbing (polycrystalline) ceramic substrate, more-or-less uniform activation and subsequent copper deposition are obtained. In the later case, interactions with the ceramic substrate also may be important for uniform deposition

  12. Integrated picosecond photoconductors produced on bulk Si substrates

    International Nuclear Information System (INIS)

    Hammond, R.B.; Paulter, N.G.; Wagner, R.S.; Eisenstadt, W.R.

    1984-01-01

    We report optoelectronic cross-correlation measurements of the response of photoconductor pulsers and sampling gates formed on Si wafers. These photoconductors were fabricated with standard integrated circuit fabrication techniques followed by shadow-masked ion beam irradiation. Successful ion beam irradiations were performed with 2 MeV 2 H, 6 MeV He, and 30 MeV O with doses of 10 15 ion/cm 2 . Deep damage was necessary to eliminate long-lived background currents in the cross correlations. Carrier lifetimes of 96, 47, and 29 ps were observed in photoconductors with carrier mobilities of approx.250 cm 2 /Vs

  13. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  14. Massive transfer of vertically aligned Si nanowire array onto alien substrates and their characteristics

    International Nuclear Information System (INIS)

    Shiu, Shu-Chia; Hung, Shih-Che; Chao, Jiun-Jie; Lin, Ching-Fuh

    2009-01-01

    Si nanowires (NWs) are promising materials for future electronic, photovoltaic, and sensor applications. So far the Si NWs are mainly formed on particular substrates or at high temperatures, greatly limiting their application flexibility. Here we report a low temperature process for forming and massively transferring vertically aligned Si NWs on alien substrates with a large density of about (3-5) x 10 7 NWs/mm 2 . The X-ray diffraction spectrum reveals that the transferred NWs exhibit almost the same crystal property as the bulk Si. Our investigation further shows that the transferred NWs have exceptional optical characteristics. The transferred Si NWs of 12.14 μm exhibit the transmittance as low as 0.3% in the near infrared region and 0.07% in the visible region. The extracted absorption coefficient of Si NWs in the near infrared region is about 3 x 10 3 cm -1 , over 30 times larger than that of the bulk Si. Because of the low temperature process, it enables a large variety of alien substrates such as glass and plastics to be used. In addition, the exceptional properties of the transferred NWs offer potential applications for photovoltaic, photo-detectors, sensors, and flexible electronics.

  15. Study of SiO2 surface sputtering by a 250-550 keV He+ ion beam during high-resolution Rutherford backscattering measurements

    International Nuclear Information System (INIS)

    Kusanagi, Susumu; Kobayashi, Hajime

    2006-01-01

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO 2 layer on a Si substrate when irradiated by 250-550 keV He + ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO 2 /Si substrate were also observed as a result of He + ion irradiation. These results lead to the conclusion that the SiO 2 surface was sputtered by He + ions in this energy range

  16. Effect of substrate porosity on photoluminescence properties of ZnS films prepared on porous Si substrates by pulsed laser deposition

    Science.gov (United States)

    Wang, Cai-Feng; Li, Qing-Shan; Zhang, Li-Chun; Lv, Lei; Qi, Hong-Xia

    2007-05-01

    ZnS films were deposited on porous Si (PS) substrates with different porosities by pulsed laser deposition. The photoluminescence spectra of the samples were measured to study the effect of substrate porosity on luminescence properties of ZnS/porous Si composites. After deposition of ZnS films, the red photoluminescence peak of porous Si shows a slight blueshift compared with as-prepared porous Si samples. With an increase of the porosity, a green emission at about 550 nm was observed which may be ascribed to the defect-center luminescence of ZnS films, and the photoluminescence of ZnS/porous Si composites is very close to white light. Good crystal structures of the samples were observed by x-ray diffraction, showing that ZnS films were grown in preferred orientation. Due to the roughness of porous Si surface, some cracks appear in ZnS films, which could be seen from scanning electron microscope images.

  17. SiO{sub 2} on silicon: behavior under heavy ion irradiation; SiO{sub 2} sur silicium: comportement sous irradiation avec des ions lourds

    Energy Technology Data Exchange (ETDEWEB)

    Rotaru, C

    2004-03-15

    Heavy ion irradiation was performed on a-SiO{sub 2} layers deposited on Si. Damage of the surface was studied by means of Atomic Force Microscopy. Hillocks appear for an electronic stopping power higher than 16 keV/nm. The height of the hillocks decreases with the thickness of the oxide layer. Infrared Spectroscopy studies show that the damage threshold for a-SiO{sub 2} is at an electronic stopping power of 2 keV/nm. Therefore it is probable that the origin of the hillocks comes from the silicon layer. This could be explain within the frame of thermal spike model. The theoretical thresholds are 8 keV/nm and 1.8 keV/nm for silicon and a-SiO{sub 2} respectively. Chemical etching after irradiation gives a technical possibility to create nano-pits, whose size and shape can be controlled. Additionally, these structures allowed to determine the AFM tip radius. (author)

  18. Molecular dynamics study on interfacial thermal conductance of unirradiated and irradiated SiC/C

    International Nuclear Information System (INIS)

    Wang, Qingyu; Wang, Chenglong; Zhang, Yue; Li, Taosheng

    2014-01-01

    SiC f /SiC composite materials have been considered as candidate structural materials for several types of advanced nuclear reactors. Both experimental and computer simulations studies have revealed the degradation of thermal conductivity for this material after irradiation. The objective of this study is to investigate the effect of SiC/graphite interface structure and irradiation on the interfacial thermal conductance by using molecular dynamics simulation. Five SiC/graphite composite models were created with different interface structures, and irradiation was introduced near the interfaces. Thermal conductance was calculated by means of reverse-NEMD method. Results show that there is a positive correlation between the interfacial energy and interfacial C–Si bond quantity, and irradiated models showed higher interfacial energy compared with their unirradiated counterparts. Except the model with graphite atom plane parallel to the interface, the interfacial thermal conductance of unirradiated and irradiated (1000 eV) models, increases as the increase of interfacial energy, respectively. For all irradiated models, lattice defects are of importance in impacting the interfacial thermal conductance depending on the interface structure. For the model with graphite layer parallel to the interface, the interfacial thermal conductance increased after irradiation, for the other models the interfacial thermal conductance decreased. The vibrational density of states of atoms in the interfacial region was calculated to analyze the phonon mismatch at the interface

  19. Interdiffusion processes at irradiated Cr/Si interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Luneville, L., E-mail: laurence.luneville@cea.fr [DEN/DANS/DM2S/SERMA/LLPR/LRC-CARMEN, CEA Saclay, 91191 Gif-sur-Yvette (France); Largeau, L. [LPN-UPR20/CNRS, Route de Nozay, 91460 Marcoussis (France); Deranlot, C. [Unite Mixte de Physique CNRS/Thales, 1 Avenue Augustin Fresnel, 91767 Palaiseau (France); Ribis, J. [DEN/DANS/DMN/SRMA/LA2M/LRC-CARMEN, CEA Saclay, 91191 Gif-sur-Yvette (France); Ott, F. [DSM/IRAMIS/LLB/CEA/CNRS, CEA Saclay, 91191 Gif-sur-Yvette (France); Moncoffre, N. [IPNL/CNRS, Domaine scientifique de la Doua, 69622 Villeurbanne (France); Baldinozzi, G. [CNRS-SPMS/UMR 8580/LRC CARMEN Ecole Centrale Paris, 92295 Chatenay-Malabry (France); Simeone, D. [DEN/DANS/DMN/SRMA/LA2M/LRC-CARMEN, CEA Saclay, 91191 Gif-sur-Yvette (France)

    2015-03-25

    Highlights: • Interdiffusion at Cr/Si interfaces induced by ion beam mixing at room temperature. • Creation of Cr/Si alloy metastable phases. • Reconstruction of Cr/Si interdiffusion profile by X-ray reflectometry. • Quantitative correlation between Cr and Si profiles extracted from XRR and measured by EDX–TEM. - Abstract: Chromium silicon CrSi alloys are foreseen as possible materials for spintronic devices. Ion beam mixing could be an efficient technique to produce thin films of such alloys at room temperature while avoiding thermal diffusion. In order to assess this point, we have irradiated 20 nm Cr layer on a (1 0 0) Si wafer with 70 keV Kr ions. The X-ray reflectometry technique combined with Transmission Electron Microscopy and Energy Dispersive X-ray analysis was applied to analyze, at the nanometric scale, the formation of Cr/Si blurred interfaces induced by ion beam mixing. From the analysis of reflectivity curves, it appears that nanometric Cr{sub 5}Si{sub 3} and CrSi{sub 2} phases are produced at the early stage of the process. The existence of these two paramagnetic phases gives some clues to explain the reason why the experimentally observed ferrimagnetism was weaker than predicted.

  20. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Ashrafi, Almamun, E-mail: ash2phy@gmail.com [Department of Physics, University of Vermont, VT 05405 (United States); Aminuzzaman, Mohammod [Department of Chemical Science, Universiti Tunku Abdul Rahman, Perak (Malaysia)

    2011-05-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2){sub ZnO}||(0 0 0 6){sub SiC} and [112-bar 0]{sub ZnO}||[112-bar 0]{sub SiC}. Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  1. Effects of ultraviolet irradiation treatment on low-k SiOC(-H) ultra-thin films deposited by using TMS/O2 PEALD

    International Nuclear Information System (INIS)

    Kim, Changyoung; Woo, Jongkwan; Choi, Chikyu; Navamathavan, R.

    2012-01-01

    We report on the electrical characteristics for the metal-insulator-semiconductor (MIS) structure of low-dielectric-constant SiOC(-H) films. The SiOC(-H) thin films were deposited on p-Si(100) substrates by using a plasma-enhanced atomic layer deposition (PEALD) system. To improve the structural and the electrical characteristics, we post-treated the SiOC(-H) films deposited using PEALD with ultraviolet (UV) irradiation for various time intervals. The radical intensities in the bulk plasma were observed to be influenced strongly by the radio-frequency (rf) power. A complete dissociation of the trimethylsilane (TMS) precursor took place for rf powers greater than 300 W. As the UV treatment time was increased, the bonding structure of the SiOC(-H) film clearly separated to Si-O-Si and Si-O-C bonds. Also, the fixed charge density and the interface state density on the SiOC(-H)/p-Si(100) interface decreased as the UV treatment time was increased to 6 min. Therefore, we were able to minimize the defects and to reduce the interface charge by adjusting the UV dose.

  2. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  3. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  4. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  5. Size- and phase-dependent mechanical properties of ultrathin Si films on polyimide substrates

    International Nuclear Information System (INIS)

    Schlich, Franziska F.; Spolenak, Ralph

    2016-01-01

    Ultrathin Si films in the nanometer range are extensively used for electronic and optoelectronic devices. Their mechanical properties have a high impact on the durability of the devices during lifetime. Here, fragmentation and buckling of 8–103 nm thin amorphous and polycrystalline (poly-) Si films on polyimide substrates have been studied by in situ light microscopy, Raman spectroscopy and resistance measurements. Generally, a smaller film thickness and a compressive residual stress delays the fracture of the film. The fracture strength of poly-Si films is larger compared to that of amorphous Si films while the adhesion to the substrate is better for amorphous Si compared to poly-Si. The onset delamination as a function of film thickness differs for the two phases and is described by two different models. Thin-film models for fracture toughness (amorphous Si: K 1C  = 1.49 ± 0.22, poly-Si: K 1C  = 3.36 ± 1.37) are applied, discussed, and found to be consistent with literature values.

  6. 75 MeV boron ion irradiation studies on Si PIN photodiodes

    Energy Technology Data Exchange (ETDEWEB)

    Prabhakara Rao, Y.P.; Praveen, K.C. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore 570006 (India); Rejeena Rani, Y. [Integrated Circuits Division, Bharat Electronics Limited, Bangalore 560013, Karnataka (India); Tripathi, Ambuj [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Gnana Prakash, A.P., E-mail: gnanap@hotmail.com [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore 570006 (India)

    2013-12-01

    The highly sensitive silicon PIN photodiodes were fabricated to use in radiation environments. The Si PIN photodiodes are coated with 150 nm silicon dioxide (SiO{sub 2}) as anti-reflective (AR) coating. The presence of AR coating on the performance of irradiated PIN photodiodes is studied up to a total dose of 10 Mrad. The effects of 75 MeV boron (B{sup 5+}) ions and {sup 60}Co gamma radiation on the I–V, C–V and spectral responses of PIN photodiodes were studied systematically to understand the radiation tolerance of the devices. The 75 MeV B{sup 5+} irradiation results are compared with {sup 60}Co gamma irradiated results in the same dose range for 1 mm × 1 mm and 10 mm × 10 mm active area PIN photodiodes. The irradiation results show that the ion irradiated PIN photodiodes show more degradation when compared {sup 60}Co gamma irradiated devices. The irradiation results are presented in this paper and the possible mechanism behind the degradation of photodiodes is also discussed in the paper.

  7. Influence of irradiation on mechanical properties of Si-Ge alloys

    Energy Technology Data Exchange (ETDEWEB)

    Sichinava, Avtandil; Bokuchava, Guram; Chubinidze, Giorgi; Archuadze, Giorgi [Ilia Vekua Sukhumi Institute of Physics and Technology, Tbilisi (Georgia); Gapishvili, Nodar [Ilia Vekua Sukhumi Institute of Physics and Technology, Tbilisi (Georgia); Georgian Technical University, Tbilisi (Georgia)

    2017-07-15

    Impact of various irradiation (Ar and He ions, high energy electrons) on microhardness and indentation of monocrystalline Si{sub 0,98}Ge{sub 0,02} alloy is studied. Samples of Si and SiGe alloy are obtained by Czochralski (CZ) method in the [111] direction in the atmosphere of high purity Ar. High energy electron irradiation with fluence of ∝10{sup 12} cm{sup -2} is conducted at the Clinac 2100iX. Ar and He ion implantation is performed on modernized ''VEZUVI-3M'' plant. It is shown that for all types of irradiation the microhardness and indentation modulus versus load are characterized by reverse indentation size effect (ISE). With the increase of fluences of Ar and He ions, the maximum value of the effect increases. At high values of loading force impact on the indenter the mechanical characteristics slowly decrease. Impact of isochronous thermal annealing on mechanical properties of high energy electron irradiated samples is studied. Non-monotonic changes of microhardness and indentation modulus are revealed in the temperature range of 200-260 C. It is proposed that such changes are caused by radiation defects transformation. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Defects in electron irradiated vitreous SiO[sub 2] probed by positron annihiliation

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro (Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science); Kawano, Takao (Tsukuba Univ., Ibaraki (Japan). Radioisotope Centre); Itoh, Hisayoshi (Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment)

    1994-10-10

    Defects in 3 MeV electron irradiated vitreous SiO[sub 2] (v-SiO[sub 2]) were probed by the positron annihilation technique. For unirradiated v-SiO[sub 2] specimens, almost all positrons were found to annihilate from positronium (Ps) states. This high formation probability of Ps was attributed to the trapping of positrons by open-space defects. The formation probability of Ps was decreased by the electron irradiation. The observed inhibition of the Ps formation was attributed to the trapping of positrons by point defects introduced and/or activated by the irradiation. From measurements of the lifetime distribution of Ps, it was found that, by the electron irradiation, the mean size of open-space defects was decreased and the size distribution of such defects was broadened. (Author).

  9. 28Si total body irradiation injures bone marrow hematopoietic stem cells via induction of cellular apoptosis

    Science.gov (United States)

    Chang, Jianhui; Feng, Wei; Wang, Yingying; Allen, Antiño R.; Turner, Jennifer; Stewart, Blair; Raber, Jacob; Hauer-Jensen, Martin; Zhou, Daohong; Shao, Lijian

    2017-05-01

    Long-term space mission exposes astronauts to a radiation environment with potential health hazards. High-energy charged particles (HZE), including 28Si nuclei in space, have deleterious effects on cells due to their characteristics with high linear energy transfer and dense ionization. The influence of 28Si ions contributes more than 10% to the radiation dose equivalent in the space environment. Understanding the biological effects of 28Si irradiation is important to assess the potential health hazards of long-term space missions. The hematopoietic system is highly sensitive to radiation injury and bone marrow (BM) suppression is the primary life-threatening injuries after exposure to a moderate dose of radiation. Therefore, in the present study we investigated the acute effects of low doses of 28Si irradiation on the hematopoietic system in a mouse model. Specifically, 6-month-old C57BL/6 J mice were exposed to 0.3, 0.6 and 0.9 Gy 28Si (600 MeV) total body irradiation (TBI). The effects of 28Si TBI on BM hematopoietic stem cells (HSCs) and hematopoietic progenitor cells (HPCs) were examined four weeks after the exposure. The results showed that exposure to 28Si TBI dramatically reduced the frequencies and numbers of HSCs in irradiated mice, compared to non-irradiated controls, in a radiation dose-dependent manner. In contrast, no significant changes were observed in BM HPCs regardless of radiation doses. Furthermore, irradiated HSCs exhibited a significant impairment in clonogenic ability. These acute effects of 28Si irradiation on HSCs may be attributable to radiation-induced apoptosis of HSCs, because HSCs, but not HPCs, from irradiated mice exhibited a significant increase in apoptosis in a radiation dose-dependent manner. However, exposure to low doses of 28Si did not result in an increased production of reactive oxygen species and DNA damage in HSCs and HPCs. These findings indicate that exposure to 28Si irradiation leads to acute HSC damage.

  10. Degradation of SiGe devices by proton irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Ohyama, Hidenori; Hayama, Kiyoteru [Kumamoto National Coll. of Technology, Nishigoshi (Japan); Vanhellemont, J; Takami, Yasukiyo; Sunaga, Hiromi; Nashiyama, Isamu; Uwatoko, Yoshiya; Poortmans, J; Caymax, M

    1997-03-01

    The degradation and recovery behavior of strained Si{sub 1-x}Ge{sub x} diodes and heterojunction bipolar transistors (HBTs) by irradiated by protons are studied. The degradation of device performance and the generation of lattice defects are reported as a function of fluence and germanium content and also compared extensively with previous results obtained on electron and neutron irradiated devices. In order to study the recovery behavior of the irradiated devices, isochronal annealing is performed. The radiation source dependence of the degradation is discussed taking into account the number of knock-on atoms and the nonionizing energy loss (NIEL). (author)

  11. Long-Wavelength InAs/GaAs Quantum-Dot Light Emitting Sources Monolithically Grown on Si Substrate

    Directory of Open Access Journals (Sweden)

    Siming Chen

    2015-06-01

    Full Text Available Direct integration of III–V light emitting sources on Si substrates has attracted significant interest for addressing the growing limitations for Si-based electronics and allowing the realization of complex optoelectronics circuits. However, the high density of threading dislocations introduced by large lattice mismatch and incompatible thermal expansion coefficient between III–V materials and Si substrates have fundamentally limited monolithic epitaxy of III–V devices on Si substrates. Here, by using the InAlAs/GaAs strained layer superlattices (SLSs as dislocation filter layers (DFLs to reduce the density of threading dislocations. We firstly demonstrate a Si-based 1.3 µm InAs/GaAs quantum dot (QD laser that lases up to 111 °C, with a low threshold current density of 200 A/cm2 and high output power over 100 mW at room temperature. We then demonstrate the operation of InAs/GaAs QD superluminescent light emitting diodes (SLDs monolithically grown on Si substrates. The fabricated two-section SLD exhibits a 3 dB linewidth of 114 nm, centered at ~1255 nm with a corresponding output power of 2.6 mW at room temperature. Our work complements hybrid integration using wafer bonding and represents a significant milestone for direct monolithic integration of III–V light emitters on Si substrates.

  12. SiO2 on silicon: behavior under heavy ion irradiation

    International Nuclear Information System (INIS)

    Rotaru, C.

    2004-03-01

    Heavy ion irradiation was performed on a-SiO 2 layers deposited on Si. Damage of the surface was studied by means of Atomic Force Microscopy. Hillocks appear for an electronic stopping power higher than 16 keV/nm. The height of the hillocks decreases with the thickness of the oxide layer. Infrared Spectroscopy studies show that the damage threshold for a-SiO 2 is at an electronic stopping power of 2 keV/nm. Therefore it is probable that the origin of the hillocks comes from the silicon layer. This could be explain within the frame of thermal spike model. The theoretical thresholds are 8 keV/nm and 1.8 keV/nm for silicon and a-SiO 2 respectively. Chemical etching after irradiation gives a technical possibility to create nano-pits, whose size and shape can be controlled. Additionally, these structures allowed to determine the AFM tip radius. (author)

  13. 125 MeV Si 9+ ion irradiation of calcium phosphate thin film coated by rf-magnetron sputtering technique

    Science.gov (United States)

    Elayaraja, K.; Joshy, M. I. Ahymah; Suganthi, R. V.; Kalkura, S. Narayana; Palanichamy, M.; Ashok, M.; Sivakumar, V. V.; Kulriya, P. K.; Sulania, I.; Kanjilal, D.; Asokan, K.

    2011-01-01

    Titanium substrate was coated with hydroxyapatite by radiofrequency magnetron sputtering (rf-magnetron sputtering) technique and subjected to swift heavy ion (SHI) irradiation of 125 MeV with Si 9+ at fluences of 1 × 10 10, 1 × 10 11 and 1 × 10 12 ions/cm 2. The glancing incidence X-ray diffraction (GIXRD) analysis confirmed the HAp phase of the irradiated film. There was a considerable decrease in crystallinity and particle size after irradiation. In addition, DRS-UV reflectance spectra revealed a decrease in optical band gap ( Eg) from 5.2 to 4.6 eV. Wettability of biocompatible materials plays an important role in biological cells proliferation for tissue engineering, drug delivery, gene transfer and bone growth. HAp thin films irradiated with 1 × 10 11 ions/cm 2 fluence showed significant increase in wettability. While the SHI irradiated samples exhibited enhanced bioactivity, there was no significant variation in cell viability. Surface roughness, pores and average particle size were analyzed by atomic force microscopy (AFM).

  14. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Analysis of neutron irradiation effects on thermal conductivity of SiC-based composites and monolithic ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Senor, D.J. [Pacific Northwest National Lab., Richland, WA (United States)

    1997-08-01

    After irradiation of a variety of SiC-based materials to 33 or 43 dpa-SiC at 1000{degrees}C, their thermal conductivity values were degraded and became relatively temperature independent, which indicates that the thermal resistivity was dominated by point defect scattering. The magnitude of irradiation-induced conductivity degradation was greater at lower temperatures and typically was larger for materials with higher unirradiated conductivity. From these data, a K{sub irr}/K{sub unirr} ratio map which predicts the expected equilibrium thermal conductivity for most SiC-based materials as a function of irradiation temperature was derived. Due to a short-term EOC irradiation at 575{degrees} {+-} 60{degrees}C, a duplex irradiation defect structure was established. Based on an analysis of the conductivity and swelling recovery after post-irradiation anneals for these materials with the duplex defect structure, several consequences for irradiating SiC at temperatures of 1000{degrees}C or above are given. In particular, the thermal conductivity degradation in the fusion relevant 800{degrees}-1000{degrees}C temperature range may be more severe than inferred from SiC swelling behavior.

  16. Analysis of neutron irradiation effects on thermal conductivity of SiC-based composites and monolithic ceramics

    International Nuclear Information System (INIS)

    Youngblood, G.E.; Senor, D.J.

    1997-01-01

    After irradiation of a variety of SiC-based materials to 33 or 43 dpa-SiC at 1000 degrees C, their thermal conductivity values were degraded and became relatively temperature independent, which indicates that the thermal resistivity was dominated by point defect scattering. The magnitude of irradiation-induced conductivity degradation was greater at lower temperatures and typically was larger for materials with higher unirradiated conductivity. From these data, a K irr /K unirr ratio map which predicts the expected equilibrium thermal conductivity for most SiC-based materials as a function of irradiation temperature was derived. Due to a short-term EOC irradiation at 575 degrees ± 60 degrees C, a duplex irradiation defect structure was established. Based on an analysis of the conductivity and swelling recovery after post-irradiation anneals for these materials with the duplex defect structure, several consequences for irradiating SiC at temperatures of 1000 degrees C or above are given. In particular, the thermal conductivity degradation in the fusion relevant 800 degrees-1000 degrees C temperature range may be more severe than inferred from SiC swelling behavior

  17. Regrowth of Si and Ge under laser irradiation

    International Nuclear Information System (INIS)

    Bertolotti, M.; Vitali, G.

    1979-01-01

    The effects of pulsed laser irradiation on amorphous layers of Si and Ge obtained via ion implantation are considered. Amorphous-polycrystalline, amorphous-single crystal and polycrystalline-single crystal transitions have been obtained. Residual disorder and mechanical damage are considered. (author)

  18. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  19. Study of SiO{sub 2} surface sputtering by a 250-550 keV He{sup +} ion beam during high-resolution Rutherford backscattering measurements

    Energy Technology Data Exchange (ETDEWEB)

    Kusanagi, Susumu [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)]. E-mail: susumu.kusanagi@jp.sony.com; Kobayashi, Hajime [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)

    2006-08-15

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO{sub 2} layer on a Si substrate when irradiated by 250-550 keV He{sup +} ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO{sub 2}/Si substrate were also observed as a result of He{sup +} ion irradiation. These results lead to the conclusion that the SiO{sub 2} surface was sputtered by He{sup +} ions in this energy range.

  20. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  1. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  2. Structural modification by swift heavy ion at metal/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Sisodia, Veenu; Jain, R.K.; Bhattacharaya, D.; Kabiraj, D.; Jain, I.P. E-mail: ipjain46@sify.com

    2003-06-01

    Transition metal silicides produced by swift heavy ion (SHI) irradiation have found applications in ultra-large-scale integrated circuits due to their small contact resistivities and higher thermal and chemical stabilities. In the present work, the mixing in Ni/Si and Ti/Si systems was studied under irradiation with Au ions. A layer of Ni (15 nm) and Ti (18 nm) was deposited by e-gun evaporation on Si (1 0 0) substrate at 10{sup -8} Torr vacuum. The samples were irradiated with 95 Mev Au ions at room temperature to a fluence of 10{sup 13} ions/cm{sup 2} and 1 pna beam current. Rutherford backscattering spectroscopy and X-Ray reflectivity have been employed to characterize the samples. The large electronic excitation due to SHI irradiation produces defects in the system. It is expected that SHI irradiation followed by thermal annealing in Ni/Si system will provide the required energy to the atoms to diffuse across the interface resulting in mixing.

  3. Morphological and electrical properties of self-assembled iron silicide nanoparticles on Si(0 0 1) and Si(1 1 1) substrates

    International Nuclear Information System (INIS)

    Molnár, G.; Dózsa, L.; Erdélyi, R.; Vértesy, Z.; Osváth, Z.

    2015-01-01

    Highlights: • Epitaxial iron silicide nanostructures were grown on Si(1 1 1) and Si(0 0 1) substrates. • The size and shape of the particles are the function of the thickness and annealing. • The local current–voltage characteristics were measured by conductive AFM. • The different size and shape nanoparticles show similar I–V characteristics. • The tip current is dominated in few nm size sites, visible in the AFM phase image. - Abstract: Epitaxial iron silicide nanostructures are grown by solid phase epitaxy on Si(0 0 1) and Si(1 1 1), and by reactive deposition epitaxy on Si(0 0 1) substrates. The formation process is monitored by reflection high-energy electron diffraction. The morphology, size, and electrical properties of the nanoparticles are investigated by scanning electron microscopy, by electrically active scanning probe microscopy, and by confocal Raman spectroscopy. The results show that the shape, size, orientation, and density of the nanoobjects can be tuned by self-assembly, controlled by the lattice misfit between the substrates and iron silicides. The size distribution and shape of the grown nanoparticles depend on the substrate orientation, on the initial thickness of the evaporated iron, on the temperature and time of the annealing, and on the preparation method. The so-called Ostwald ripening phenomena, which state that the bigger objects develop at the expense of smaller ones, controls the density of the nanoparticles. Raman spectra show the bigger objects do not contain β-FeSi 2 phase. The different shape nanoparticles exhibit small, about 100 mV barrier compared to the surrounding silicon. The local leakage current of the samples measured by conductive AFM using a Pt coated Si tip is localized in a few nanometers size sites, and the sites which we assume are very small silicide nanoparticles or point defects.

  4. Study of the irradiation defects in 3C-SiC

    International Nuclear Information System (INIS)

    Lefevre, J.

    2007-01-01

    This work deals with the study of the irradiation defects in the cubic polytype 3C of the n type silicon carbide. Low temperature photoluminescence and electron spin resonance techniques have been used. In situ photoluminescence measurements after irradiation at 10 K by electrons have shown that the nature of the defects induced is identical to those observed after irradiation at ambient temperature with electrons, protons or carbon ions. No regeneration of these defects has been revealed after in situ annealings until 300 K. The electrons Van de Graff accelerator of the Irradiated Solid Laboratory has allowed to irradiate sample of 3C in a range of energies between 190 keV and 1 MeV. It has then been possible to estimate the appearance threshold of the irradiation defects but especially to be able to determine the displacement threshold energy of silicon in this SiC polytype. The found value of 25 eV is in good agreement with the first experimental result proposed by X. Kerbiriou with the use of the ESR. Annealings in the range of high temperatures have been carried out. The evolution of the irradiation defects has been followed in photoluminescence and in ESR. The results show that, in one part, the vacancy of the silicon negatively charged is essentially the only compensating defect in 3C-SiC of n type and that, in another part, the majority of the defects are annealed below 1200 C. Only the D1 defect remains after annealings until 1600 C. The D1 center is in fact a native defect in SiC; indeed, it has been identified alone in non irradiated samples. A systematic study of these last samples show the absence of D1 in samples strongly compensated. The compared results of photoluminescence and of positons annihilation are in good agreement for the possible attribution of D1 to the bi-vacancy V C -V Si . One of the most interesting result of this last work has been obtained using the ESR technique under excitation with a neodymium laser. The measurements, carried

  5. Irradiation damage in U{sub 3}Si

    Energy Technology Data Exchange (ETDEWEB)

    MacEwan, J R; Bethune, B

    1969-04-15

    The ordered body-centered tetragonal structure of U{sub 3}S1 transforms allotropically or by irradiation damage to ordered and disordered face -centered cubic structures respectively. An exposure of about 6 x 10{sup 16} fissions/cm{sup 3} at 100{sup o}C produced X-ray diffraction patterns of the cubic form with a 0.6% decrease in X-ray density. However, immersion density measurements showed a volume increase of 2.3% at a similar exposure. Further irradiation removed all but two peaks from the diffraction pattern indicating a trend to an amorphous structure. Electrical resistivity measurements showed that U{sub 3}Si is an electronic conductor with a large positive temperature coefficient. Measurements made below the irradiation temperature of 100{sup o}C showed that the temperature coefficient decreased with irradiation and approached zero at high exposure, Amorphous materials have a negligible temperature coefficient, so the result confirms the trend observed by X-ray analyses. (author)

  6. Microstructure of the irradiated U 3Si 2/Al silicide dispersion fuel

    Science.gov (United States)

    Gan, J.; Keiser, D. D.; Miller, B. D.; Jue, J.-F.; Robinson, A. B.; Madden, J. W.; Medvedev, P. G.; Wachs, D. M.

    2011-12-01

    The silicide dispersion fuel of U 3Si 2/Al is recognized as the best performance fuel for many nuclear research and test reactors with up to 4.8 gU/cm 3 fuel loading. An irradiated U 3Si 2/Al dispersion fuel ( 235U ˜ 75%) from the high-flux side of a fuel plate (U0R040) from the Reduced Enrichment for Research and Test Reactors (RERTR)-8 test was characterized using transmission electron microscopy (TEM). The fuel was irradiated in the Advanced Test Reactor (ATR) for 105 days. The average irradiation temperature and fission density of the U 3Si 2 fuel particles for the TEM sample are estimated to be approximately 110 °C and 5.4 × 10 27 f/m 3. The characterization was performed using a 200-kV TEM. The U/Si ratio for the fuel particle and (Si + Al)/U for the fuel-matrix-interaction layer are approximately 1.1 and 4-10, respectively. The estimated average diameter, number density and volume fraction for small bubbles (<1 μm) in the fuel particle are ˜94 nm, 1.05 × 10 20 m -3 and ˜11%, respectively. The results and their implication on the performance of the U 3Si 2/Al silicide dispersion fuel are discussed.

  7. Impurities-Si interstitials interaction in Si doped with B or Ga during ion irradiation

    International Nuclear Information System (INIS)

    Romano, L; Piro, A M; Grimaldi, M G; Rimini, E

    2005-01-01

    Substitutional impurities (B, Ga) in Si experienced an off-lattice displacement during ion-irradiation using a H + or He + beam at room temperature in random incidence. Samples were prepared by solid phase epitaxy (SPE) of pre-amorphized Si subsequently implanted with B and Ga at a concentration of about 1 x10 20 at.cm -3 confined in a 300 nm thick surface region. The lattice location of impurities was performed by a channelling technique along different axes ( , ) using the 11 B(p,α) 8 Be reaction and standard RBS for B and Ga, respectively. The normalized channelling yield χ of the impurity signal increases with the ion fluence, indicating a progressive off-lattice displacement of the dopant during irradiation in random incidence, until it saturates at χ F I ) generated by the impinging beam in the doped region

  8. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  9. Structural and optical modification in 4H-SiC following 30 keV silver ion irradiation

    Science.gov (United States)

    Kaushik, Priya Darshni; Aziz, Anver; Siddiqui, Azher M.; Lakshmi, G. B. V. S.; Syväjärvi, Mikael; Yakimova, Rositsa; Yazdi, G. Reza

    2018-05-01

    The market of high power, high frequency and high temperature based electronic devices is captured by SiC due to its superior properties like high thermal conductivity and high sublimation temperature and also due to the limitation of silicon based electronics in this area. There is a need to investigate effect of ion irradiation on SiC due to its application in outer space as outer space is surrounded both by low and high energy ion irradiations. In this work, effect of low energy ion irradiation on structural and optical property of 4H-SiC is investigated. ATR-FTIR is used to study structural modification and UV-Visible spectroscopy is used to study optical modifications in 4H-SiC following 30 keV Ag ion irradiation. FTIR showed decrease in bond density of SiC along the ion path (track) due to the creation of point defects. UV-Visible absorption spectra showed decrease in optical band gap from 3.26 eV to 2.9 eV. The study showed degradation of SiC crystallity and change in optical band gap following low energy ion irradiation and should be addressed while fabricationg devices based on SiC for outer space application. Additionally, this study provides a platform for introducing structural and optical modification in 4H-SiC using ion beam technology in a controlled manner.

  10. Highly active surface-enhanced Raman scattering (SERS) substrates based on gold nanoparticles infiltrated into SiO{sub 2} inverse opals

    Energy Technology Data Exchange (ETDEWEB)

    Ankudze, Bright; Philip, Anish [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Pakkanen, Tuula T., E-mail: Tuula.Pakkanen@uef.fi [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Matikainen, Antti; Vahimaa, Pasi [Institute of Photonics, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland)

    2016-11-30

    Highlights: • SERS substrates prepared by infiltration of nanoparticles into SiO{sub 2} inverse opal. • The SERS substrate gives an enhancement factor of 10{sup 7} for 4-aminothiophenol. • The sensitivity of the substrate is mainly attributed to gold nanoparticle clusters. - Abstract: SiO{sub 2} inverse opal (IO) films with embedded gold nanoparticles (AuNPs) for surface-enhanced Raman scattering (SERS) application are reported. SiO{sub 2} IO films were loaded with AuNPs by a simple infiltration in a single cycle to form Au-SiO{sub 2} IOs. The optical property and the morphology of the Au-SiO{sub 2} IO substrates were characterized; it was observed that they retained the Bragg diffraction of SiO{sub 2} IO and the localized surface plasmon resonance (LSPR) of AuNPs. The SERS property of the Au-SiO{sub 2} IO substrates were studied with methylene blue (MB) and 4-aminothiophenol (4-ATP). The SERS enhancement factors were 10{sup 7} and 10{sup 6} for 4-ATP and MB, respectively. A low detection limit of 10{sup −10} M for 4-ATP was also obtained with the Au-SiO{sub 2} IO substrate. A relative standard deviation of 18.5% for the Raman signals intensity at 1077 cm{sup −1} for 4-ATP shows that the Au-SiO{sub 2} IO substrates have good signal reproducibility. The results of this study indicate that the Au-SiO{sub 2} IO substrates can be used in sensing and SERS applications.

  11. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  12. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  13. Colloidal assemblies modified by ion irradiation

    OpenAIRE

    Snoeks, E.; Blaaderen, A. van; Dillen, T. van; Kats, C.M. van; Velikov, K.P.; Brongersma, M.L.; Polman, A.

    2001-01-01

    Spherical SiO2 and ZnS colloidal particles show a dramatic anisotropic plastic deformation under 4 MeV Xe ion irradiation, that changes their shape into oblate into oblate ellipsional, with an aspect ratio that can be precisely controlled by the ion fluence. The 290 nm and 1.1 um diameter colloids were deposited on a Si substrate and irradiated at 90 K, using fluences in the range 3*10^(13)-8*10^(14) cm^(-2). The transverse particle diameter shows a linear increase with ion fluence, while the...

  14. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  15. Effects of neutron irradiation on thermal conductivity of SiC-based composites and monolithic ceramics

    International Nuclear Information System (INIS)

    Senor, D.J.; Youngblood, G.E.; Moore, C.E.; Trimble, D.J.; Woods, J.J.

    1996-06-01

    A variety of SiC-based composites and monolithic ceramics were characterized by measuring their thermal diffusivity in the unirradiated, thermal annealed, and irradiated conditions over the temperature range 400 to 1,000 C. The irradiation was conducted in the EBR-II to doses of 33 and 43 dpa-SiC (185 EFPD) at a nominal temperature of 1,000 C. The annealed specimens were held at 1,010 C for 165 days to approximately duplicate the thermal exposure of the irradiated specimens. Thermal diffusivity was measured using the laser flash method, and was converted to thermal conductivity using density data and calculated specific heat values. Exposure to the 165 day anneal did not appreciably degrade the conductivity of the monolithic or particulate-reinforced composites, but the conductivity of the fiber-reinforced composites was slightly degraded. The crystalline SiC-based materials tested in this study exhibited thermal conductivity degradation of irradiation, presumably caused by the presence of irradiation-induced defects. Irradiation-induced conductivity degradation was greater at lower temperatures, and was typically more pronounced for materials with higher unirradiated conductivity. Annealing the irradiated specimens for one hour at 150 C above the irradiation temperature produced an increase in thermal conductivity, which is likely the result of interstitial-vacancy pair recombination. Multiple post-irradiation anneals on CVD β-SiC indicated that a portion of the irradiation-induced damage was permanent. A possible explanation for this phenomenon was the formation of stable dislocation loops at the high irradiation temperature and/or high dose that prevented subsequent interstitial/vacancy recombination

  16. Effects of neutron irradiation on thermal conductivity of SiC-based composites and monolithic ceramics

    International Nuclear Information System (INIS)

    Senor, D.J.; Youngblood, G.E.; Moore, C.E.; Trimble, D.J.; Woods, J.J.

    1997-05-01

    A variety of SiC-based composites and monolithic ceramics were characterized by measuring their thermal diffusivity in the unirradiated, thermal annealed, and irradiated conditions over the temperature range 400 to 1,000 C. The irradiation was conducted in the EBR-II to doses of 33 and 43 dpa-SiC (185 EFPD) at a nominal temperature of 1,000 C. The annealed specimens were held at 1,010 C for 165 days to approximately duplicate the thermal exposure of the irradiated specimens. Thermal diffusivity was measured using the laser flash method, and was converted to thermal conductivity using density data and calculated specific heat values. Exposure to the 165 day anneal did not appreciably degrade the conductivity of the monolithic or particulate-reinforced composites, but the conductivity of the fiber-reinforced composites was slightly degraded. The crystalline SiC-based materials tested in this study exhibited thermal conductivity degradation after irradiation, presumably caused by the presence of irradiation-induced defects. Irradiation-induced conductivity degradation was greater at lower temperatures, and was typically more pronounced for materials with higher unirradiated conductivity. Annealing the irradiated specimens for one hour at 150 C above the irradiation temperature produced an increase in thermal conductivity, which is likely the result of interstitial-vacancy pair recombination. Multiple post-irradiation anneals on CVD β-SiC indicated that a portion of the irradiation-induced damage was permanent. A possible explanation for this phenomenon was the formation of stable dislocation loops at the high irradiation temperature and/or high dose that prevented subsequent interstitial/vacancy recombination

  17. Precipitate resolution in an electron irradiated ni-si alloy

    Science.gov (United States)

    Watanabe, H.; Muroga, T.; Yoshida, N.; Kitajima, K.

    1988-09-01

    Precipitate resolution processes in a Ni-12.6 at% Si alloy under electron irradiation have been observed by means of HVEM. Above 400°C, growth and resolution of Ni 3Si precipitates were observed simultaneously. The detail stereoscopic observation showed that the precipitates close to free surfaces grew, while those in the middle of a specimen dissolved. The critical dose when the precipitates start to shrink increases with increasing the depth. This depth dependence of the precipitate behavior under irradiation has a close relation with the formation of surface precipitates and the growth of solute depleted zone beneath them. The temperature and dose dependence of the resolution rate showed that the precipitates in the solute depleted zone dissolved by the interface controlled process of radiation-enhanced diffusion.

  18. Short Communication on "In-situ TEM ion irradiation investigations on U3Si2 at LWR temperatures"

    Science.gov (United States)

    Miao, Yinbin; Harp, Jason; Mo, Kun; Bhattacharya, Sumit; Baldo, Peter; Yacout, Abdellatif M.

    2017-02-01

    The radiation-induced amorphization of U3Si2 was investigated by in-situ transmission electron microscopy using 1 MeV Kr ion irradiation. Both arc-melted and sintered U3Si2 specimens were irradiated at room temperature to confirm the similarity in their responses to radiation. The sintered specimens were then irradiated at 350 °C and 550 °C up to 7.2 × 1015 ions/cm2 to examine their amorphization behavior under light water reactor (LWR) conditions. U3Si2 remains crystalline under irradiation at LWR temperatures. Oxidation of the material was observed at high irradiation doses.

  19. Positron annihilation and electron spin resonance studies of defects in electron-irradiated 3C-SiC

    International Nuclear Information System (INIS)

    Itoh, Hisayoshi; Yoshikawa, Masahito; Tanigawa, Shoichiro; Nashiyama, Isamu; Misawa, Shunji; Okumura, Hajime; Yoshida, Sadafumi.

    1992-01-01

    Defects induced by 1 MeV electron-irradiation in cubic silicon carbide (3C-SiC) epitaxially grown by chemical vapor deposition have been studied with positron annihilation and electron spin resonance (ESR). Doppler broadened energy spectra of annihilation γ-rays obtained by using variable-energy positron beams showed the formation of vacancy-type defects in 3C-SiC by the electron-irradiation. An ESR spectrum labeled Tl, which has an isotropic g-value of 2.0029 ± 0.001, was observed in electron-irradiated 3C-SiC. The Tl spectrum is interpreted by hyperfine interactions of paramagnetic electrons with 13 C at four carbon sites and 29 Si at twelve silicon sites, indicating that the Tl center arises from a point defect at a silicon site. Both the results can be accounted for by the introduction of isolated Si vacancies by the irradiation. (author)

  20. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  1. Tribology of silicon-thin-film-coated SiC ceramics and the effects of high energy ion irradiation

    International Nuclear Information System (INIS)

    Kohzaki, Masao; Noda, Shoji; Doi, Harua

    1990-01-01

    The sliding friction coefficients and specific wear of SiC ceramics coated with a silicon thin film (Si/SiC) with and without subsequent Ar + irradiation against a diamond pin were measured with a pin-on-disk tester at room temperature in laboratory air of approximately 50% relative humidity without oil lubrication for 40 h. The friction coefficient of Ar + -irradiated Si/SiC was about 0.05 with a normal load of 9.8 N and remained almost unchanged during the 40 h test, while that of SiC increased from 0.04 to 0.12 during the test. The silicon deposition also reduced the specific wear of SiC to less than one tenth of that of the uncoated SiC. Effectively no wear was detected in Si/SiC irradiated to doses of over 2x10 16 ions cm -2 . (orig.)

  2. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  3. Irradiation creep transients in Ni-4 at.% Si

    International Nuclear Information System (INIS)

    Nagakawa, J.

    1983-01-01

    In the course of irradiation creep experiments on Ni-4 at.% Si alloy, two types of creep transients were observed on the termination of irradiation. The short term transient was completed within one minute while the long term transient persisted for nearly ten hours. A change in the temperature distribution was excluded from the possible causes, partly because the stress dependence of the observed transient strains was not linear, and partly because the strain increase expected from the temperature change was much smaller than the observed value. Transient behavior of point defects was examined in conjunction with the climb-glide mechanism and the steady-state irradiation creep data. Calculated creep transient due to excess vacancy flux to dislocations was in good agreement with the observed short term transient. The long term transient appears to be a result of dislocation microstructure change. The present results suggest an enhanced irradiation creep under cyclic irradiation conditions which will be encountered in the early generations of fusion reactors. (orig.)

  4. Retention and damage in 3C-β SiC irradiated with He and H ions

    Energy Technology Data Exchange (ETDEWEB)

    Deslandes, Alec, E-mail: alec.deslandes@csiro.au [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Guenette, Mathew C. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Thomsen, Lars [Australian Synchrotron, 800 Blackburn Road, Clayton, Victoria 3168 (Australia); Ionescu, Mihail; Karatchevtseva, Inna; Lumpkin, Gregory R. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia)

    2016-02-15

    3C-β SiC was implanted with He and H ions using plasma immersion ion implantation (PIII). Regions of damage were created at various depths by applying a sample stage bias of 5 kV, 10 kV, 20 kV or 30 kV. Raman spectroscopy results indicate that He irradiation leads to more damage compared to H irradiation, as observed via increased disordered C and Si signals, as well as broadening of the SiC peaks. X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure spectroscopy (NEXAFS) results indicate significant change to the SiC structure and that surface oxidation has occurred following irradiation, with the degree of change varying dependent on impinging He fluence. The distributions of implanted species were measured using elastic recoil detection analysis. Despite the varying degree and depth of damage created in the SiC by the He ion irradiations, the retained H distribution was observed to not be affected by preceding He implantation.

  5. Technique for measuring irradiation creep in polycrystalline SiC fibers

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Hamilton, M.L.; Jones, R.H. [Pacific Northwest National Lab., Richland, WA (United States)

    1996-10-01

    A bend stress relaxation (BSR) test has been designed to examine irradiation enhanced creep in polycrystalline SiC fibers being considered for fiber reinforcement in SiC/SiC composite. Thermal creep results on Nicalon-CG and Hi-Nicalon were shown to be consistent with previously published data with Hi-Nicalon showing about a 100{degrees}C improvement in creep resistance. Preliminary data was also obtained on Nicalon-S that demonstrated that its creep resistance is greater than that of Hi-Nicalon.

  6. Enhanced defects recombination in ion irradiated SiC

    International Nuclear Information System (INIS)

    Izzo, G.; Litrico, G.; Grassia, F.; Calcagno, L.; Foti, G.

    2010-01-01

    Point defects induced in SiC by ion irradiation show a recombination at temperatures as low as 320 K and this process is enhanced after running current density ranging from 80 to 120 A/cm 2 . Ion irradiation induces in SiC the formation of different defect levels and low-temperature annealing changes their concentration. Some levels (S 0 , S x and S 2 ) show a recombination and simultaneously a new level (S 1 ) is formed. An enhanced recombination of defects is besides observed after running current in the diode at room temperature. The carriers introduction reduces the S 2 trap concentration, while the remaining levels are not modified. The recombination is negligible up to a current density of 50 A/cm 2 and increases at higher current density. The enhanced recombination of the S 2 trap occurs at 300 K, which otherwise requires a 400 K annealing temperature. The process can be related to the electron-hole recombination at the associated defect.

  7. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  8. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  9. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  10. The effects of illumination on deep levels observed in as-grown and low-energy electron irradiated high-purity semi-insulating 4H-SiC

    Science.gov (United States)

    Alfieri, G.; Knoll, L.; Kranz, L.; Sundaramoorthy, V.

    2018-05-01

    High-purity semi-insulating 4H-SiC can find a variety of applications, ranging from power electronics to quantum computing applications. However, data on the electronic properties of deep levels in this material are scarce. For this reason, we present a deep level transient spectroscopy study on HPSI 4H-SiC substrates, both as-grown and irradiated with low-energy electrons (to displace only C-atoms). Our investigation reveals the presence of four deep levels with activation energies in the 0.4-0.9 eV range. The concentrations of three of these levels increase by at least one order of magnitude after irradiation. Furthermore, we analyzed the behavior of these traps under sub- and above-band gap illumination. The nature of the traps is discussed in the light of the present data and results reported in the literature.

  11. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  12. Thermally stimulated capacitance in gamma irradiated epitaxial 4H-SiC Schottky barrier diodes

    Science.gov (United States)

    Vigneshwara Raja, P.; Narasimha Murty, N. V. L.

    2018-04-01

    Deep level defects in 4H-SiC Schottky barrier diodes (SBDs) fabricated on n-type epitaxial 4H-SiC have been identified by thermally stimulated capacitance (TSCAP) spectroscopy prior to and after 60Co-gamma irradiation. The TSCAP measurements on the non-irradiated SBDs reveal two electron traps at Ec-0.63 eV (˜250 K) and Ec-1.13 eV (˜525 K), whereas only one trap at Ec-0.63 eV is identified by conventional thermally stimulated current (TSC) measurements. Hence, TSCAP spectroscopy is more effective in identifying deep level defects in epitaxial 4 H-SiC SBDs as compared to the TSC spectroscopy. Upon exposure to 60Co-gamma rays up to a dose of 100 Mrad, significant changes in the concentration of the traps at Ec-0.63 eV, Ec-1.13 eV, and one new trap at Ec-0.89 eV (˜420 K) are observed. The electrical characteristics of the SBDs are considerably changed after gamma irradiation. The dominant mechanisms responsible for the irradiation induced changes in the SBD electrical characteristics are analyzed by incorporating the trap signatures in the commercial Silvaco® TCAD device simulator. The extracted trap parameters of the irradiated SBDs may be helpful in predicting the survival of 4H-SiC SBD detectors at higher irradiation levels.

  13. X-ray irradiation effects of interface traps and trapped-oxide charge at the Si-SiO{sub 2} interface of segmented silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kopsalis, Ioannis; Fretwurst, Eckhart; Garutti, Erika; Klanner, Robert; Schwandt, Joern [Institute for Experimental Physics, Hamburg University, Luruper Chaussee 149, D-22761 Hamburg (Germany)

    2016-07-01

    The surface radiation damage of SiO{sub 2} grown on high-ohmic Si, as used for the fabrication of segmented silicon sensors, has been investigated. Circular p- and n-MOSFETs, biased in accumulation and inversion at a field in the SiO{sub 2} of about 500 kV/cm, have been irradiated by X-rays up to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation, the gate voltage has been cycled from inversion to accumulation conditions and back, and from the dependence of the drain-source current, on gate voltage, the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface determined. From the threshold voltage, the effective oxide-charge density is calculated. Using the subthreshold-current technique the contribution of interface traps, in the lower and the upper part of the energy Si bandgap, and of fixed oxide-charge to the effective oxide-charge density has been estimated. Results on the dose dependence of the above quantities, the charging-up and discharging of border traps when changing the gate voltage, and the hole and electron mobilities at the Si-SiO{sub 2} interface are presented.

  14. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  15. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  16. Surface damage on 6H–SiC by highly-charged Xeq+ ions irradiation

    International Nuclear Information System (INIS)

    Zhang, L.Q.; Zhang, C.H.; Han, L.H.; Xu, C.L.; Li, J.J.; Yang, Y.T.; Song, Y.; Gou, J.; Li, J.Y.; Ma, Y.Z.

    2014-01-01

    Surface damage on 6H–SiC irradiated by highly-charged Xe q+ (q = 18, 26) ions to different fluences in two geometries was studied by means of AFM, Raman scattering spectroscopy and FTIR spectrometry. The FTIR spectra analysis shows that for Xe 26+ ions irradiation at normal incidence, a deep reflection dip appears at about 930 cm −1 . Moreover, the reflectance on top of reststrahlen band decreases as the ion fluence increases, and the reflectance at tilted incidence is larger than that at normal incidence. The Raman scattering spectra reveal that for Xe 26+ ions at normal incidence, surface reconstruction occurs and amorphous stoichiometric SiC and Si–Si and C–C bonds are generated and original Si–C vibrational mode disappears. And the intensity of scattering peaks decreases with increasing dose. The AFM measurement shows that the surface swells after irradiation. With increasing ion fluence, the step height between the irradiated and the unirradiated region increases for Xe 18+ ions irradiation; while for Xe 26+ ions irradiation, the step height first increases and then decreases with increasing ion fluence. Moreover, the step height at normal incidence is higher than that at tilted incidence by the irradiation with Xe 18+ to the same ion fluence. A good agreement between the results from the three methods is found

  17. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  18. Post-irradiation examination of prototype Al-64 wt% U3Si2 fuel rods from NRU

    International Nuclear Information System (INIS)

    Sears, D.F.; Primeau, M.F.; Buchanan, C.; Rose, D.

    1997-01-01

    Three prototype fuel rods containing Al-64 wt% U 3 Si 2 (3.15 gU/cm 3 ) have been irradiated to their design burnup in the NRU reactor without incident. The fuel was fabricated using production-scale equipment and processes previously developed for Al-U 3 Si fuel fabrication at Chalk River Laboratories, and special equipment developed for U 3 Si 2 powder production and handling. The rods were irradiated in NRU up to 87 at% U-235 burnup under typical driver fuel conditions; i.e., nominal coolant inlet temperature 37 degrees C, inlet pressure 654 kPa, mass flow 12.4 L/s, and element linear power ratings up to 73 kW/m. Post-irradiation examinations showed that the fuel elements survived the irradiation without defects. Fuel core diametral increases and volumetric swelling were significantly lower than that of Al-61 wt% U 3 Si fuel irradiated under similar conditions. This irradiation demonstrated that the fabrication techniques are adequate for full-scale fuel manufacture, and qualified the fuel for use in AECL's research reactors

  19. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates

    Science.gov (United States)

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-01

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  20. CaO-Al2O3 glass-ceramic as a joining material for SiC based components: A microstructural study of the effect of Si-ion irradiation

    Science.gov (United States)

    Casalegno, Valentina; Kondo, Sosuke; Hinoki, Tatsuya; Salvo, Milena; Czyrska-Filemonowicz, Aleksandra; Moskalewicz, Tomasz; Katoh, Yutai; Ferraris, Monica

    2018-04-01

    The aim of this work was to investigate and discuss the microstructure and interface reaction of a calcia-alumina based glass-ceramic (CA) with SiC. CA has been used for several years as a glass-ceramic for pressure-less joining of SiC based components. In the present work, the crystalline phases in the CA glass-ceramic and at the CA/SiC interface were investigated and the absence of any detectable amorphous phase was assessed. In order to provide a better understanding of the effect of irradiation on the joining material and on the joints, Si ion irradiation was performed both on bulk CA and CA joined SiC. CA glass-ceramic and CA joined SiC were both irradiated with 5.1 MeV Si2+ ions to 3.3 × 1020 ions/m2 at temperatures of 400 and 800 °C at DuET facility, Kyoto University. This corresponds to a damage level of 5 dpa for SiC averaged over the damage range. This paper presents the results of a microstructural analysis of the irradiated samples as well as an evaluation of the dimensional stability of the CA glass-ceramic and its irradiation temperature and/or damage dependence.

  1. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  2. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  3. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  4. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  5. Parameters of compensating centers in n-type Si highly compensated by irradiation. Parametry kompensiruyushchikh tsentrov v n-Si sil'no kompensirovannym oblucheniem

    Energy Technology Data Exchange (ETDEWEB)

    Klinger, P M; Fistul' , V I [Moskovskij Gosudarstvennyj Univ., Moscow (USSR)

    1990-06-01

    Resuls of investigations into effect of {gamma}- and neutron irradiation on defect formation in high-ohmic n-Si

    using technique of capacity temperature dependence (CTD) are given. Radiation dose varied from 4.8x10{sup 17} up to 1.7x10{sup 18} cm{sup -2}. CTD technique is shown to be successfully applied to investigate into defect formation at irradiation of highly compensated silicon. Rate of admission of Ec-0.40 eV deep acceptor levels in n-Si at pulsed electron irradiation does not depend on fine impurity cocentration. Positions of energy levels of deep acceptors introduced into n-Si do not coincide and constitute, respectively, E{sub c}-0.40 and E{sub c}-049 eV.

  6. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  7. Silicon/HfO2 interface: Effects of proton irradiation

    International Nuclear Information System (INIS)

    Maurya, Savita; Radhakrishna, M.

    2015-01-01

    Substrate oxide interfaces are of paramount importance in deciding the quality of the semiconductor devices. In this work we have studied how 200 keV proton irradiation affects the interface of a 13 nm thick, atomic layer deposited hafnium dioxide on silicon substrate. Pre- and post-irradiation electrical measurements are used to quantify the effect of proton irradiation for varying electrode geometries. Proton irradiation introduces positive charge in the oxide and at the interface of Si/HfO 2 interface. The gate current is not very much affected under positive injection since the induced positive charge is compensated by the injected electrons. Current voltage characteristics under negative bias get affected by the proton irradiation

  8. Self-organization of nanocluster δ-layers at ion-beam-mixed Si-SiO2 interfaces

    International Nuclear Information System (INIS)

    Roentzsch, L.

    2003-11-01

    This diploma thesis presents experimental evidence of a theoretical concept which predicts the self-organization of δ-layers of silicon nanoclusters in the buried oxide of a MOS-like structure. This approach of ''bottom-up'' structuring might be of eminent importance in view of future semiconductor memory devices. Unconventionally, a 15 nm thin SiO 2 layer, which is enclosed by a 50 nm poly-Si capping layer and the Si substrate, is irradiated with Si + ions. Ion impact drives the system to a state far from thermodynamic equilibrium, i.e. the local composition of the target is modified to a degree unattainable in common processes. A region of SiO x (x 2 matrix at a distance of ∼3 nm from the Si substrate. The physical mechanisms of ion mixing of the two Si-SiO 2 interfaces and subsequent phase separation, which result in the desired sample structure, are elucidated from the viewpoint of computer simulations. In addition, experimental evidence is presented based on various methods, including TEM, RBS, and SIMS. A novel method of Si nanocluster decoration is of particular importance which applies Ge as contrast enhancing element in TEM studies of tiny Si nanoclusters. (orig.)

  9. Structural and defects induced phenomena in γ-rays irradiated 6H-SiC

    International Nuclear Information System (INIS)

    Sibuyi, P.; Ngom, B.D.; Kotsedi, L.

    2016-01-01

    Damages and/or defects induced by γ-rays irradiation on 6H-SiC single crystals in channeled configuration towards 〈006〉/〈0012〉 crystallographic directions are reported in the range of 0–1200 kGy. Atomic force microscopy, X-rays diffraction, Raman and photoluminescence investigations were used to obtain a comprehensive set of informations on the nature and population distribution of the induced defects. Primarily, there was no carbon clusterization upon γ-rays irradiation and hence no formation of others SiC polytypes. In contrast, the γ-rays irradiation has induced an increase of the surface roughness at higher doses, which indicates a structural degradation. Larger doses induced an emergence of deeper shallow traps at energies greater than 350 meV below the bandgap. - Highlights: • No formation of others SiC polytypes. • The gamma rays irradiation has induced a slight surface amorphization. • A re-crystallization at lower and higher doses is noticed. • Larger doses induced a substantial internal stress.

  10. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  11. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    Science.gov (United States)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  12. Anomalous dose rate effects in gamma irradiated SiGe heterojunction bipolar transistors

    International Nuclear Information System (INIS)

    Banerjee, G.; Niu, G.; Cressler, J.D.; Clark, S.D.; Palmer, M.J.; Ahlgren, D.C.

    1999-01-01

    Low dose rate (LDR) cobalt-60 (0.1 rad(Si)/s) gamma irradiated Silicon Germanium (SiGe) Heterojunction Bipolar Transistors (HBTs) were studied. Comparisons were made with devices irradiated with 300 rad(Si)/s gamma radiation to verify if LDR radiation is a serious radiation hardness assurance (RHA) issue. Almost no LDR degradation was observed in this technology up to 50 krad(Si). The assumption of the presence of two competing mechanisms is justified by experimental results. At low total dose (le20 krad), an anomalous base current decrease was observed which is attributed to self-annealing of deep-level traps to shallower levels. An increase in base current at larger total doses is attributed to radiation induced generation-recombination (G/R) center generation. Experiments on gate-assisted lateral PNP transistors and 2D numerical simulations using MEDICI were used to confirm these assertions

  13. Influence of gamma-ray irradiation on 6H-SiC MOSFETs

    International Nuclear Information System (INIS)

    Ohshima, Takeshi; Yoshikawa, Masahito; Itoh, Hisayoshi; Nashiyama, Isamu; Okada, Sohei

    1998-01-01

    Enhancement-type n-channel MOSFETs were fabricated on 6H-SiC epitaxial films using pyrogenic or dry oxidation process. Oxide-trapped charges and interface traps produced in 6H-Sic MOSFETs by gamma-ray irradiation are evaluated from changes in the subthreshold-current curve. The net numbers of radiation-induced-oxide-trapped charges and interface traps depend on the oxidation process. The 6H-SiC MOSFETs exhibit higher radiation resistance than Si MOSFETs. (author)

  14. Enhanced light scattering in Si nanostructures produced by pulsed laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Sberna, P. M.; Scapellato, G. G.; Boninelli, S.; Miritello, M.; Crupi, I.; Bruno, E.; Privitera, V.; Simone, F.; Mirabella, S. [MATIS IMM-CNR and Dipartimento di Fisica e Astronomia, Università di Catania, via S. Sofia 64, 95123 Catania (Italy); Piluso, N. [IMM-CNR, VIII strada 5, 95121 Catania (Italy)

    2013-11-25

    An innovative method for Si nanostructures (NS) fabrication is proposed, through nanosecond laser irradiation (λ = 532 nm) of thin Si film (120 nm) on quartz. Varying the laser energy fluences (425–1130 mJ/cm{sup 2}) distinct morphologies of Si NS appear, going from interconnected structures to isolated clusters. Film breaking occurs through a laser-induced dewetting process. Raman scattering is enhanced in all the obtained Si NS, with the largest enhancement in interconnected Si structures, pointing out an increased trapping of light due to multiple scattering. The reported method is fast, scalable and cheap, and can be applied for light management in photovoltaics.

  15. Al-Si/B{sub 4}C composite coatings on Al-Si substrate by plasma spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya, Ozkan [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Anik, Selahaddin [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Aslanlar, Salim [Sakarya University, Faculty of Technical Education, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Cem Okumus, S. [Sakarya University, Faculty of Engineering, Department of Metallurgical and Materials Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Celik, Erdal [Dokuz Eylul University, Engineering Faculty, Department of Metallurgical and Materials Engineering, Buca, Izmir 35160 (Turkey)]. E-mail: erdal.celik@deu.edu.tr

    2007-07-01

    Plasma-sprayed coatings of Al-Si/B{sub 4}C have been prepared on Al-Si piston alloys for diesel engine motors. The Al-Si/B{sub 4}C composite powders including 5-25 wt% B{sub 4}C were prepared by mixing and ball-milling processes. These powders were deposited on Al-Si substrate using an atmospheric plasma spray technique. The coatings have been characterised with respect to phase composition, microstructure, microhardness, bond strength and thermal expansion. It was found that Al, Si, B{sub 4}C and Al{sub 2}O{sub 3} phases were determined in the coatings with approximately 600 {mu}m thick by using X-ray diffraction analysis. Scanning electron microscope observation revealed that boron carbide particles were uniformly distributed in composite coatings and B{sub 4}C particles were fully wetted by Al-Si alloy. Also, no reaction products were observed in Al-Si/B{sub 4}C composite coatings. It was found that surface roughness, porosity, bond strength and thermal expansion coefficient of composite coatings decreased with increasing fraction of the boron carbide particle. It was demonstrated that the higher the B{sub 4}C content, the higher the hardness of coatings because the hardness of B{sub 4}C is higher than that of Al-Si.

  16. Enhanced lateral heat dissipation packaging structure for GaN HEMTs on Si substrate

    International Nuclear Information System (INIS)

    Cheng, Stone; Chou, Po-Chien; Chieng, Wei-Hua; Chang, E.Y.

    2013-01-01

    This work presents a technology for packaging AlGaN/GaN high electron mobility transistors (HEMTs) on a Si substrate. The GaN HEMTs are attached to a V-groove copper base and mounted on a TO-3P leadframe. The various thermal paths from the GaN gate junction to the case are carried out for heat dissipation by spreading to protective coating; transferring through the bond wires; spreading in the lateral device structure through the adhesive layer, and vertical heat spreading of silicon chip bottom. Thermal characterization showed a thermal resistance of 13.72 °C/W from the device to the TO-3P package. Experimental tests of a 30 mm gate-periphery single chip packaged in a 5 × 3 mm V-groove Cu base with a 100 V drain bias showed power dissipation of 22 W. -- Highlights: ► An enhanced packaging structure designed for AlGaN/GaN HEMTs on an Si substrate. ► The V-groove copper base is designed on the device periphery surface heat conduction for enhancing Si substrate thermal dissipation. ► The proposed device shows a lower thermal resistance and upgrade in thermal conductivity capability. ► This work provides useful thermal IR imagery information to aid in designing high efficiency package for GaN HEMTs on Si

  17. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Chong, E.Y.W.; Roy, V.A.L.; Cheung, K.M.C.; Yeung, K.W.K.; Yu, K.N.

    2012-01-01

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: ► We proposed a simple method to fabricate micropillar substrates. ► Polyallyldiglycol carbonate films were irradiated and etched to form casts. ► Polydimethylsiloxane replica then formed the micropillar substrates. ► Attachment and proliferation of HeLa cells were enhanced on these substrates.

  18. Recovery behavior of high purity cubic SiC polycrystals by post-irradiation annealing up to 1673 K after low temperature neutron irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Idris, Mohd Idzat, E-mail: idzat.i.aa@m.titech.ac.jp [Department of Nuclear Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1, Ookayama, Meguro-ku, Tokyo 152-8550 Japan (Japan); The National University of Malaysia, School of Applied Physics, Faculty of Science and Technology, 43600 Bangi Selangor (Malaysia); Yamazaki, Saishun; Yoshida, Katsumi; Yano, Toyohiko [Research Laboratory for Nuclear Reactors, Tokyo Institute of Technology, 2-12-1, Ookayama, Meguro-ku, Tokyo 152-8550 Japan (Japan)

    2015-10-15

    Two kinds of high purity cubic (β) SiC polycrystals, PureBeta-SiC and CVD-SiC, were irradiated in the BR2 reactor (Belgium) up to a fluence of 2.0–2.5 × 10{sup 24} (E > 0.1 MeV) at 333–363 K. Changes in macroscopic lengths were examined by post-irradiation thermal annealing using a precision dilatometer up to 1673 K with a step-heating method. The specimen was held at each temperature step for 6 h and the change in length of the specimen was recorded during each isothermal annealing step from 373 K to 1673 K with 50 K increments. The recovery curves were analyzed with the first order model, and rate constants at each annealing step were obtained. Recovery of defects, induced by neutron irradiation in high purity β-SiC, has four stages of different activation energies. At 373–573 K, the activation energy of PureBeta-SiC and CVD-SiC was in the range of 0.17–0.24 eV and 0.12–0.14 eV; 0.002–0.04 eV and 0.006–0.04 eV at 723–923 K; 0.20–0.27 eV and 0.26–0.31 eV at 923–1223 K; and 1.37–1.38 eV and 1.26–1.29 eV at 1323–1523 K, respectively. Below ∼1223 K the recombination occurred possibly for closely positioned C and Si Frenkel pairs, and no long range migration is deemed essential. Nearly three-fourths of recovery, induced by neutron irradiation, occur by this mechanism. In addition, at 1323–1523 K, recombination of slightly separated C Frenkel pairs and more long-range migration of Si interstitials may have occurred for PureBeta-SiC and CVD-SiC specimens. Migration of both vacancies may be restricted up to ∼1523 K. Comparing to hexagonal α-SiC, high purity β-SiC recovered more quickly in the lower annealing temperature range of less than 873 K, in particular less than 573 K. - Highlights: • Two kinds of high purity cubic (β) SiC polycrystals were irradiated. • Macroscopic lengths were examined by post-irradiation thermal annealing. • The recovery curves were analyzed with first order model.

  19. Effect of 100 MeV Ag{sup +7} ion irradiation on the bulk and surface magnetic properties of Co–Fe–Si thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hysen, T., E-mail: hysenthomas@gmail.com [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India); Department of Physics, Christian College, Chengannur, Kerala 689 122 (India); Geetha, P. [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India); Al-Harthi, Salim; Al-Omari, I.A. [Department of Physics, College of Science, Sultan Qaboos University, Al Khod 123 (Oman); Lisha, R. [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India); Ramanujan, R.V. [School of Materials Science and Engineering, Nanyang Technological University, Singapore 639 798 (Singapore); Sakthikumar, D. [Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe (Japan); Avasthi, D.K. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Anantharaman, M.R., E-mail: mra@cusat.ac.in [Department of Physics, Cochin University of Science and Technology, Cochin 682 022, Kerala (India)

    2014-12-15

    Thin films of Co–Fe–Si were vacuum evaporated on pre-cleaned float glass substrates employing thermal evaporation. The films were subsequently irradiated with 100 MeV Ag{sup +7} ions at fluences of 1×10{sup 11}, 1×10{sup 12} and 1×10{sup 13} ions/cm{sup 2}. The pristine and irradiated samples were subjected to surface analysis using Atomic Force Microscopy (AFM), Vibrating Sample Magnetometry (VSM) and Magneto Optic Kerr Effect (MOKE) measurements. The as deposited film has a root mean square roughness (Rq) of 8.9 nm and an average roughness of (Ra) 5.6 nm. Irradiation of the as deposited films with 100 MeV Ag{sup 7+} ions modifies the surface morphology. Irradiating with ions at fluences of 1×10{sup 11} ions/cm{sup 2} smoothens the mesoscopic hill-like structures, and then, at 1×10{sup 12} ions/cm{sup 2} new surface structures are created. When the fluence is further increased to 1×10{sup 13} ions/cm{sup 2} an increase in the surface roughness is observed. The MOKE loop of as prepared film indicated a squareness ratio of 0.62. As the film is irradiated with fluences of 1×10{sup 11} ions/cm{sup 2}, 1×10{sup 12} ions/cm{sup 2} and 1×10{sup 13} ions/cm{sup 2} the squareness ratio changes to 0.76, 0.8 and 0.86 respectively. This enhancement in squareness ratio towards 1 is a typical feature when the exchange interaction starts to dominates the inherent anisotropies in the system. The variation in surface magnetisation is explained based on the variations in surface roughness with swift heavy ion (SHI) irradiation. - Highlights: • We have irradiated thermally evaporated Co–Fe–Si thin films on glass substrate with 100 MeV Ag{sup +7} ions using the 15 UD Pelletron Accelerator at IUAC, New Delhi, India. • Surface morphology and magnetic characteristics of the films can be altered with ion irradiation. • It was observed that the variation in surface magnetic properties correlates well with the changes in surface morphology, further reiterating the

  20. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  1. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  2. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    Directory of Open Access Journals (Sweden)

    Yanping Yuan

    2016-02-01

    Full Text Available In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2 is used to irradiate multi-walled carbon nanotubes (MWCNTs on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM. For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation.

  3. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    Science.gov (United States)

    Yuan, Yanping; Chen, Jimin

    2016-01-01

    In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2) is used to irradiate multi-walled carbon nanotubes (MWCNTs) on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM). For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation. PMID:28344293

  4. Chlorobenzene, chloroform, and carbon tetrachloride adsorption on undoped and metal-doped sol-gel substrates (SiO{sub 2}, Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2})

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez, M.A. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico)], E-mail: mighern@siu.buap.mx; Gonzalez, A.I.; Corona, L.; Hernandez, F. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico); Rojas, F.; Asomoza, M.; Solis, S. [Departamento de Quimica, Universidad Autonoma Metropolitana-Iztapalapa, P.O. Box 55-534, D.F. Mexico (Mexico); Portillo, R.; Salgado, M.A. [Facultad de Ciencias Quimicas, Universidad Autonoma de Puebla (Mexico)

    2009-02-15

    Adsorption isotherms of chlorobenzene, chloroform and carbon tetrachloride vapors on undoped SiO{sub 2}, and metal-doped Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2} substrates were measured in the temperature range of 398-593 K. These substrates were prepared from a typical sol-gel technique in the presence of metal dopants that rendered an assortment of microporous-mesoporous solids. The relevant characteristic of these materials was the different porosities and micropore to mesopore volume ratios that were displayed; this was due to the effect that the cationic metal valence exerts on the size of the sol-gel globules that compose the porous solid. The texture of these SiO{sub 2} materials was analyzed by X-ray diffraction (XRD), FTIR, and diverse adsorption methods. The pore-size distributions of the adsorbents confirmed the existence of mesopores and supermicropores, while ultramicropores were absent. The Freundlich adsorption model approximately fitted the chlorinated compounds adsorption data on the silica substrates by reason of a heterogeneous energy distribution of adsorption sites. The intensity of the interaction between these organic vapors and the surface of the SiO{sub 2} samples was analyzed through evaluation of the isosteric heat of adsorption and standard adsorption energy; from these last results it was evident that the presence of metal species within the silica structure greatly affected the values of both the amounts adsorbed as well as of the isosteric heats of adsorption.

  5. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  6. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    Energy Technology Data Exchange (ETDEWEB)

    Ng, C.K.M. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Chong, E.Y.W. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Roy, V.A.L. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Cheung, K.M.C.; Yeung, K.W.K. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Yu, K.N., E-mail: appetery@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong)

    2012-07-15

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: Black-Right-Pointing-Pointer We proposed a simple method to fabricate micropillar substrates. Black-Right-Pointing-Pointer Polyallyldiglycol carbonate films were irradiated and etched to form casts. Black-Right-Pointing-Pointer Polydimethylsiloxane replica then formed the micropillar substrates. Black-Right-Pointing-Pointer Attachment and proliferation of HeLa cells were enhanced on these substrates.

  7. Temperature dependent IDS–VGS characteristics of an N-channel Si tunneling field-effect transistor with a germanium source on Si(110) substrate

    International Nuclear Information System (INIS)

    Liu Yan; Yan Jing; Wang Hongjuan; Han Genquan

    2014-01-01

    We fabricated n-type Si-based TFETs with a Ge source on Si(110) substrate. The temperature dependent I DS –V GS characteristics of a TFET formed on Si(110) are investigated in the temperature range of 210 to 300 K. A study of the temperature dependence of I Leakage indicates that I Leakage is mainly dominated by the Shockley-Read-Hall (SRH) generation—recombination current of the n + drain—Si substrate junction. I ON increases monotonically with temperature, which is attributed to a reduction of the bandgap at the tunneling junction and an enhancement of band-to-band tunneling rate. The subthreshold swing S for trap assisted tunneling (TAT) current and band-to-band tunneling (BTBT) current shows the different temperature dependence. The subthreshold swing S for the TAT current degrades with temperature, while the S for BTBT current is temperature independent. (semiconductor devices)

  8. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  9. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  10. Fusion neutron irradiation of Ni(Si) alloys at high temperature

    International Nuclear Information System (INIS)

    Huang, J.S.; Guinan, M.W.; Hahn, P.A.

    1987-09-01

    Two Ni-4% Si alloys, with different cold work levels, are irradiated with 14 MeV fusion neutrons at 623 K, and their Curie temperatures are monitored during irradiation. The results are compared to those of an identical alloy irradiated by 2 MeV electrons. The results show that increasing dislocation density increases the Curie temperature change rate. At the same damage rate, the Curie temperature change rate for the alloy irradiated by 14 MeV fusion neutrons is only 6 to 7% of that for an identical alloy irradiated by 2 MeV electrons. It is well known that the migration of radiation induced defects contributes to segregation of silicon atoms at sinks in this alloy, causing the Curie temperature changes. The current results imply that the relative free defect production efficiency decreases from one for the electron irradiated sample to 6 to 7% for the fusion neutron irradiated sample. 17 refs., 4 figs., 1 tab

  11. Fusion neutron irradiation of Ni(Si) alloys at high temperature

    Energy Technology Data Exchange (ETDEWEB)

    Huang, J.S.; Guinan, M.W.; Hahn, P.A.

    1987-09-01

    Two Ni-4% Si alloys, with different cold work levels, are irradiated with 14 MeV fusion neutrons at 623 K, and their Curie temperatures are monitored during irradiation. The results are compared to those of an identical alloy irradiated by 2 MeV electrons. The results show that increasing dislocation density increases the Curie temperature change rate. At the same damage rate, the Curie temperature change rate for the alloy irradiated by 14 MeV fusion neutrons is only 6 to 7% of that for an identical alloy irradiated by 2 MeV electrons. It is well known that the migration of radiation induced defects contributes to segregation of silicon atoms at sinks in this alloy, causing the Curie temperature changes. The current results imply that the relative free defect production efficiency decreases from one for the electron irradiated sample to 6 to 7% for the fusion neutron irradiated sample. 17 refs., 4 figs., 1 tab.

  12. Total dose effects on the shallow-trench isolation leakage current characteristics in a 0.35microm SiGe BiCMOS technology

    International Nuclear Information System (INIS)

    Niu, G.; Mathew, S.J.; Banerjee, G.; Cressler, J.D.; Clark, S.D.; Palmer, M.J.; Subbanna, S.

    1999-01-01

    The effects of gamma irradiation on the Shallow-Trench Isolation (STI) leakage currents in a SiGe BiCMOS technology are investigated for the first time, and shown to be strongly dependent on the irradiation gate bias and operating substrate bias. A positive irradiation gate bias significantly enhances the STI leakage, suggesting a strong field assisted nature of the charge buildup process in the STI. Numerical simulations also suggest the existence of fixed positive charges deep in the bulk along the STI/Si interface. A negative substrate bias, however, effectively suppresses the STI leakage, and can be used to eliminate the leakage produced by the charges deep in the bulk under irradiation

  13. Investigation of nanocrystalline Epi-Si/γ-Al2O3 heterostructure deposited on Si substrate by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Khatun, Mosammat Halima; Shahjahan, Mohammad; Ito, Ryoki; Sawada, Kazuaki; Ishida, Makoto

    2006-01-01

    In this work, micro-structural and interfacial studies of the epi-Si/γ-Al 2 O 3 heterostructure were undertaken by spectroscopic ellipsometry, and compared with the results of atomic force microscopy and X-ray photoelectron spectroscopy. The experimental ellipsometric data were fitted with the theoretical calculations using effective medium approximation for each layer of the structure. It was observed that the epitaxial silicon layer consists of a fraction of amorphous Si and crystalline Si. The percentage of amorphous silicon increases with the decrease of deposition temperature and with the increase of the deposition rate. The γ-Al 2 O 3 layer produces a hydrostatic pressure on the Si substrate and the amount of hydrostatic pressure was measured to be 8 x 10 9 dyn/cm 2

  14. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  15. Gas-source molecular beam epitaxy of Si(111) on Si(110) substrates by insertion of 3C-SiC(111) interlayer for hybrid orientation technology

    Energy Technology Data Exchange (ETDEWEB)

    Bantaculo, Rolando, E-mail: rolandobantaculo@yahoo.com; Saitoh, Eiji; Miyamoto, Yu; Handa, Hiroyuki; Suemitsu, Maki

    2011-11-01

    A method to realize a novel hybrid orientations of Si surfaces, Si(111) on Si(110), has been developed by use of a Si(111)/3C-SiC(111)/Si(110) trilayer structure. This technology allows us to use the Si(111) portion for the n-type and the Si(110) portion for the p-type channels, providing a solution to the current drive imbalance between the two channels confronted in Si(100)-based complementary metal oxide semiconductor (CMOS) technology. The central idea is to use a rotated heteroepitaxy of 3C-SiC(111) on Si(110) substrate, which occurs when a 3C-SiC film is grown under certain growth conditions. Monomethylsilane (SiH{sub 3}-CH{sub 3}) gas-source molecular beam epitaxy (GSMBE) is used for this 3C-SiC interlayer formation while disilane (Si{sub 2}H{sub 6}) is used for the top Si(111) layer formation. Though the film quality of the Si epilayer leaves a lot of room for betterment, the present results may suffice to prove its potential as a new technology to be used in the next generation CMOS devices.

  16. Swift heavy ion irradiation effects in SiC measured by positrons

    Energy Technology Data Exchange (ETDEWEB)

    Liszkay, L.; Kajcsos, Zs.; Szilagyi, E. [KFKI Research Inst. for Nuclear and Particle Physics, Budapest (Hungary); Havancsak, K. [Dept. for Solid State Physics, Eoetvoes Univ., Budapest (Hungary); Barthe, M.F.; Desgardin, P.; Henry, L. [CNRS Centre d' Etudes et de Recherches par Irradiation, Orleans (France); Battistig, G. [Research Inst. for Technical Physics and Materials Science, Budapest (Hungary); Skuratov, V.A. [Joint Inst. of Nuclear Research, Moscow (Russian Federation). Bogoliubov Lab. of Theoretical Physics

    2001-07-01

    N-type 6H SiC single crystals irradiated with swift (246 MeV) Kr ions at room temperature (the implantation depth being 21 {mu}m) were investigated by conventional positron lifetime and Doppler-broadening measurements as well as with the application of a slow positron beam. The fluence dependence of the irradiation-induced defects was studied in the 1 x 10{sup 10} - 1 x 10{sup 14} ion cm{sup -2} range. In the fluence and depth range studied, no sign of amorphization (or creation of large voids) was seen in the Kr irradiated crystals. The positron annihilation results were compared with atomic displacement calculations by TRIM. A simple model was used to describe the trapping effect and determine the relationship between the atomic displacement densities and the positron trapping. The 225 ps lifetime of the open-volume defects created suggests that the V{sub Si}-V{sub C} divacancy is the dominant trapping site in the implanted zone. (orig.)

  17. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  18. Low damage electrical modification of 4H-SiC via ultrafast laser irradiation

    Science.gov (United States)

    Ahn, Minhyung; Cahyadi, Rico; Wendorf, Joseph; Bowen, Willie; Torralva, Ben; Yalisove, Steven; Phillips, Jamie

    2018-04-01

    The electrical properties of 4H-SiC under ultrafast laser irradiation in the low fluence regime (engineering spatially localized structural and electronic modification of wide bandgap materials such as 4H-SiC with relatively low surface damage via low temperature processing.

  19. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  20. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  1. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    International Nuclear Information System (INIS)

    Zhang, Z.L.; Asano, T.; Uno, H.; Tero, R.; Suzui, M.; Nakao, S.; Kaito, T.; Shibasaki, K.; Tominaga, M.; Utsumi, Y.; Gao, Y.L.; Urisu, T.

    2008-01-01

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 μm diameter is formed through the top Si layer and the SiO 2 box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully

  2. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.L.; Asano, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Uno, H. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Tero, R. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Suzui, M.; Nakao, S. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Kaito, T. [SII NanoTechnology Inc., 36-1, Takenoshita, Oyama-cho, Sunto-gun, Shizuoka, 410-1393 (Japan); Shibasaki, K.; Tominaga, M. [Okazaki Institute for Integrative Bioscience, 5-1, Higashiyama, Myodaiji, Okazaki, 444-8787 (Japan); Utsumi, Y. [Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2, Koto, Kamigori, Ako-gun, Hyogo, 678-1205 (Japan); Gao, Y.L. [Department of Physics and Astronomy, Rochester University, Rochester, New York 14627 (United States); Urisu, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan)], E-mail: urisu@ims.ac.jp

    2008-03-03

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 {mu}m diameter is formed through the top Si layer and the SiO{sub 2} box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully.

  3. On the modeling of irradiation-induced homogeneous precipitation in proton-bombarded Ni-Si solid solutions

    Science.gov (United States)

    Lam, Nghi Q.; Janghorban, K.; Ardell, A. J.

    1981-10-01

    Irradiation-induced solute redistribution leading to precipitation of coherent γ' particles in undersaturated Ni-based solid solutions containing 6 and 8 at.% Si during 400-keV proton bombardment was modeled, based on the concept of solute segregation in concentrated alloys under spatially-dependent defect production conditions. The combined effects of (i) an extremely large difference between the defect production rates in the peak-damage and mid-range regions during irradiation and (ii) a preferential coupling between the interstitial and solute fluxes generate a net transient flux of Si atoms into the mid-range region, which is much larger than the solute flux out of this location. As a result, the Si concentration exceeds the solubility limit and homogeneous precipitation of the γ' phase occurs in this particular region of the irradiated samples. The spatial, compositional and temperature dependences of irradiation-induced homogeneous precipitation derived from the present theoretical calculations are in good qualitative agreement with experimental observations

  4. Depth distribution of Frank loop defects formed in ion-irradiated stainless steel and its dependence on Si addition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Dongyue, E-mail: dychen@safety.n.t.u-tokyo.ac.jp [The University of Tokyo, Department of Nuclear Engineering and Management, School of Engineering, 7-3-1 Hongo Bunkyo-ku, Tokyo 113-8656 (Japan); Murakami, Kenta [The University of Tokyo, Nuclear Professional School, School of Engineering, 2-22 Shirakata-Shirane, Tokai-mura, Ibaraki 319-1188 (Japan); Dohi, Kenji; Nishida, Kenji; Soneda, Naoki [Central Research Institute of Electric Power Industry, 2-11-1 Iwado-kita, Komae, Tokyo 201-8511 (Japan); Li, Zhengcao, E-mail: zcli@tsinghua.edu.cn [Tsinghua University, School of Materials Science and Engineering, Beijing 100084 (China); Liu, Li; Sekimura, Naoto [The University of Tokyo, Department of Nuclear Engineering and Management, School of Engineering, 7-3-1 Hongo Bunkyo-ku, Tokyo 113-8656 (Japan)

    2015-12-15

    Although heavy ion irradiation is a good tool to simulate neutron irradiation-induced damages in light water reactor, it produces inhomogeneous defect distribution. Such difference in defect distribution brings difficulty in comparing the microstructure evolution and mechanical degradation between neutron and heavy ion irradiation, and thus needs to be understood. Stainless steel is the typical structural material used in reactor core, and could be taken as an example to study the inhomogeneous defect depth distribution in heavy ion irradiation and its influence on the tested irradiation hardening by nano-indentation. In this work, solution annealed stainless steel model alloys are irradiated by 3 MeV Fe{sup 2+} ions at 400 °C to 3 dpa to produce Frank loops that are mainly interstitial in nature. The silicon content of the model alloys is also tuned to change point defect diffusion, so that the loop depth distribution influenced by diffusion along the irradiation beam direction could be discussed. Results show that in low Si (0% Si) and base Si (0.42% Si) samples the depth distribution of Frank loop density quite well matches the dpa profile calculated by the SRIM code, but in high Si sample (0.95% Si), the loop number density in the near-surface region is very low. One possible explanation could be Si’s role in enhancing the effective vacancy diffusivity, promoting recombination and thus suppressing interstitial Frank loops, especially in the near-surface region, where vacancies concentrate. By considering the loop depth distribution, the tested irradiation hardening is successfully explained by the Orowan model. A hardening coefficient of around 0.30 is obtained for all the three samples. This attempt in interpreting hardening results may make it easier to compare the mechanical degradation between different irradiation experiments.

  5. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  6. Epitaxial growth and properties of AlGaN-based UV-LEDs on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, Phannee

    2010-07-08

    An increasing demand for bright and efficient ultraviolet light emitting diodes (UVLEDs) is generated by numerous applications such as biochemical sensors, purification and sterilization, and solid-state white lighting. Al{sub x}Ga{sub 1-x}N is a promising material to develop UVLEDs due to the direct wide-bandgap material for emission wavelengths in the UV range and the capability of n- and p-type doping. To develop UV-LEDs on Si substrates is very interesting for low-cost UV-light sources since the Si substrate is available at low cost, in large-diameter size enabling the integration with well-known Si electronics. This work presents the first crack-free AlGaN-based UV-LEDs on Si(111) substrates by MOVPE growth. This AlGaN-based UV-LED on Si(111) substrate consists of Al{sub 0.1}Ga{sub 0.9}N:Si layers on LT-AlN/HT-AlN SL buffer layers and an active layer of GaN/Al{sub 0.1}Ga{sub 0.9}N MQWs followed by Mg-doped (GaN/Al{sub 0.1}Ga{sub 0.9}N) superlattices and GaN:Mg cap layers. It yields a {proportional_to}350 nm UV electroluminescence at room temperature and a turn-on voltage in a range of 2.6-3.1 V by current-voltage (I-V) measurements. The novel LT-AlN/HT-AlN superlattice buffer layers efficiently improve the crystalline quality of Al{sub x}Ga{sub 1-x}N layers and compensate a thermal tensile strain in Al{sub x}Ga{sub 1-x}N layers after cooling as observed by in-situ curvature measurements. The dislocation density could be reduced from 8.4 x 10{sup 10} cm{sup -2} in the AlN-based SLs to 1.8 x 10{sup 10} cm{sup -2} in the Al{sub 0.1}Ga{sub 0.9}N layers as determined by cross-sectional transmission electron microscopy (TEM) measurements. Crack-free Al{sub x}Ga{sub 1-x}N layers grown on these LT-AlN/HT-AlN superlattices with 0.05{<=}x{<=} 0.65 are achieved on Si substrates with good crystalline, optical, and electrical properties. The best crystalline quality of Al{sub 0.1}Ga{sub 0.9}N is obtained with {omega}-FWHMs of the (0002) and (10-10) reflections of

  7. Electroluminescence of a-Si/c-Si heterojunction solar cells after high energy irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Ferrara, Manuela

    2009-11-24

    The crystalline silicon as absorber material will certainly continue to dominate the market for space applications of solar cells. In the contribution under consideration the applicability of a-Si:H/c-Si heterojunction solar cells in space has been tested by the investigation of the cell modification by high energy protons and comparing the results to the degradation of homojunction crystalline silicon reference cells. The investigated solar cells have been irradiated with protons of different energies and doses. For all investigated solar cells the maximum damage happens for an energy of about 1.7 MeV and is mainly due to the decrease of the effective minority carrier diffusion length in the crystalline silicon absorber. Simulations carried out by AFORS-HET, a heterojunction simulation program, also confirmed this result. The main degradation mechanism for all types of devices is the monotonically decreasing charge carrier diffusion length in the p-type monocrystalline silicon absorber layer. For the heterojunction solar cell an enhancement of the photocurrent in the blue wavelength region has been observed but only in the case of heterojunction solar cell with intrinsic a-Si:H buffer layer. Additionally to the traditional characterization techniques the electroluminescence technique used for monitoring the modifications of the heteroluminescence technique used for monitoring the modifications of the heterointerface between amorphous silicon and crystalline silicon in solar cells after proton irradiation. A direct relation between minority carrier diffusion length and electroluminescence quantum efficiency has been observed but also details of the interface modification could be monitored by this technique.

  8. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  9. In-situ GISAXS study on the oxidation behavior of liquid Ga on Ni(Cu)/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Weidong [College of Materials Science and Engineering, Qiqihar University, Qiqihar 161006 (China); Liu, Mingling [Department of Mechanical and Electrical Engineering, Qinhuangdao Institute of Technology, Qinhuangdao 066100 (China); Wu, Zhaojun [Department of Practice Teaching and Equipment Management, Qiqihar University, Qiqihar 161006 (China); Xing, Xueqing; Mo, Guang; Wu, Zhonghua [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China); Liu, Hong, E-mail: lhong68@sina.com.cn [School of Biomedical Engineering, Capital Medical University, Beijing 100069 (China)

    2015-11-01

    Liquid Ga could be used as a flexible heat-transfer medium or contact medium in the synchrotron-radiation-based instruments. The chemical stability of liquid Ga on other metal surface determines the serviceability of liquid Ga. In this paper, the oxidation evolutions of liquid Ga on Ni and Cu substrates have been investigated by in-situ grazing incidence small angle X-ray scattering (GISAXS) as a function of substrate temperature. The liquid Ga on Ni and Cu substrates shows different oxidation behaviors. A successive and slower oxidation from oxide clusters to oxide layer takes place with temperature increasing from 25 to 190 °C on the surface of the Ga/Ni/Si specimen, but a quick oxidation occurs on the entire surface of the Ga/Cu/Si specimen at the initial 25 °C. The subsequent heating increases the surface roughness of both liquid Ga, but increases simultaneously the surface curvature of the Ga/Cu/Si specimen. The understanding of the substrate-dependent oxidation behavior of liquid Ga is beneficial to its application as a heat-transfer medium.

  10. Substrate temperature effects on reactively sputtered Cr2O3/n-Si heterojunctions

    International Nuclear Information System (INIS)

    Ocak, Yusuf Selim; Genisel, Mustafa Fatih; Issa, Ali Ahmed; Tombak, Ahmet; Kilicoglu, Tahsin

    2016-01-01

    To see the effects of substrate temperature on Cr 2 O 3 /n-Si heterojunctions, Cr 2 O 3 thin films were formed on n-Si and glass substrates at 40, 150 and 250 °C by radio frequency (RF) reactive sputtering technique. High purity Cr was used as target and oxygen was used as reactive gas. Optical properties of Cr 2 O 3 /n-Si thin films were analyzed using UV-vis data. The band gaps of the films were compared. The electrical properties of Cr 2 O 3 /n-Si heterojunction were tested by their current voltage ( I-V ) measurements in dark. It was observed that the heterojunction which was fabricated by forming Cr 2 O 3 thin film at 250 °C gave better rectification. The characteristic electrical parameters such as barrier height, ideality factor and series resistance were calculated by using its I-V data. The influence of light intensity on photovoltaic effect behavior of the device was also calculated, finally the barrier height value of the structure obtained from capacitance-voltage ( C-V ) data were compared with the one calculated from I-V measurements. (paper)

  11. Radiation hardness of the Si-Si0/sub 2/ interface and carrier localisation in the inversion layer

    Energy Technology Data Exchange (ETDEWEB)

    Pepper, M [Cambridge Univ. (UK). Cavendish Lab.

    1977-08-28

    The results of low temperature measurements of inversion layer conductance suggest that there are positive and negative charges in the form of pairs close to the Si-Si0/sub 2/ interface. The negative centres trap holes created in the Si0/sub 2/ by the irradiation of MOS structures. The annealing treatments developed to 'harden' the interface, by minimising the hole trapping, are interpreted as resulting in a reduction in the total interfacial charge, which is not apparent from measurements of the net charge. It is suggested that the dependence of the localisation effects on the substrate bias may be useful as a diagnostic, pre-irradiation, screening test. By using various interface preparation treatments an exercise in interface engineering is now possible, in which the total interfacial charge, and the form of the random fluctuations in potential, can be altered in a controllable manner.

  12. Irradiation behaviour of a 500 mm long hollow U3Si fuel element irradiated under BLW conditions

    International Nuclear Information System (INIS)

    Feraday, M.A.; Chalder, G.H.; Cotnam, K.D.

    1969-07-01

    A 500 mm long Zircaloy-clad element of U 3 Si (4.3 wt% Si) containing a 13% central void was irradiated to an average burnup of 3600 MWd/tonne U at an average linear power output of 790 W/cm, in boiling water coolant at 55 bars pressure. A larger diameter increase (1.5%) at the mid-plane of the element than elsewhere was attributed to the reduced restraint imposed on the fuel in this area as a consequence of β annealing a section of the cold worked sheath. Diameter increases in the cold worked portions of the sheath (average 0.7%) were greater than in similar elements irradiated in pressurized water at 96 bars pressure the difference is attributed to higher linear power output of the element in this test. External swelling of the element before filling of the central void was complete is attributed to the higher silicon content of the fuel compared with previous tests. No reaction between U 3 Si and Zircaloy was observed at a fuel sheath interface temperature near 400 o C. (author)

  13. A new SiC/C bulk FGM for fusion reactor

    International Nuclear Information System (INIS)

    Changchun, G.; Anhua, W.; Wenbin, C.; Jiangtao, L.

    2001-01-01

    Graphite is widely used in present Tokamak facilities and a C/C composite has been selected as one of the candidate materials for the ITER. But C-based material has an excessive chemical sputtering yield at 600-1000 K and exhibits irradiation enhanced sublimation at >1200 K under plasma erosion condition, causing serious C-contamination of plasma. Low Z material SiC has several advantages for use in fusion reactor, such as excellent high temperature properties, corrosion resistance, low density, and especially its low activation irradiation. To reduce C contamination during plasma exposure, previously SiC coatings were chemically deposited on the surface of C-substrate, however, the thermal stresses arise on the interface between the coating layers and the substrate under high temperature. Heating/cooling cycle leading to cracks in SiC/C interface, small thickness of coating and long processing time are limiting factors for FGM made with CVD process. In this paper, a new SiC/C bulk FGM has been successfully fabricated with P/M hot pressing process. The chemical sputtering yield, gas desorption performance, thermal shock resistance and physical sputtering performance in Tokamak are outlined in this paper. (author)

  14. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  15. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  16. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    Science.gov (United States)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  17. Fusion neutron irradiation of Ni-Si alloys at high temperature*1

    Science.gov (United States)

    Huang, J. S.; Guinan, M. W.; Hahn, P. A.

    1988-07-01

    Two Ni-4% Si alloys, with different cold work levels, have been irradiated with 14-MeV fusion neutrons at 623 K, and their Curie temperatures have been monitored during irradiation. The results are compared to those of an identical alloy irradiated by 2-MeV electrons. The results show that increasing dislocation density increases the Curie temperature change rate. At the same damage rate, the Curie temperature change rate for the alloy irradiated by 14-MeV fusion neutrons is only 6-7% of that for an identical alloy irradiated by 2-MeV electrons. It is well known that the migration of radiation induced defects contributes to segregation of silicon atoms at sinks in this alloy, causing the Curie temperature changes. The current results imply that the relative free defect production efficiency decreases from one for the electron irradiated sample to 6-7% for the fusion neutron irradiated sample.

  18. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  19. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  20. M3FT-16OR020202112 - Report on viability of hydrothermal corrosion resistant SiC/SiC Joint development

    Energy Technology Data Exchange (ETDEWEB)

    Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kiggans Jr, James O. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Terrani, Kurt A. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2016-06-30

    Hydrothermal corrosion of four types of the silicon carbide (SiC) to SiC plate joints were investigated under PWR and BWR relevant chemical conditions without irradiation. The joints were formed by metal diffusion bonding using molybdenum or titanium interlayer, reaction sintering using Ti-Si-C system, and SiC nanopowder sintering. Most of the formed joints withstood the corrosion tests for five weeks. The recession of the SiC substrates was limited. Based on the recession rate of the bonding layers, it was concluded that all the joints except for the molybdenum diffusion bond are promising under the reducing activity environments. The SiC nanopowder sintered joint was the most corrosion tolerant under the oxidizing activity environment among the four joints.

  1. Model of defect reactions and the influence of clustering in pulse-neutron-irradiated Si

    International Nuclear Information System (INIS)

    Myers, S. M.; Cooper, P. J.; Wampler, W. R.

    2008-01-01

    Transient reactions among irradiation defects, dopants, impurities, and carriers in pulse-neutron-irradiated Si were modeled taking into account the clustering of the primal defects in recoil cascades. Continuum equations describing the diffusion, field drift, and reactions of relevant species were numerically solved for a submicrometer spherical volume, within which the starting radial distributions of defects could be varied in accord with the degree of clustering. The radial profiles corresponding to neutron irradiation were chosen through pair-correlation-function analysis of vacancy and interstitial distributions obtained from the binary-collision code MARLOWE, using a spectrum of primary recoil energies computed for a fast-burst fission reactor. Model predictions of transient behavior were compared with a variety of experimental results from irradiated bulk Si, solar cells, and bipolar-junction transistors. The influence of defect clustering during neutron bombardment was further distinguished through contrast with electron irradiation, where the primal point defects are more uniformly dispersed

  2. Formation of oxide-trapped charges in 6H-SiC MOS structures

    Energy Technology Data Exchange (ETDEWEB)

    Yoshikawa, Masahito; Ohshima, Takeshi; Itoh, Hisayoshi; Nashiyama, Isamu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Okumura, Hajime; Yoshida, Sadafumi

    1997-03-01

    The silicon and the carbon faces of hexagonal silicon carbide (6H-SiC) substrates were oxidized pyrogenically at 1100degC, and the metal-oxide-semiconductor structures were formed on these faces. The MOS capacitors developed using the silicon and the carbon faces were irradiated with {sup 60}Co gamma-rays under argon atmosphere at room temperature. The bias voltages with the different polarity were applied to the gate electrode during irradiation to examine the formation mechanisms of the trapped charges in the oxides of these MOS capacitors. The amount of the trapped charges in the oxide were obtained from capacitance pulse voltage characteristics. The generation of the trapped charges are affects with not only the absorbed dose but also the bias polarity applied to the gate electrodes during irradiation. The formation mechanisms of the trapped charges in the oxides were estimated in conjunction with the surface orientation of 6H-SiC substrates. (author)

  3. Low Thermal Budget Fabrication of III-V Quantum Nanostructures on Si Substrates

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Sanguinetti, S; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2010-01-01

    We show the possibility to integrate high quality III-V quantum nanostructures tunable in shape and emission energy on Si-Ge Virtual Substrate. Strong photoemission is observed, also at room temperature, from two different kind of GaAs quantum nanostructures fabricated on Silicon substrate. Due to the low thermal budget of the procedure used for the fabrication of the active layer, Droplet Epitaxy is to be considered an excellent candidate for implementation of optoelectronic devices on CMOS circuits.

  4. Accumulation and Recovery of Disorder on Silicon and Carbon Sublattices in Ion-Irradiated 6H-SiC

    International Nuclear Information System (INIS)

    Jiang, Weilin; Weber, William J.; Thevuthasan, Suntharampillai; Shutthanandan, V.

    2001-01-01

    Irradiation experiments have been performed at 100, 170 and 300 K for 6H-SiC single crystals using Au and He+ ions over a range of fluences. The evolution of disorder on the both Si and C sublattices has been simultaneously investigated using 0.94 MeV D Rutherford backscattering spectrometry in combination with 12C(d,p) nuclear reaction analysis in a axial channeling geometry. The results show that the dependence of disorder on dose is consistent with a combined direct-impact / defect-stimulated model. At low doses, a slightly higher rate of C disordering is observed, which is consistent with molecular dynamics simulations that suggest a smaller threshold displacement energy on the C sublattice. At higher doses, the rate of C disordering decreases more rapidly than the rate of Si disordering, which suggests a higher rate of dynamical recovery on the C sublattice under the irradiation conditions. Three distinct recovery stages are observed on both the Si and C sublattices in the Au-irradiated 6H-SiC. However, complete recovery of irradiation-induced disorder does not occur during isochronal annealing at temperatures up to 970 K

  5. Characterization of the Microstructure of Irradiated U-Mo Dispersion Fuel with a Matrix that Contains Si

    International Nuclear Information System (INIS)

    Keiser, Jr. D.D.; Robinson, A.B.; Jue, J.F.; Medvedev, P.; Finlay, M.R.

    2009-01-01

    RERTR U-Mo dispersion fuel plates are being developed for application in research reactors throughout the world. Of particular interest is the irradiation performance of U-Mo dispersion fuels with Si added to the Al matrix. Si is added to improve the performance of U-Mo dispersion fuels. Microstructural examinations have been performed on fuel plates with Al-2Si matrix after irradiation to around 50% LEU burnup. Si-rich layers were observed in many areas around the various U-7Mo fuel particles. In one local area of one of the samples, where the Si-rich layer had developed into a layer devoid of Si, relatively large fission gas bubbles were observed in the interaction phase. There may be a connection between the growth of these bubbles and the amount of Si present in the interaction layer. Overall, it was found that having Si-rich layers around the fuel particles after fuel plate fabrication positively impacted the overall performance of the fuel plate

  6. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  7. Microstructural evolution of neutron-irradiated Ni-Si and Ni-Al alloys

    Science.gov (United States)

    Takahashi, H.; Garner, F. A.

    1992-10-01

    Additions of silicon and aluminum suppress the neutron-induced swelling of pure nickel but to different degrees. Silicon is much more effective initially when compared to aluminum on a per atom basis but silicon exhibits a nonmonotonic influence on swelling with increasing concentration. Silicon tends to segregate toward grain boundaries while aluminum segregates away from these boundaries. Whereas the formation of the Ni 3Si phase is frequently observed in charged particle irradiation experiments conducted at much higher displacement rates, it did not occur during neutron irradiation in this study. Precipitation also did not occur in Ni-5Al during neutron irradiation, nor has it been reported to occur during ion irradiation.

  8. Microstructural evolution of neutron-irradiated Ni-Si and Ni-Al alloys

    International Nuclear Information System (INIS)

    Takahashi, H.; Garner, F.A.

    1992-01-01

    Additions of silicon and aluminium suppress the neutron-induced swelling of pure nickel but to different degrees. Silicon is much more effective initially when compared to aluminium on a per atom basis but silicon exhibits a nonmonotonic influence on swelling with increasing concentration. Silicon tends to segregate toward grain boundaries while aluminium segregates away from these boundaries. Whereas the formation of the Ni 3 Si phase is frequently observed in charged particle irradiation experiments conducted at much higher displacement rates, it did not occur during neutron irradiation in this study. Precipitation also did not occur in Ni-5Al during neutron irradiation, nor has it been reported to occur during ion irradiation. (orig.)

  9. Growth and Device Performance of AlGaN/GaN Heterostructure with AlSiC Precoverage on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Jae-Hoon Lee

    2014-01-01

    Full Text Available A crack-free AlGaN/GaN heterostructure was grown on 4-inch Si (111 substrate with initial dot-like AlSiC precoverage layer. It is believed that introducing the AlSiC layer between AlN wetting layer and Si substrate is more effective in obtaining a compressively stressed film growth than conventional Al precoverage on Si surface. The metal semiconductor field effect transistor (MESFET, fabricated on the AlGaN/GaN heterostructure grown with the AlSiC layer, exhibited normally on characteristics, such as threshold voltage of −2.3 V, maximum drain current of 370 mA/mm, and transconductance of 124 mS/mm.

  10. Post-irradiation examination of prototype Al-64 wt% U{sub 3}Si{sub 2} fuel rods from NRU

    Energy Technology Data Exchange (ETDEWEB)

    Sears, D.F.; Primeau, M.F.; Buchanan, C.; Rose, D. [Chalk River Labs., Ontario (Canada)

    1997-08-01

    Three prototype fuel rods containing Al-64 wt% U{sub 3}Si{sub 2} (3.15 gU/cm{sup 3}) have been irradiated to their design burnup in the NRU reactor without incident. The fuel was fabricated using production-scale equipment and processes previously developed for Al-U{sub 3}Si fuel fabrication at Chalk River Laboratories, and special equipment developed for U{sub 3}Si{sub 2} powder production and handling. The rods were irradiated in NRU up to 87 at% U-235 burnup under typical driver fuel conditions; i.e., nominal coolant inlet temperature 37{degrees}C, inlet pressure 654 kPa, mass flow 12.4 L/s, and element linear power ratings up to 73 kW/m. Post-irradiation examinations showed that the fuel elements survived the irradiation without defects. Fuel core diametral increases and volumetric swelling were significantly lower than that of Al-61 wt% U{sub 3}Si fuel irradiated under similar conditions. This irradiation demonstrated that the fabrication techniques are adequate for full-scale fuel manufacture, and qualified the fuel for use in AECL`s research reactors.

  11. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  12. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  13. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  14. Direct evidence of strain transfer for InAs island growth on compliant Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marçal, L. A. B.; Magalhães-Paniago, R.; Malachias, Angelo, E-mail: angeloms@fisica.ufmg.br [Universidade Federal de Minas Gerais, Av. Antonio Carlos 6627, CEP 31270-901, Belo Horizonte (Brazil); Richard, M.-I. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Aix-Marseille University, IM2NP-CNRS, Faculté des Sciences de St Jérôme, 13397 Marseille (France); Cavallo, F. [Center for High Technology Materials, University of New Mexico, 1313 Goddard St., Albuquerque, New Mexico 87106 (United States); University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Lagally, M. G. [University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Schmidt, O. G. [Institute for Integrative Nanosciences, IFW-Dresden, D-01171 Dresden (Germany); Schülli, T. Ü. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Deneke, Ch. [Laboratório Nacional de Nanotecnologia (LNNano/CNPEM), C.P. 6192, CEP 13083-970, Campinas (Brazil)

    2015-04-13

    Semiconductor heteroepitaxy on top of thin compliant layers has been explored as a path to make inorganic electronics mechanically flexible as well as to integrate materials that cannot be grown directly on rigid substrates. Here, we show direct evidences of strain transfer for InAs islands on freestanding Si thin films (7 nm). Synchrotron X-ray diffraction measurements using a beam size of 300 × 700 nm{sup 2} can directly probe the strain status of the compliant substrate underneath deposited islands. Using a recently developed diffraction mapping technique, three-dimensional reciprocal space maps were reconstructed around the Si (004) peak for specific illuminated positions of the sample. The strain retrieved was analyzed using continuous elasticity theory via Finite-element simulations. The comparison of experiment and simulations yields the amount of strain from the InAs islands, which is transferred to the compliant Si thin film.

  15. Irradiation behaviour of U-Si-Al with peripheral voidage

    International Nuclear Information System (INIS)

    Fehrenbach, P.J.; Feraday, M.A.; Cotnam, K.D.; Morel, P.A.

    1977-12-01

    Peripheral voidage in the form of longitudinal slots on the surface of the fuel is effective in accommodating the irradiation induced swelling of U-Si-Al rods clad in cold-worked Zr-2.5 wt% Nb. Diametral increases in elements operated at steady powers between 50 and 80 kW/m to a burnup of 240 MW.h/kg U were less than 1.25%. Similar to U 3 Si elements, lower sheath strength or higher temperatures resulted in greater diametral increases. An oxide or graphite interlayer between the fuel and sheath was shown to be effective in preventing the development of a fuel/sheath bond. The ability of U-Si-Al elements to successfully survive a power increase from 35 to 70 kW/m after appreciable burnup at the lower power has also been demonstrated. (author)

  16. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  17. Quantification of Valleys of Randomly Textured Substrates as a Function of Opening Angle: Correlation to the Defect Density in Intrinsic nc-Si:H.

    Science.gov (United States)

    Kim, Do Yun; Hänni, Simon; Schüttauf, Jan-Willem; van Swaaij, René A C M M; Zeman, Miro

    2016-08-17

    Optical and electrical properties of hydrogenated nanocrystalline silicon (nc-Si:H) solar cells are strongly influenced by the morphology of underlying substrates. By texturing the substrates, the photogenerated current of nc-Si:H solar cells can increase due to enhanced light scattering. These textured substrates are, however, often incompatible with defect-less nc-Si:H growth resulting in lower Voc and FF. In this study we investigate the correlation between the substrate morphology, the nc-Si:H solar-cell performance, and the defect density in the intrinsic layer of the solar cells (i-nc-Si:H). Statistical surface parameters representing the substrate morphology do not show a strong correlation with the solar-cell parameters. Thus, we first quantify the line density of potentially defective valleys of randomly textured ZnO substrates where the opening angle is smaller than 130° (ρdrops. It is also observed that ρdefect increases following a power law dependence of ρ<130. This result is attributed to more frequently formed defective regions for substrates having higher ρ<130.

  18. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  19. Bias dependent charge trapping in MOSFETs during 1 and 6 MeV electron irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.S. [Department of Chemical Engineering, Mie University, 5148507 (Japan); Kulkarni, V.R.; Mathakari, N.L.; Bhoraskar, V.N. [Department of Physics, Univeristy of Pune, Pune 411007 (India); Dhole, S.D. [Department of Physics, Univeristy of Pune, Pune 411007 (India)], E-mail: sanjay@physics.unipune.ernet.in

    2008-06-15

    To study irradiation-induced charge trapping in SiO{sub 2} and around the SiO{sub 2}-Si interface, depletion n-MOSFETs (metal-oxide-semiconductor field effect transistor) were used. The devices were gate biased during 1 and 6 MeV pulsed electron irradiation. The I{sub D}-V{sub DS} (drain current versus drain voltage) and I{sub D}-V{sub GS} (drain current versus gate voltage) characteristics were measured before and after irradiation. The shift in threshold voltage {delta}V{sub T} (difference in threshold voltage V{sub T} before and after irradiation) exhibited trends depending on the applied gate bias during 1 MeV electron irradiation. This behavior can be associated to the contribution of irradiation-induced negative charge {delta}N{sub IT} buildup around the SiO{sub 2}-Si interface to {delta}V{sub T}, which is sensitive to the electron tunneling from the substrates. However, only weak gate bias dependence was observed in 6 MeV electron irradiated devices. Independent of the energy loss and applied bias, the positive oxide trapped charge {delta}N{sub OT} is marginal and can be associated to thin and good quality of SiO{sub 2}. These results are explained using screening of free and acceptor states by the applied bias during irradiation, thereby reducing the total irradiation-induced charges.

  20. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  1. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  2. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate

    OpenAIRE

    Xu, Weijia; Yin, Huaxiang; Ma, Xiaolong; Hong, Peizhen; Xu, Miao; Meng, Lingkuan

    2015-01-01

    In this study, novel p-type scallop-shaped fin field-effect transistors (S-FinFETs) are fabricated using an all-last high-k/metal gate (HKMG) process on bulk-silicon (Si) substrates for the first time. In combination with the structure advantage of conventional Si nanowires, the proposed S-FinFETs provide better electrostatic integrity in the channels than normal bulk-Si FinFETs or tri-gate devices with rectangular or trapezoidal fins. It is due to formation of quasi-surrounding gate electrod...

  3. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  4. Study on the substrate-induced crystallisation of amorphous SiC-precursor ceramics. TIB/A; Untersuchungen zur substratinduzierten Kristallisation amorpher SiC-Precursorkeramiken

    Energy Technology Data Exchange (ETDEWEB)

    Rau, C.

    2000-12-01

    In the present thesis the crystallization behaviour of amorphous silicon-carbon materials (SiC{sub x}) was studied. The main topic of the experimental studies formed thereby the epitactical crystallization of thin silicon carbide layers on monocrystalline substrates of silicon carbides or silicon. Furthermore by thermolysis of the polymer amorphous SiC{sub x}-powder was obtained.

  5. Effects of irradiation on the microstructure of U-7Mo dispersion fuel with Al-2Si matrix

    Science.gov (United States)

    Keiser, Dennis D.; Jue, Jan-Fong; Robinson, Adam B.; Medvedev, Pavel; Gan, Jian; Miller, Brandon D.; Wachs, Daniel M.; Moore, Glenn A.; Clark, Curtis R.; Meyer, Mitchell K.; Ross Finlay, M.

    2012-06-01

    The Reduced Enrichment for Research and Test Reactor (RERTR) program is developing low-enriched uranium U-Mo dispersion fuels for application in research and test reactors around the world. As part of this development, fuel plates have been irradiated in the Advanced Test Reactor and then characterized using optical metallography (OM) and scanning electron microscopy (SEM) to determine the as-irradiated microstructure. To demonstrate the irradiation performance of U-7Mo dispersion fuel plates with 2 wt.% Si added to the matrix, fuel plates were tested to moderate burnups at intermediate fission rates as part of the RERTR-6 experiment. Further testing was performed to higher fission rates as part of the RERTR-7A experiment, and very aggressive testing (high temperature, high fission density, and high fission rate) was performed in the RERTR-9A, RERTR-9B, and AFIP-1 experiments. As-irradiated microstructures were compared to those observed after fabrication to determine the effects of irradiation on the microstructure. Based on comparison of the microstructural characterization results for each irradiated sample, some general conclusions can be drawn about how the microstructure evolves during irradiation: there is growth during irradiation of the fuel/matrix interaction (FMI) layer created during fabrication; Si diffuses from the FMI layer to deeper depths in the U-7Mo particles as the irradiation conditions are made more aggressive; lowering of the Si content in the FMI layer results in an increase in the size of the fission gas bubbles; as the FMI layer grows during irradiation, more Si diffuses from the matrix to the FMI layer/matrix interface; and interlinking of fission gas bubbles in the fuel plate microstructure that may indicate breakaway swelling is not observed.

  6. Effects of irradiation on the microstructure of U-7Mo dispersion fuel with Al-2Si matrix

    Energy Technology Data Exchange (ETDEWEB)

    Keiser, Dennis D., E-mail: Dennis.Keiser@inl.gov [Nuclear Fuels and Materials Division, Idaho National Laboratory, P.O. Box 1625, Idaho Falls, ID 83415-6188 (United States); Jue, Jan-Fong; Robinson, Adam B.; Medvedev, Pavel; Gan, Jian; Miller, Brandon D.; Wachs, Daniel M.; Moore, Glenn A.; Clark, Curtis R.; Meyer, Mitchell K. [Nuclear Fuels and Materials Division, Idaho National Laboratory, P.O. Box 1625, Idaho Falls, ID 83415-6188 (United States); Ross Finlay, M. [Australian Nuclear Science and Technology Organization, PMB 1, Menai, NSW 2234 (Australia)

    2012-06-15

    The Reduced Enrichment for Research and Test Reactor (RERTR) program is developing low-enriched uranium U-Mo dispersion fuels for application in research and test reactors around the world. As part of this development, fuel plates have been irradiated in the Advanced Test Reactor and then characterized using optical metallography (OM) and scanning electron microscopy (SEM) to determine the as-irradiated microstructure. To demonstrate the irradiation performance of U-7Mo dispersion fuel plates with 2 wt.% Si added to the matrix, fuel plates were tested to moderate burnups at intermediate fission rates as part of the RERTR-6 experiment. Further testing was performed to higher fission rates as part of the RERTR-7A experiment, and very aggressive testing (high temperature, high fission density, and high fission rate) was performed in the RERTR-9A, RERTR-9B, and AFIP-1 experiments. As-irradiated microstructures were compared to those observed after fabrication to determine the effects of irradiation on the microstructure. Based on comparison of the microstructural characterization results for each irradiated sample, some general conclusions can be drawn about how the microstructure evolves during irradiation: there is growth during irradiation of the fuel/matrix interaction (FMI) layer created during fabrication; Si diffuses from the FMI layer to deeper depths in the U-7Mo particles as the irradiation conditions are made more aggressive; lowering of the Si content in the FMI layer results in an increase in the size of the fission gas bubbles; as the FMI layer grows during irradiation, more Si diffuses from the matrix to the FMI layer/matrix interface; and interlinking of fission gas bubbles in the fuel plate microstructure that may indicate breakaway swelling is not observed.

  7. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  8. Photocatalytic properties of Au-deposited mesoporous SiO_2–TiO_2 photocatalyst under simultaneous irradiation of UV and visible light

    International Nuclear Information System (INIS)

    Okuno, T.; Kawamura, G.; Muto, H.; Matsuda, A.

    2016-01-01

    Mesoporous SiO_2 templates deposited TiO_2 nanocrystals are synthesized via a sol–gel route, and Au nanoparticles (NPs) are deposited in the tubular mesopores of the templates by a photodeposition method (Au/SiO_2–TiO_2). The photocatalytic characteristics of Au/SiO_2–TiO_2 are discussed with the action spectra of photoreactions of 2-propanol and methylene blue. Photocatalytic activities of SiO_2–TiO_2 under individual ultraviolet (UV) and visible (Vis) light illumination are enhanced by deposition of Au NPs. Furthermore, Au/SiO_2–TiO_2 shows higher photocatalytic activities under simultaneous irradiation of UV and Vis light compared to the activity under individual UV and Vis light irradiation. Since the photocatalytic activity under simultaneous irradiation is almost the same as the total activities under individual UV and Vis light irradiation, it is concluded that the electrons and the holes generated by lights of different wavelengths are efficiently used for photocatalysis without carrier recombination. - Graphical abstract: This graphic shows the possible charge behavior in Au/SiO_2–TiO_2 under independent light irradiation of ultraviolet and visible light irradiation. Both reactions under independent UV and Vis light irradiation occurred in parallel when Au/SiO_2–TiO_2 photocatalyst was illuminated UV and Vis light simultaneously, and then photocatalytic activity is improved by simultaneous irradiation. - Highlights: • Au nanoparticles were deposited in mesoporous SiO_2–TiO_2 by a photodeposition method. • Photocatalytic activity under UV and Vis light was enhanced by deposition of Au. • Photocatalytic activity of Au/SiO_2–TiO_2 was improved by simultaneous irradiation.

  9. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  10. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  11. Immobilization of cellulose producing cells (sporotrichum cellulophilum) using irradiated rice husk as a substrate

    International Nuclear Information System (INIS)

    Lina, M.R.; Tamada, M.; Kumakura, M.

    1991-01-01

    An experiment to study the effect of irradiated rice husk as a substrate on cellulase production of free and immobilized cells of S. cellulophium was carried out. Radiation pretreatment of rice husk was done using electron beam accelerator (Dynamitron IEA 3000-25,2), with doses of 0, 0.2, 0.4, 0.6, 0.8, and 1.0 MGy. The substrate used in cellulase production of free and immobilized cells were cellulose powder as a standard, and 1.0 MGy irradiated rice husk. Concentrations of cellulose powder for free and immobilized cells were 1, 2, 3, 5, and 8% (w/v). Irradiated rice husk concentrations for free cells were 3, 6, 9, 15, and 24% (w/v), whereas for immobilized cells were 3, 6, and 9% (w/v). Results showed that glucose concentration in 1.0 MGy irradiated rice husk was the highest of all irradiated and unirradiated rice husks. The GPA (glucose production activity) values used of free immobilized cells of S. cellulophium in medium containing 1.0 MGy irradiated rice husk were about 50% lower than in cellulose powder medium. Cellulase solution resulted by immobilized cells, either in cellulose powder or in irradiated rice husk media, were clear and did not contain mycelium. (authors). 7 refs, 7 figs

  12. A study on the change in the phase transition temperature of TiSi sub 2 by adding the Zr element on different Si substrates

    CERN Document Server

    Yoon, S H

    1999-01-01

    The stabilization of C49 TiSi sub 2 at high temperature was investigated by adding Zr element to Ti-silicide both on single crystalline Si(100) and amorphous Si substrates. This stabilization of the C49 TiSi sub 2 phase, which exhibits lower surface and interface energies than those of the C54 TiSi sub 2 phase, was expected to suppress the problems of Ti-silicide, such as the phase transition and the agglomeration. Ti and Zr films of 40 nm were co-deposited on Si substrates in a dual e-beam evaporation system equipped with an ion pump and at a base pressure of approx 5x10 sup - sup 9 Torr. The amounts of Zr contents added to the Ti-silicide were 5, 10 and 20 atomic %, and the thicknesses were monitored by in-situ quartz-crystal thickness monitors. After the deposition, films were annealed by using an ex-situ vacuum furnace at temperatures between 600 .deg. C and 900 .deg. C in 100 .deg. C increments. The phase identification and the chemical compositions were investigated by X-ray diffraction (XRD) and Auger ...

  13. Irradiation behaviour of a 500 mm long hollow U{sub 3}Si fuel element irradiated under BLW conditions

    Energy Technology Data Exchange (ETDEWEB)

    Feraday, M A; Chalder, G H; Cotnam, K D

    1969-07-15

    A 500 mm long Zircaloy-clad element of U{sub 3}Si (4.3 wt% Si) containing a 13% central void was irradiated to an average burnup of 3600 MWd/tonne U at an average linear power output of 790 W/cm, in boiling water coolant at 55 bars pressure. A larger diameter increase (1.5%) at the mid-plane of the element than elsewhere was attributed to the reduced restraint imposed on the fuel in this area as a consequence of {beta} annealing a section of the cold worked sheath. Diameter increases in the cold worked portions of the sheath (average 0.7%) were greater than in similar elements irradiated in pressurized water at 96 bars pressure the difference is attributed to higher linear power output of the element in this test. External swelling of the element before filling of the central void was complete is attributed to the higher silicon content of the fuel compared with previous tests. No reaction between U{sub 3}Si and Zircaloy was observed at a fuel sheath interface temperature near 400{sup o}C. (author)

  14. Short Communication on “In-situ TEM ion irradiation investigations on U{sub 3}Si{sub 2} at LWR temperatures”

    Energy Technology Data Exchange (ETDEWEB)

    Miao, Yinbin, E-mail: ymiao@anl.gov [Argonne National Laboratory, Lemont, IL 60439 (United States); Harp, Jason [Idaho National Laboratory, Idaho Fall, ID 83415 (United States); Mo, Kun [Argonne National Laboratory, Lemont, IL 60439 (United States); Bhattacharya, Sumit [Northwestern University, Evanston, IL 60208 (United States); Baldo, Peter; Yacout, Abdellatif M. [Argonne National Laboratory, Lemont, IL 60439 (United States)

    2017-02-15

    The radiation-induced amorphization of U{sub 3}Si{sub 2} was investigated by in-situ transmission electron microscopy using 1 MeV Kr ion irradiation. Both arc-melted and sintered U{sub 3}Si{sub 2} specimens were irradiated at room temperature to confirm the similarity in their responses to radiation. The sintered specimens were then irradiated at 350 °C and 550 °C up to 7.2 × 10{sup 15} ions/cm{sup 2} to examine their amorphization behavior under light water reactor (LWR) conditions. U{sub 3}Si{sub 2} remains crystalline under irradiation at LWR temperatures. Oxidation of the material was observed at high irradiation doses.

  15. Optical study on neutron irradiation effect on hexagonal SiC single crystal

    Energy Technology Data Exchange (ETDEWEB)

    Okada, Moritami; Kimura, Itsurou; Kanazawa, Satoshi; Kanno, Ikuo; Kamiya, Koji [Kyoto Univ. (Japan); Nakata, Toshitake; Watanabe, Masanori; Nakagawa, Masuo; Atobe, Kozo

    1996-04-01

    It is well known that SiC is a higher radiation resistant semiconductor on comparison with Si and Ge. Recently, on accompanying with advancement of developing program on nuclear fission reactor on space, development of electronic element workable effectively under severe radiation environment is desired. SiC is expected as one of such elements. Therefore, because of considering importance of understanding the effect on fundamental properties of SiC electronic element under radiation environment before its development, some studies on it was executed. In this paper, according to find out induction of interesting defect center in hexagonal 4H- and 6H-SiC single crystals irradiated with reactor neutron on light absorption and SER test, outlines of these experimental results were reported. (G.K.)

  16. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    Science.gov (United States)

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  17. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  18. Transmission electron microscopy characterization of irradiated U-7Mo/Al-2Si dispersion fuel

    International Nuclear Information System (INIS)

    Gan, J.; Keiser, D.D.; Wachs, D.M.; Robinson, A.B.; Miller, B.D.; Allen, T.R.

    2010-01-01

    The plate-type dispersion fuels, with the atomized U(Mo) fuel particles dispersed in the Al or Al alloy matrix, are being developed for use in research and test reactors worldwide. It is found that the irradiation performance of a plate-type dispersion fuel depends on the radiation stability of the various phases in a fuel plate. Transmission electron microscopy was performed on a sample (peak fuel mid-plane temperature ∼109 deg. C and fission density ∼4.5 x 10 27 f m -3 ) taken from an irradiated U-7Mo dispersion fuel plate with Al-2Si alloy matrix to investigate the role of Si addition in the matrix on the radiation stability of the phase(s) in the U-7Mo fuel/matrix interaction layer. A similar interaction layer that forms in irradiated U-7Mo dispersion fuels with pure Al matrix has been found to exhibit poor irradiation stability, likely as a result of poor fission gas retention. The interaction layer for both U-7Mo/Al-2Si and U-7Mo/Al fuels is observed to be amorphous. However, unlike the latter, the amorphous layer for the former was found to effectively retain fission gases in areas with high Si concentration. When the Si concentration becomes relatively low, the fission gas bubbles agglomerate into fewer large pores. Within the U-7Mo fuel particles, a bubble superlattice ordered as fcc structure and oriented parallel to the bcc metal lattice was observed where the average bubble size and the superlattice constant are 3.5 nm and 11.5 nm, respectively. The estimated fission gas inventory in the bubble superlattice correlates well with the fission density in the fuel.

  19. Tuning of Schottky barrier height of Al/n-Si by electron beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Vali, Indudhar Panduranga [Manipal Institute of Technology, Manipal University, Manipal 576104 (India); Shetty, Pramoda Kumara, E-mail: pramod.shetty@manipal.edu [Manipal Institute of Technology, Manipal University, Manipal 576104 (India); Mahesha, M.G. [Manipal Institute of Technology, Manipal University, Manipal 576104 (India); Petwal, V.C.; Dwivedi, Jishnu [Raja Ramanna Centre for Advanced Technology, Department of Atomic Energy, Government of India, Indore 452012 (India); Choudhary, R.J. [UGC-DAE Consortium for Scientific Research, University Campus, Khandwa Road, Indore 452017 (India)

    2017-06-15

    Highlights: • Tuning of Schottky barrier height has been achieved by electron beam irradiation at different doses on n-Si wafer prior to the fabrication of Schottky contact. • The XPS analyses have shown irradiation induced defects and the formation of several localized chemical states in Si/SiOx interface that influences the Schottky barrier height. • High ideality factor indicates metal-insulator-semiconductor configuration of the Schottky diode and the inhomogeneous nature of the Schottky barrier height. • The modifications in I–V characteristics have been observed as a function of electron dose. This is caused due to changes in the Schottky diode parameters and different transport mechanisms. - Abstract: The effect of electron beam irradiation (EBI) on Al/n-Si Schottky diode has been studied by I–V characterization at room temperature. The behavior of the metal-semiconductor (MS) interface is analyzed by means of variations in the MS contact parameters such as, Schottky barrier height (Φ{sub B}), ideality factor (n) and series resistance (R{sub s}). These parameters were found to depend on the EBI dose having a fixed incident beam of energy 7.5 MeV. At different doses (500, 1000, 1500 kGy) of EBI, the Schottky contacts were prepared and extracted their contact parameters by applying thermionic emission and Cheung models. Remarkably, the tuning of Φ{sub B} was observed as a function of EBI dose. The improved n with increased Φ{sub B} is seen for all the EBI doses. As a consequence of which the thermionic emission is more favored. However, the competing transport mechanisms such as space charge limited emission, tunneling and tunneling through the trap states were ascribed due to n > 1. The analysis of XPS spectra have shown the presence of native oxide and increased radiation induced defect states. The thickness variation in the MS interface contributing to Schottky contact behavior is discussed. This study explains a new technique to tune

  20. Effects of {gamma}-ray irradiation on the C-V and G/{omega}-V characteristics of Al/SiO{sub 2}/p-Si (MIS) structures

    Energy Technology Data Exchange (ETDEWEB)

    Doekme, Ilbilge [Science Education Department, Faculty of Education, Ahi Evran University, Kirsehir (Turkey)], E-mail: ilbilgedokme@gazi.edu.tr; Durmus, Perihan; Altindal, Semsettin [Physics Department, Faculty of Arts and Sciences, Gazi University, 06500 Teknikokullar, Ankara (Turkey)

    2008-03-15

    The effect of the {sup 60}C{sub o} ({gamma}-ray) exposure on the electrical characteristics of Al/SiO{sub 2}/p-Si (MIS) structures has been investigated using capacitance-voltage (C-V) and conductance-voltage (G/{omega}-V) measurements. The MIS structures were stressed with a bias of 0 V during {sup 60}C{sub o}{gamma}-sources irradiation with the total dose range from 0 to 25 kGy. The C-V and G/{omega}-V characteristics were measured at 500 kHz and room temperature before and after {sup 60}C{sub o}{gamma}-ray irradiation. The results indicated that {gamma}-irradiation caused an increase in the barrier height {phi}{sub B}, interface states N{sub ss} and depletion layer width W{sub D} obtained from reverse bias C-V measurements. The series resistance R{sub s} profile for various radiation doses was obtained from forward and reverse bias C-V and G/{omega}-V measurements. Both C-V and G/{omega}-V characteristics indicate that the total dose radiation hardness of MIS structures may be limited by the decisive properties of the SiO{sub 2}/Si interface to radiation-induced damage. After {gamma}-irradiation, the decrease in capacitance of MIS structure results in the increase in the semiconductor depletion width.

  1. Very high laser-damage threshold of polymer-derived Si(B)CN-carbon nanotube composite coatings.

    Science.gov (United States)

    Bhandavat, R; Feldman, A; Cromer, C; Lehman, J; Singh, G

    2013-04-10

    We study the laser irradiance behavior and resulting structural evolution of polymer-derived silicon-boron-carbonitride (Si(B)CN) functionalized multiwall carbon nanotube (MWCNT) composite spray coatings on copper substrate. We report a damage threshold value of 15 kWcm(-2) and an optical absorbance of 0.97 after irradiation. This is an order of magnitude improvement over MWCNT (1.4 kWcm(-2), 0.76), SWCNT (0.8 kWcm(-2), 0.65) and carbon paint (0.1 kWcm(-2), 0.87) coatings previously tested at 10.6 μm (2.5 kW CO2 laser) exposure. Electron microscopy, Raman spectroscopy, and X-ray photoelectron spectroscopy suggests partial oxidation of Si(B)CN forming a stable protective SiO2 phase upon irradiation.

  2. Investigation of reactivity between SiC and Nb-1Zr in planned irradiation creep experiments

    Energy Technology Data Exchange (ETDEWEB)

    Lewinsohn, C.A.; Hamilton, M.L.; Jones, R.H.

    1997-08-01

    Thermodynamic calculations and diffusion couple experiments showed that SiC and Nb-1Zr were reactive at the upper range of temperatures anticipated in the planned irradiation creep experiment. Sputter-deposited aluminum oxide (Al{sub 2}O{sub 3}) was selected as a diffusion barrier coating. Experiments showed that although the coating coarsened at high temperature it was an effective barrier for diffusion of silicon from SiC into Nb-1Zr. Therefore, to avoid detrimental reactions between the SiC composite and the Nb-1Zr pressurized bladder during the planned irradiation creep experiment, a coating of Al{sub 2}O{sub 3} will be required on the Nb-1Zr bladder.

  3. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    Science.gov (United States)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  4. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  5. Ion irradiation enhanced crystal nucleation in amorphous Si thin films

    International Nuclear Information System (INIS)

    Im, J.S.; Atwater, H.A.

    1990-01-01

    The nucleation kinetics of the amorphous-to-crystal transition of Si films under 1.5 MeV Xe + irradiation have been investigated by means of in situ transmission electron microscopy in the temperature range T=500--580 degree C. After an incubation period during which negligible nucleation occurs, a constant nucleation rate was observed in steady state, suggesting that homogeneous nucleation occurred. Compared to thermal crystallization, a significant enhancement in the nucleation rate during high-energy ion irradiation (five to seven orders of magnitude) was observed with an apparent activation energy of 3.9±0.75 eV

  6. Chemical Evolution of Interstellar Methanol Ice Analogs upon Ultraviolet Irradiation: The Role of the Substrate

    Science.gov (United States)

    Ciaravella, A.; Jiménez-Escobar, A.; Cosentino, G.; Cecchi-Pestellini, C.; Peres, G.; Candia, R.; Collura, A.; Barbera, M.; Di Cicca, G.; Varisco, S.; Venezia, A. M.

    2018-05-01

    An important issue in the chemistry of interstellar ices is the role of dust materials. In this work, we study the effect of an amorphous water-rich magnesium silicate deposited onto ZnSe windows on the chemical evolution of ultraviolet-irradiated methanol ices. For comparison, we also irradiate similar ices deposited onto bare ZnSe windows. Silicates are produced at relatively low temperatures exploiting a sol–gel technique. The chemical composition of the synthesized material reflects the forsterite stoichiometry. Si–OH groups and magnesium carbonates are incorporated during the process. The results show that the substrate material does affect the chemical evolution of the ice. In particular, the CO2/CO ratio within the ice is larger for methanol ices deposited onto the silicate substrate as a result of concurrent effects: the photolysis of carbonates present in the adopted substrate as a source of CO2, CO, and carbon and oxygen atoms; reactions of water molecules and hydroxyl radicals released from the substrate with the CO formed in the ice by the photolysis of the methanol ice; and changes in the structure and energy of the silicate surface by ultraviolet irradiation, leading to more favorable conditions for chemical reactions or catalysis at the grain surface. The results of our experiments allow such chemical effects contributed by the various substrate material components to be disentangled.

  7. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  8. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  9. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    Science.gov (United States)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  10. Structural characterization of amorphous Fe-Si and its recrystallized layers

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Ishimaru, Manabu; Hirotsu, Yoshihiko; Valdez, James A.; Sickafus, Kurt E.

    2006-01-01

    We have synthesized amorphous Fe-Si thin layers and investigated their microstructure using transmission electron microscopy (TEM). Si single crystals with (1 1 1) orientation were irradiated with 120 keV Fe + ions to a fluence of 4.0 x 10 17 cm -2 at cryogenic temperature (120 K), followed by thermal annealing at 1073 K for 2 h. A continuous amorphous layer with a bilayered structure was formed on the topmost layer of the Si substrate in the as-implanted specimen: the upper layer was an amorphous Fe-Si, while the lower one was an amorphous Si. After annealing, the amorphous bilayer crystallized into a continuous β-FeSi 2 thin layer

  11. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    Science.gov (United States)

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  12. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  13. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  14. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  15. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    Science.gov (United States)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  16. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  17. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  18. Si effects on radiation induced segregation in high purity Fe-18Cr-14Ni alloys irradiated by Ni ions

    International Nuclear Information System (INIS)

    Ohta, Joji; Kako, Kenji; Mayuzumi, Masami; Kusanagi, Hideo; Suzuki, Takayoshi

    1999-01-01

    To illustrate the effects of the element Si on radiation induced segregation, which causes irradiation assisted stress corrosion cracking (IASCC), we investigated grain boundary chemistry of high purity Fe-18Cr-14Ni-Si alloys irradiated by Ni ions using FE-TEM. The addition of Si up to 1% does not affect the Cr depletion at grain boundaries, while it slightly enhances the depletion of Fe and the segregation of Ni and Si. The addition of 2% Si causes the depletion of Cr and Fe and the segregation of Ni and Si at grain boundaries. Thus, the Si content should be as low as possible. In order to reduce the depletion of Cr at grain boundaries, which is one of the major causes of IASCC, Si content should be less than 1%. (author)

  19. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  20. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  1. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  2. Dependence of adhesion strength between GaN LEDs and sapphire substrate on power density of UV laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junsu [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Sin, Young-Gwan [Department of Nano-Mechatronics, Korea University of Science and Technology (UST), 217 Gajeong-Ro, Yuseong-Gu, Daejeon 34113 (Korea, Republic of); Kim, Jae-Hyun [Department of Nano-Mechanics, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Kim, Jaegu, E-mail: gugu99@kimm.re.kr [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of)

    2016-10-30

    Highlights: • Fundamental relationship between laser irradiation and adhesion strength, between gallium-nitride light emitted diode and sapphire substrate, is proposed during selective laser lift-off. • Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate. • Ga precipitation caused by thermal decomposition and roughened interface caused by thermal damage lead to the considerable difference of adhesion strength at the interface. - Abstract: Selective laser lift-off (SLLO) is an innovative technology used to manufacture and repair micro-light-emitting diode (LED) displays. In SLLO, laser is irradiated to selectively separate micro-LED devices from a transparent sapphire substrate. The light source used is an ultraviolet (UV) laser with a wavelength of 266 nm, pulse duration of 20 ns, and repetition rate of 30 kHz. Controlled adhesion between a LED and the substrate is key for a SLLO process with high yield and reliability. This study examined the fundamental relationship between adhesion and laser irradiation. Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate: Ga precipitation caused by the thermal decomposition of GaN and roughened interface caused by thermal damage on the sapphire. The competition between these two mechanisms leads to a non-trivial SLLO condition that needs optimization. This study helps understand the SLLO process, and accelerate the development of a process for manufacturing micro-LED displays via SLLO for future applications.

  3. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  4. Doping of 6H-SiC pn structures by proton irradiation

    International Nuclear Information System (INIS)

    Strel'chuk, Anatoly M.; Lebedev, Alexandre A.; Kozlovski, Vitali V.; Savkina, Natali S.; Davydov, Denis V.; Solov'ev, Viktor V.; Rastegaeva, Marina G.

    1999-01-01

    The influence of proton irradiation on current-voltage characteristics, N d - N a values and parameters of deep centres in 6H-SiC pn structures grown by sublimation epitaxy has been studied. The irradiation was carried out with 8 MeV protons in the range of doses from 10 14 to 10 16 cm -2 . Irradiation with a dose of 3.6x10 14 cm -2 leaves the voltage drop at high forward currents (10 A/cm 2 ) practically unchanged. For higher irradiation dose of 1.8x10 15 cm -2 , the forward voltage drop and the degree of compensation in the samples increased ; partial annealing of the radiation defects and partial recovery of the electrical parameters occurred after annealing at T∼400-800 K. Irradiation with a dose of 5.4x10 15 cm -2 resulted in very high resistance in forward biased pn structures which remained high even after heating to 500 deg. C. It is suggested that proton irradiation causes decreasing of the lifetime and formation of an i- or an additional p-layer

  5. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  6. Modification of structure and optical band-gap of nc-Si:H films with ion irradiation

    International Nuclear Information System (INIS)

    Zhu Yabin; Wang Zhiguang; Sun Jianrong; Yao Cunfeng; Shen Tielong; Li Bingsheng; Wei Kongfang; Pang Lilong; Sheng Yanbin; Cui Minghuan; Li Yuanfei; Wang Ji; Zhu Huiping

    2012-01-01

    Hydrogenated nano-crystalline silicon (nc-Si:H) films fabricated by using hot-wire chemical vapor deposition are irradiated at room temperature with 6.0 MeV Xe-ions. The irradiation fluences are 1.0 × 10 13 , 5.0 × 10 13 and 1.0 × 10 14 Xe-ions/cm 2 . The structure and optical band-gap of the irradiated films varying with ion fluence are investigated by means of X-ray diffraction, Raman and UV–Vis–NIR spectroscopes, as well as transmission electron microscopy. It is found that the crystallite size, the crystalline fraction and the optical band-gap decrease continuously with increasing the ion fluence. The crystalline fraction of the films irradiated to the fluences from 0 to 1.0 × 10 14 Xe-ions/cm 2 decreases from about 65.7% to 2.9% and the optical band-gap decreases from about 2.1 to 1.6 eV. Possible origins of the modification of the nc-Si:H films under 6.0 MeV Xe-ions irradiation are briefly discussed.

  7. Study of the semiconductor properties by irradiation, 8. Study of trapping center by. gamma. -ray on Si wafer

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Koji; Shioya, Hitoshi; Nagamatsu, Yasuhiko; Ogura, Shoji [Miyazaki Univ. (Japan). Faculty of Engineering

    1983-08-01

    In order to know the effects of ..gamma..-ray irradiation on n-type Si-wafers, the author did ..gamma..-ray irradiation experiments on n-type Si-wafers. They then observed the trapping center by using DLTS and ICTS equipments. The trapping center level, which is produced by ..gamma..-ray, is about 0.49 eV. In addition, the authors discuss the recombination rate.

  8. Study on the irradiation swelling of U3Si2-Al dispersion fuel

    International Nuclear Information System (INIS)

    Xing Zhonghu; Ying Shihao

    2001-01-01

    The dominant modeling mechanisms on irradiation swelling of U 3 Si 2 -Al dispersion fuel are introduced. The core of dispersion fuel is looked to as micro-fuel elements of continuous matrix. The formation processes of gas bubbles in the fuel phase are described through the behavior mechanisms of fission gases. The swelling in the fuel phase causes the interaction between fuel particles and metal matrix, and the metal matrix can restrain the irradiation swelling of fuel particles. The developed code can predict irradiation-swelling values according to the parameters of fuel elements and irradiation conditions, and the predicted values are in agreement with the measured results

  9. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Marin, D.V.; Kesler, V.G.; Volodin, V.A.; Skuratov, V.A.

    2012-01-01

    Three hundred and twenty nanometer-thick SiO 2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10 12 cm −2 and 10 14 cm −2 , or with 700 MeV Bi ions in the fluence range of 3 × 10 12 –1 × 10 13 cm −2 . After irradiation the yellow–orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950–1150 cm −1 , Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si–O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO 2 . Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ∼10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  10. Wear of tin coating and Al-Si alloy substrate against carburized steel under mixed lubrication

    Science.gov (United States)

    Wang, Q.; Cheng, H. S.; Fine, M. E.

    1994-04-01

    Tin coatings on Al-Si alloys are widely used in the automotive industries. The soft tin coating and the harder substrate alloy form a tribological system with the advantages of low friction and reasonably high load-bearing capacity. Wear tests of tin coated Al-Si Z332 alloy in conformal contact against carburized 1016 steel have been carried out under mixed lubrications with SAE 10W30 oil to study the wear mechanisms. Two major wear mechanisms, uniform wear of the tin coating due to micro-plowing and spall pitting related to the substrate are found to contribute to the bearing material loss when the fluid lubrication film is relatively thick (Lambda about 1.6). Under conditions of thinner films (Lambda approximately = 0.8), some local coating debonding occurs. The pitting and local coating debounding are closely related to fracture in the substrate. The bonding between silicon and tin seems to be weaker than between aluminum and tin. During wear, oxidation occurs.

  11. Impacts of gate bias and its variation on gamma-ray irradiation resistance of SiC MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Murata, Koichi; Mitomo, Satoshi; Matsuda, Takuma; Yokoseki, Takashi [Saitama University, Sakuraku (Japan); National Institutes for Quantum and Radiological Science and Technology (QST), Takasaki (Japan); Makino, Takahiro; Onoda, Shinobu; Takeyama, Akinori; Ohshima, Takeshi [National Institutes for Quantum and Radiological Science and Technology (QST), Takasaki (Japan); Okubo, Shuichi; Tanaka, Yuki; Kandori, Mikio; Yoshie, Toru [Sanken Electric Co., Ltd., Niiza, Saitama (Japan); Hijikata, Yasuto [Saitama University, Sakuraku (Japan)

    2017-04-15

    Gamma-ray irradiation into vertical type n-channel hexagonal (4H)-silicon carbide (SiC) metal-oxide-semiconductor field effect transistors (MOSFETs) was performed under various gate biases. The threshold voltage for the MOSFETs irradiated with a constant positive gate bias showed a large negative shift, and the shift slightly recovered above 100 kGy. For MOSFETs with non- and a negative constant biases, no significant change in threshold voltage, V{sub th}, was observed up to 400 kGy. By changing the gate bias from positive bias to either negative or non-bias, the V{sub th} significantly recovered from the large negative voltage shift induced by 50 kGy irradiation with positive gate bias after only 10 kGy irradiation with either negative or zero bias. It indicates that the positive charges generated in the gate oxide near the oxide-SiC interface due to irradiation were removed or recombined instantly by the irradiation under zero or negative biases. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Fabrication of GaAs quantum dots by droplet epitaxy on Si/Ge virtual substrate

    International Nuclear Information System (INIS)

    Bietti, S; Sanguinetti, S; Somaschini, C; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2009-01-01

    We present here the fabrication, via droplet epitaxy, of GaAs/AlGaAs quantum dots with high optical efficiency on Si. The growth substrate lattice parameter was adapted to that of (Al)GaAs via Ge virtual substrates (GeVS). The samples clearly show the presence of quantum dot self-assembly, with the designed shape and density. Photoluminescence measurements, performed at low temperature, show an intense emission band from the quantum dots.

  13. Silicon isotope separation utilizing infrared multiphoton dissociation of Si2F6 irradiated with two-color CO2 laser light

    International Nuclear Information System (INIS)

    Yokoyama, Atsushi; Ohba, Hironori; Hashimoto, Masashi; Arai, Shigeyoshi

    2002-01-01

    Silicon isotope separation has been done by utilizing the Infrared Multiphoton Dissociation (IRMPD) of Si 2 F 6 irradiated with two-color CO 2 laser lights. The two-color excitation method improved the separation efficiency keeping the high enrichment factors. For example, 99.74% of 28 Si was obtained at 49.63% dissociation of Si 2 F 6 after the simultaneous irradiation of 200 pulses with 966.23 cm -1 photons (0.084 J/cm 2 ) and 954.55 cm -1 photons (0.658 J/cm 2 ), while 2000 pulses were needed to obtain 99.35% of 28 Si at 35.6% dissociation in the case of only one-color irradiation at 954.55 cm -1 (0.97 J/cm 2 ). (author)

  14. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  15. Stress map for ion irradiation: Depth-resolved dynamic competition between radiation-induced viscoelastic phenomena in SiO2

    International Nuclear Information System (INIS)

    Dillen, T. van; Siem, M.Y.S.; Polman, A.

    2004-01-01

    The dynamic competition between structural transformation, Newtonian viscous flow, and anisotropic strain generation during ion irradiation of SiO 2 , leads to strongly depth-dependent evolution of the mechanical stress, ranging between compressive and tensile. From independent in situ stress measurements during irradiation, generic expressions are derived of the nuclear stopping dependence of both the structural transformation rate and the radiation-induced viscosity. Using these data we introduce and demonstrate the concept of a 'stress map' that predicts the depth-resolved saturation stress in SiO 2 for any irradiation up to several MeV

  16. γ-ray irradiation effect on magnetic properties of electromagnetic Fe-Si sheets

    International Nuclear Information System (INIS)

    Harara, W.

    1994-11-01

    The present work investigates the effect of γ-ray irradiation on the relative and differential magnetic permeabilities of electromagnetic steel sheets. The experimental work was carried out using transformer Fe-Si (97-3%) sheets. The sheets have two different forms E and I> The magnetic field dependence on the relative permeability as well as on the differential permeability before and after irradiation were measured. The measurements show that the relative permeability values of the sheets after irradiation in the region of rotation of magnetization domains were decreased whereas the value of their differential permeability around each working point remains unchangeable. (author). 7 refs., 14 figs., 6 tabs

  17. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  18. CoSi2 growth on Si(001) by reactive deposition epitaxy: Effects of high-flux, low-energy ion irradiation

    International Nuclear Information System (INIS)

    Lim, C. W.; Greene, J. E.; Petrov, I.

    2006-01-01

    CoSi 2 layers, CoSi 2 (parallel sign)(001) Si and [100] CoSi 2 (parallel sign)[100] Si , contain fourfold symmetric (111) twinned domains oriented such that (221) CoSi 2 (parallel sign)(001) Si and CoSi 2 (parallel sign)[110] Si . We demonstrate that high-flux low-energy (E Ar + =9.6 eV) Ar + ion irradiation during deposition dramatically increases the area fraction f u of untwinned regions from 0.17 in films grown under standard magnetically balanced conditions in which the ratio J Ar + /J Co of the incident Ar + to Co fluxes is 1.4 to 0.72 with J Ar + /J Co =13.3. TEM analyses show that the early stages of RDE CoSi 2 (001) film growth proceed via the Volmer-Weber mode with independent nucleation of both untwinned and twinned islands. Increasing J Ar + /J Co results in larger values of both the number density and area of untwinned with respect to twinned islands. The intense Ar + ion bombardment creates additional low-energy adsorption sites that favor the nucleation of untwinned islands while collisionally enhancing Co surface mobilities which, in turn, increases the probability of itinerant Co adatoms reaching these sites

  19. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  20. Quality improvement of ZnO thin layers overgrown on Si(100 substrates at room temperature by nitridation pretreatment

    Directory of Open Access Journals (Sweden)

    Peng Wang

    2012-06-01

    Full Text Available To improve the quality of ZnO thin film overgrown on Si(100 substrate at RT (room temperature, the Si(100 surface was pretreated with different methods. The influence of interface on the overgrown ZnO layers was investigated by atomic force microscopy, photoluminescence and X-ray diffraction. We found that the nitridation pretreatment could significantly improve the quality of RT ZnO thin film through two-fold effects: one was to buffer the big lattice mismatch and ease the stress resulted from heterojunction growth; the other was to balance the interface charge, block the symmetric inheritance from the cubic Si (100 substrate and thus restrain the formation of zincblende phase.

  1. Realization of hexagonal barium ferrite thick films on Si substrates using a screen printing technique

    International Nuclear Information System (INIS)

    Chen Yajie; Smith, Ian; Geiler, Anton L; Vittoria, Carmine; Harris, Vincent G; Zagorodnii, Volodymyr; Celinski, Zbigniew

    2008-01-01

    Hexagonal barium ferrite thick films (50-200 μm) have been deposited on Si and Al 2 O 3 /Si substrates using a screen printing technique. X-ray diffractometry, scanning electron microscopy and magnetometry were used to characterize and correlate the ferrite films' microstructure and magnetic properties. The experiments indicated that an Al 2 O 3 underlayer was effective in preventing silicon diffusion into the barium ferrite films during a final sintering treatment at temperatures above 1100 deg. C. A two-stage sintering process allowed a reasonable tradeoff between mechanical and magnetic properties. This work reveals the feasibility of fabrication of thick ferrite films on large substrates (up to 25 mm in diameter) for future planar microwave devices compatible with semiconductor integrated circuits processing

  2. PbSe quantum well mid-infrared vertical external cavity surface emitting laser on Si-substrates

    Science.gov (United States)

    Fill, M.; Khiar, A.; Rahim, M.; Felder, F.; Zogg, H.

    2011-05-01

    Mid-infrared vertical external cavity surface emitting lasers based on PbSe/PbSrSe multi-quantum-well structures on Si-substrates are realized. A modular design allows growing the active region and the bottom Bragg mirror on two different Si-substrates, thus facilitating comparison between different structures. Lasing is observed from 3.3 to 5.1 μm wavelength and up to 52 °C heat sink temperature with 1.55 μm optical pumping. Simulations show that threshold powers are limited by Shockley-Read recombination with lifetimes as short as 0.1 ns. At higher temperatures, an additional threshold power increase occurs probably due to limited carrier diffusion length and carrier leakage, caused by an unfavorable band alignment.

  3. Influence of electron beam irradiation on growth of Phytophthora cinnamomi and its control in substrates

    Science.gov (United States)

    MigdaŁ, Wojciech; Orlikowski, Leszek B.; Ptaszek, Magdalena; Gryczka, Urszula

    2012-08-01

    Very extensive production procedure, especially in plants growing under covering, require methods, which would allow quick elimination or substantial reduction of populations of specific pathogens without affecting the growth and development of the cultivated plants. Among soil-borne pathogens, the Phytophthora species are especially dangerous for horticultural plants. In this study, irradiation with electron beam was applied to control Phytophthora cinnamomi. The influence of irradiation dose on the reduction of in vitro growth and the population density of the pathogen in treated peat and its mixture with composted pine bark (1:1), as well as the health of Chamaecyparis lawsoniana and Lavandula angustifolia plants were evaluated. Application of irradiation at a dose of 1.5 kGy completely inhibited the in vitro development of P. cinnamomi. This irradiation effect was connected with the disintegration of the hyphae and spores of the species. Irradiation of peat and its mixture with composted pine bark with 10 kGy resulted in the inhibition of stem base rot development in Ch. lawsoniana. Symptoms of the disease were not observed when the substrates were treated with 15 kGy. In the case of L. angustifolia, stem root rot was not observed on cuttings transplanted to infected peat irradiated at a dose of 10 kGy. Irradiation of the horticultural substrates did not affect plant growth.

  4. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  5. Bonding temperature dependence of GaInAsP/InP laser diode grown on hydrophilically directly bonded InP/Si substrate

    Science.gov (United States)

    Aikawa, Masaki; Onuki, Yuya; Hayasaka, Natsuki; Nishiyama, Tetsuo; Kamada, Naoki; Han, Xu; Kallarasan Periyanayagam, Gandhi; Uchida, Kazuki; Sugiyama, Hirokazu; Shimomura, Kazuhiko

    2018-02-01

    The bonding-temperature-dependent lasing characteristics of 1.5 a µm GaInAsP laser diode (LD) grown on a directly bonded InP/Si substrate were successfully obtained. We have fabricated the InP/Si substrate using a direct hydrophilic wafer bonding technique at bonding temperatures of 350, 400, and 450 °C, and deposited GaInAsP/InP double heterostructure layers on this InP/Si substrate. The surface conditions, X-ray diffraction (XRD) analysis, photoluminescence (PL) spectra, and electrical characteristics after the growth were compared at these bonding temperatures. No significant differences were confirmed in X-ray diffraction analysis and PL spectra at these bonding temperatures. We realized the room-temperature lasing of the GaInAsP LD on the InP/Si substrate bonded at 350 and 400 °C. The threshold current densities were 4.65 kA/cm2 at 350 °C and 4.38 kA/cm2 at 400 °C. The electrical resistance was found to increase with annealing temperature.

  6. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  7. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  8. Nanogranular Au films deposited on carbon covered Si substrates for enhanced optical reflectivity and Raman scattering

    International Nuclear Information System (INIS)

    Bhuvana, T; Kumar, G V Pavan; Narayana, Chandrabhas; Kulkarni, G U

    2007-01-01

    Electroless deposition of gold has been carried out on Si(100) surfaces precoated with laser ablated carbon layers of different thicknesses, and the resulting substrates have been characterized by a host of techniques. We first established the porous nature of the amorphous carbon layer by Raman and profilometric measurements. The Au uptake from the plating solution was optimal at a carbon layer thickness of 90 nm, where we observed nanogranules of ∼60-70 nm, well separated from each other in the carbon matrix (mean interparticle spacing ∼7 nm). We believe that the observed nanostructure is a result of Au 3+ electroless reduction on the Si surface through porous channels present in the amorphous carbon matrix. Importantly, this nanostructured substrate exhibited high reflectivity in the near IR region besides being effective as a substrate for surface enhanced Raman scattering (SERS) measurements with enhancement factors up to 10 7

  9. Defect formation in heavily doped Si upon irradiation

    International Nuclear Information System (INIS)

    Gubskaya, V.I.; Kuchinskii, P.V.; Lomako, V.M.

    1981-01-01

    The rates of the carrier removal and radiation defect introduction into n- and p-Si in the concentration range of 10 14 to 10 17 cm -3 upon 7-MeV-electron irradiation have been studied. The spectrum of the vacancy-type defects, defining the carrier removal rate in lightly doped crystals has been found. With doping level increase the carrier removal rate grows irrespective of conductivity type, and at n 0 , p 0 > 10 17 cm -3 is close to the total displacement number. At the same time a decrease in the introduction rate of the known vacancy-type defects is observed. x It is shown that a considerable growth of the carrier removal rate is defined neither by introduction of shallow compensating centers, nor by change in the primary defect charge state. It is suggested that at high doping impurity concentrations compensation in Si is due to the introduction of complexes doping impurity-interstitial or (impurity atom-interstitial) + vacancy, which give deep levels. (author)

  10. Examinations of the irradiation behaviour of U3Si2 test fuel plates with low enrichment

    International Nuclear Information System (INIS)

    Muellauer, J.

    1989-01-01

    Five low-enriched (19.7% 235 U), high-density (4.7 gU/cm/ 3 ) U 3 Si 2 -test fuel plates (miniplates) with different fine grain contents have been qualified under irradiation. During the course of irradiation up to burnup of 63% 235 U depletion, no released fractions of gaseous or solid fission products from the fuel plate to the rig coolant were detected. The measured swelling rate of the fuel zone (meat) is less than 0.45% ΔV/10 20 fissions/cm 3 the blister-threshold temperature of the fuel plates is above 520 0 C. The favourable irradiation behavior of the U 3 Si 2 fuel plates was not influenced by using higher amounts of fine grained particles (40% [de

  11. Ion-channeling analysis of As relocation in heavily doped Si:As irradiated with high-energy ions

    International Nuclear Information System (INIS)

    Lulli, G.; Albertazzi, E.; Bianconi, M.; Ferri, M.

    2003-01-01

    Silicon on insulator layers doped with 8x10 20 As cm -3 and thermally equilibrated at 1100 deg. C, have been irradiated with 2 MeV Si + ions. Rutherford backscattering-channeling analysis shows an increase in As disorder upon irradiation significantly larger than the increase in Si disorder, while electrical measurements show a large decrease in electrical activation. Monte Carlo simulation of channeling angular scans suggests that the enhanced As disorder effect is due to the preferential relocation of dopant atoms slightly displaced from lattice sites, which appear the main reason responsible for the electrical deactivation in the unirradiated sample and are believed to be in the form of As-vacancy clusters. Upon 600 deg. C 15 s annealing, the As atoms randomly relocated by ion irradiation almost completely recover their original configuration, probably capturing vacancies and forming, again, the complexes dissociated by ion irradiation

  12. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  13. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si{sub 3}N{sub 4}/DLC substrate

    Energy Technology Data Exchange (ETDEWEB)

    Roman, W S; Riascos, H [Grupo Plasma, Laser y Aplicaciones, Universidad Tecnologica de Pereira (Colombia); Caicedo, J C [Grupo de PelIculas Delgadas, Universidad del Valle, Cali (Colombia); Ospina, R [Laboratorio de Plasma, Universidad Nacional de Colombia, sede Manizales (Colombia); Tirado-MejIa, L, E-mail: hriascos@utp.edu.c [Laboratorio de Optoelectronica, Universidad del Quindio (Colombia)

    2009-05-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si{sub 3}N{sub 4} substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm{sup -2}, 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm{sup -1} for B - N bonding and bands around 1700 cm{sup -1} associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), alpha-Si{sub 3}N{sub 4} (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si{sub 3}N{sub 4}/DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  14. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  15. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A., E-mail: kachurin@isp.nsc.ru [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Cherkova, S.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Marin, D.V. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Kesler, V.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Volodin, V.A. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Skuratov, V.A. [Joint Institute for Nuclear Research, 141980 Dubna (Russian Federation)

    2012-07-01

    Three hundred and twenty nanometer-thick SiO{sub 2} layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10{sup 12} cm{sup -2} and 10{sup 14} cm{sup -2}, or with 700 MeV Bi ions in the fluence range of 3 Multiplication-Sign 10{sup 12}-1 Multiplication-Sign 10{sup 13} cm{sup -2}. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm{sup -1}, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO{sub 2}. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and {approx}10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  16. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  17. Crack-free AlGaN-based UV LED on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, P.; Dadgar, A.; Blaesing, J.; Witte, H.; Mueller, M.; Guenther, K.M.; Fey, T.; Bastek, B.; Bertram, F.; Kurnatowski, M. von; Wieneke, M.; Hempel, T.; Veit, P.; Clos, R.; Christen, J.; Krost, A. [FNW/IEP/AHE Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2010-07-01

    To achieve low-cost UV LEDs on large-diameter substrates it is a very interesting approach to grow AlGaN on low-cost Si substrates. Here, AlGaN layers and AlGaN LED structures grown on Si(111) were additionally monitored by in-situ curvature measurements. They show that with the insertion of AlN-based SL buffer layers and LT-AlN interlayers, the AlGaN layers are under compressive stress during growth enabling to compensate tensile stress after cooling. To characterize the crystalline quality, HR-XRD measurements were performed. Cross-sectional TEM to investigate dislocation propagation and annihilation. n- and p- conductivities were achieved by Si and Mg doping of the layers, respectively. By C-V and Hall-effect measurements, the maximum free-electron concentration of 2.6{sup +18} cm{sup -3} and free-hole concentration of 2.4{sup +17} cm{sup -3} by using a structure of Mg-doped GaN/Al{sub 0.1}Ga{sub 0.9}N multilayers for the latter were determined. A GaN/Al{sub 0.1}Ga{sub 0.9}N MQW structure showed near UV-luminescence around 350-360 nm. The optical and electrical properties of AlGaN-based LED samples were further characterized by I-V, EL, PL and CL measurements. The I-V measurements show forward-diode characteristics with turn-on voltage about 2.6-3.1 V.

  18. Role of Defects in Swelling and Creep of Irradiated SiC

    Energy Technology Data Exchange (ETDEWEB)

    Szlufarska, Izabela [Univ. of Wisconsin, Madison, WI (United States); Voyles, Paul [Univ. of Wisconsin, Madison, WI (United States); Sridharan, Kumar [Univ. of Wisconsin, Madison, WI (United States); Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2016-01-16

    Silicon carbide is a promising cladding material because of its high strength and relatively good corrosion resistance. However, SiC is brittle and therefore SiC-based components need to be carefully designed to avoid cracking and failure by fracture. In design of SiC-based composites for nuclear reactor applications it is essential to take into account how mechanical properties are affected by radiation and temperature, or in other words, what strains and stresses develop in this material due to environmental conditions. While thermal strains in SiC can be predicted using classical theories, radiation-induced strains are much less understood. In particular, it is critical to correctly account for radiation swelling and radiation creep, which contribute significantly to dimensional instability of SiC under radiation. Swelling typically increases logarithmically with radiation dose and saturates at relatively low doses (damage levels of a few dpa). Consequently, swelling-induced stresses are likely to develop within a few months of operation of a reactor. Radiation-induced volume swelling in SiC can be as high as 2%, which is significantly higher than the cracking strain of 0.1% in SiC. Swelling-induced strains will lead to enormous stresses and fracture, unless these stresses can be relaxed via some other mechanism. An effective way to achieve stress relaxation is via radiation creep. Although it has been hypothesized that both radiation swelling and radiation creep are driven by formation of defect clusters, existing models for swelling and creep in SiC are limited by the lack of understanding of specific defects that form due to radiation in the range of temperatures relevant to fuel cladding in light water reactors (LWRs) (<1000°C). For example, defects that can be detected with traditional transmission electron microscopy (TEM) techniques account only for 10-45% of the swelling measured in irradiated SiC. Here, we have undertaken an integrated experimental and

  19. Role of Defects in Swelling and Creep of Irradiated SiC

    International Nuclear Information System (INIS)

    Szlufarska, Izabela; Voyles, Paul; Sridharan, Kumar; Katoh, Yutai

    2016-01-01

    Silicon carbide is a promising cladding material because of its high strength and relatively good corrosion resistance. However, SiC is brittle and therefore SiC-based components need to be carefully designed to avoid cracking and failure by fracture. In design of SiC-based composites for nuclear reactor applications it is essential to take into account how mechanical properties are affected by radiation and temperature, or in other words, what strains and stresses develop in this material due to environmental conditions. While thermal strains in SiC can be predicted using classical theories, radiation-induced strains are much less understood. In particular, it is critical to correctly account for radiation swelling and radiation creep, which contribute significantly to dimensional instability of SiC under radiation. Swelling typically increases logarithmically with radiation dose and saturates at relatively low doses (damage levels of a few dpa). Consequently, swelling-induced stresses are likely to develop within a few months of operation of a reactor. Radiation-induced volume swelling in SiC can be as high as 2%, which is significantly higher than the cracking strain of 0.1% in SiC. Swelling-induced strains will lead to enormous stresses and fracture, unless these stresses can be relaxed via some other mechanism. An effective way to achieve stress relaxation is via radiation creep. Although it has been hypothesized that both radiation swelling and radiation creep are driven by formation of defect clusters, existing models for swelling and creep in SiC are limited by the lack of understanding of specific defects that form due to radiation in the range of temperatures relevant to fuel cladding in light water reactors (LWRs) (<1000°C). For example, defects that can be detected with traditional transmission electron microscopy (TEM) techniques account only for 10-45% of the swelling measured in irradiated SiC. Here, we have undertaken an integrated experimental and

  20. Selective adsorption of thiophenic compounds from fuel over TiO{sub 2}/SiO{sub 2} under UV-irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Miao, Guang [School of Chemistry and Chemical Engineering, South China University of Technology, Guangzhou 510640 (China); Ye, Feiyan [Key Laboratory of Enhanced Heat Transfer and Energy Conservation of the Ministry of Education South China University of Technology, Guangzhou 510640 (China); Wu, Luoming; Ren, Xiaoling [School of Chemistry and Chemical Engineering, South China University of Technology, Guangzhou 510640 (China); Xiao, Jing, E-mail: cejingxiao@scut.edu.cn [School of Chemistry and Chemical Engineering, South China University of Technology, Guangzhou 510640 (China); Li, Zhong, E-mail: cezhli@scut.edu.cn [Key Laboratory of Enhanced Heat Transfer and Energy Conservation of the Ministry of Education South China University of Technology, Guangzhou 510640 (China); Wang, Haihui [Key Laboratory of Enhanced Heat Transfer and Energy Conservation of the Ministry of Education South China University of Technology, Guangzhou 510640 (China)

    2015-12-30

    Highlights: • TiO{sub 2}/SiO{sub 2} was developed for selective adsorption of DBTs under UV irradiation. • Remarkable adsorption uptake and selectivity were achieved for deep desulfurization. • Introduction of TiO{sub 2} into SiO{sub 2} enhanced its adsorption for DBTO{sub 2}. • Adsorption mechanism using TiO{sub 2}/SiO{sub 2} under UV irradiation was elucidated. - Abstract: This study investigates selective adsorption of thiophenic compounds from fuel over TiO{sub 2}/SiO{sub 2} under UV-irradiation. The TiO{sub 2}/SiO{sub 2} adsorbents were prepared and then characterized by N{sub 2} adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO{sub 2}/SiO{sub 2} were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO{sub 2}/0.7SiO{sub 2} adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO{sub 2} served as the photocatalytic sites for DBT oxidation, while SiO{sub 2} acted as the selective adsorption sites for the corresponding oxidized DBT using TiO{sub 2} as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO{sub 2}/SiO{sub 2}; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO{sub 2}/SiO{sub 2} was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO{sub 2}/0.7SiO{sub 2} adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions.

  1. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  2. Conduction mechanism in electron beam irradiated Al/n-Si Schottky diode

    International Nuclear Information System (INIS)

    Vali, Indudhar Panduranga; Shetty, Pramoda Kumara; Mahesha, M.G.; Petwal, V.C.

    2016-01-01

    In the high energy physics experiments, silicon based diodes are used to fabricate radiation detector to detect the charged particles. The Schottky barrier diodes have been studied extensively to understand the behavior of metal semiconductor interface, since such interfaces have been utilized as typical contacts in silicon devices. Because of surface states, interfacial layer, microscopic clusters of metal-semiconductor phases and other effects, it is difficult to fabricate junctions with barriers near the ideal values predicted from the work functions of the two isolated materials, therefore measured barrier heights are used in the device design. In this work, the Al/n-Si Schottky contacts are employed to study the diode parameters (Schottky barrier height and ideality factor), where the Schottky contacts were fabricated on electron beam irradiated silicon wafers. The interface behavior between electron irradiated Si wafer and post metal deposition is so far not reported. This method could be an alternative way to tailor the Schottky barrier height (SBH) without subjecting semiconductor sample to pre chemical and/or post heat treatments during fabrication

  3. Depth profiling of oxide-trapped charges in 6H-SiC MOS structures by slant etching method

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Kazunari; Takahashi, Yoshihiro; Ohnishi, Kazunori [Nihon Univ., Tokyo (Japan). Coll. of Science and Technology; Yoshikawa, Masahito; Ohshima, Takeshi; Itoh, Hisayoshi; Nashiyama, Isamu

    1997-03-01

    In this paper, we propose a method to evaluate the depth profile of trapped charges in an oxide layer on SiC. Using this method, 6H-SiC MOS structures with different oxide thickness were fabricated on the same substrate under the same oxidation condition, and the depth profile of oxide-trapped charges before and after {sup 60}Co-gamma ray irradiation were obtained. It is found, from the depth profiling, that the trapping mechanism of electrons and holes in the oxide strongly depends on the bias polarity during irradiation, and these charges are trapped near 6H-SiC/SiO{sub 2} interface. We believe that this method is very useful for estimation of the oxide-trapped charges in 6H-SiC MOS structures. (author)

  4. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  5. 60Co gamma irradiation effects on the the capacitance and conductance characteristics of Au/PMI/n-Si Schottky diodes

    Science.gov (United States)

    Tuğluoğlu, N.; Karadeniz, S.; Yüksel, Ö. F.; Şafak, H.; Kuş, M.

    2015-08-01

    In this work, the perylene-monoimide/n-Si (100) Schottky structures have been fabricated by spin coating process. We have studied the capacitance-voltage ( C- V) and conductance-voltage ( G- V) characteristics of the Au/perylene-monoimide/n-Si diodes at 500 kHz before and after 60Co γ-ray irradiation. The effects of 60Co γ -ray irradiation on the electrical characteristics of a perylene-monoimide/n-Si Schottky diode have been investigated. A decrease both in the capacitance and conductance has been observed after 60Co γ -ray irradiation. This has been attributed to a decrease in the net ionized dopant concentration that occurred as a result of 60Co γ-ray irradiation. Some contact parameters such as barrier height (Φ B ) interface state density ( N ss ) and series resistance ( R s ) have been calculated from the C- V and G- V characteristics of the diode before and after irradiation. It has been observed that the Φ B and N ss values are decreased after the applied radiation, while the R s value is increased.

  6. Influence of electron beam irradiation on growth of Phytophthora cinnamomi and its control in substrates

    International Nuclear Information System (INIS)

    MigdaŁ, Wojciech; Orlikowski, Leszek B.; Ptaszek, Magdalena; Gryczka, Urszula

    2012-01-01

    Very extensive production procedure, especially in plants growing under covering, require methods, which would allow quick elimination or substantial reduction of populations of specific pathogens without affecting the growth and development of the cultivated plants. Among soil-borne pathogens, the Phytophthora species are especially dangerous for horticultural plants. In this study, irradiation with electron beam was applied to control Phytophthora cinnamomi. The influence of irradiation dose on the reduction of in vitro growth and the population density of the pathogen in treated peat and its mixture with composted pine bark (1:1), as well as the health of Chamaecyparis lawsoniana and Lavandula angustifolia plants were evaluated. Application of irradiation at a dose of 1.5 kGy completely inhibited the in vitro development of P. cinnamomi. This irradiation effect was connected with the disintegration of the hyphae and spores of the species. Irradiation of peat and its mixture with composted pine bark with 10 kGy resulted in the inhibition of stem base rot development in Ch. lawsoniana. Symptoms of the disease were not observed when the substrates were treated with 15 kGy. In the case of L. angustifolia, stem root rot was not observed on cuttings transplanted to infected peat irradiated at a dose of 10 kGy. Irradiation of the horticultural substrates did not affect plant growth. - Highlights: ► Electron beam irradiation is effective against soil-borne pathogens. ► Application of irradiation at dose 1.5 kGy completely inhibited in vitro development of Phytophthora cinnamomi. ► Irradiation of horticultural substrata did not influence the growth of plants.

  7. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  8. Evaluation of damage induced by high irradiation levels on α-Ni-Ni3Si eutectic structure

    Science.gov (United States)

    Camacho Olguin, Carlos Alberto; Garcia-Borquez, Arturo; González-Rodríguez, Carlos Alberto; Loran-Juanico, Jose Antonio; Cruz-Mejía, Hector

    2015-06-01

    Diluted alloys of the binary system Ni-Si have been used as target of beam of ions, electrons, neutrons and so on because in this kind of alloy occurs transformations order-disorder, when the temperature is raised. This fact has permitted to evaluate the phenomena associated with the damage induced by irradiation (DII). The results of these works have been employed to understand the behavior under irradiation of complex alloys and to evaluate the reliability of the results of mathematical simulation of the evolution of the DII. The interest in the alloy system Ni-Si has been reborn due to the necessity of developing materials, which have better resistance against the corrosion on more aggressive environments such as those generated on the nuclear power plants or those that exist out of the Earth's atmosphere. Now, a growing interest to use concentrated alloys of this binary system on diverse fields of the materials science has been taking place because up to determined concentration of silicon, a regular eutectic is formed, and this fact opens the possibility to develop lamellar composite material by directional solidification. However, nowadays, there is a lack of fundamental knowledge about the behavior of this type of lamellar structure under aggressive environments, like those mentioned before. Hence, the task of this work is to evaluate the effect that has the irradiation over the microstructure of the concentrated alloy Ni22at%Si. The dendritic region of the hypereutectic alloy consists of an intermetallic phase Ni3Si, whereas the interdendritic region is formed by the alternation of lamellas of solid solution α-Ni and intermetallic phase Ni3Si. Such kind of microstructure has the advantage to get information of the DII over different phases individually, and at the same time, about of the microstructure influence over the global damage in the alloy. The hypereutectic Ni22at%Si alloy was irradiated perpendicularly to its surface, with 3.66 MeV - Ni ions up

  9. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  10. Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si

    International Nuclear Information System (INIS)

    Naureen, S; Shahid, N; Dev, A; Anand, S

    2013-01-01

    High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth. (paper)

  11. Fabrication of SiC fibers by pyrolysis of polycarbosilane precursor fibers by γ-ray irradiation under the open air atmosphere

    International Nuclear Information System (INIS)

    Li Yang; Xu Yunshu; Xiong Liangping; Xia Xiulong; Xu Guangliang

    2007-01-01

    Polycarbosilane (PCS) precursor fibers were irradiated by γ-ray under the open air atmosphere, and then the fibers were converted to SiC ceramics fibers in inert atmosphere at high temperature. The chemical structure, microstructure and pyrolysis characteristic were studied. The results show that the oxygen in air reacts with some Si-H bonds in the PCS, bridge structures of Si-C-Si and Si-O-Si are formed in the irradiated products. The PCS fibers at the dose of 0.5 MGy are infusible in the process of sintering, at the dose of 3.0 MGy the gel content and ceramic yield are 75% and 85.17% ,respectively. The open air atmosphere can effectively reduce the curing dose. (authors)

  12. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates.

    Science.gov (United States)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-11-21

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) "hot spots" created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10(-7) M and 10(-5) M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.

  13. Evaluation of the dark signal performance of different SiPM-technologies under irradiation with cold neutrons

    Energy Technology Data Exchange (ETDEWEB)

    Durini, Daniel, E-mail: d.durini@fz-juelich.de [Central Institute of Engineering, Electronics and Analytics ZEA-2 – Electronic Systems, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany); Degenhardt, Carsten; Rongen, Heinz [Central Institute of Engineering, Electronics and Analytics ZEA-2 – Electronic Systems, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany); Feoktystov, Artem [Jülich Centre for Neutron Science (JCNS) at Heinz Maier-Leibnitz Zentrum (MLZ), Forschungszentrum Jülich GmbH, Lichtenbergstr. 1, D-85748 Garching (Germany); Schlösser, Mario; Palomino-Razo, Alejandro [Central Institute of Engineering, Electronics and Analytics ZEA-2 – Electronic Systems, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany); Frielinghaus, Henrich [Jülich Centre for Neutron Science (JCNS) at Heinz Maier-Leibnitz Zentrum (MLZ), Forschungszentrum Jülich GmbH, Lichtenbergstr. 1, D-85748 Garching (Germany); Waasen, Stefan van [Central Institute of Engineering, Electronics and Analytics ZEA-2 – Electronic Systems, Forschungszentrum Jülich GmbH, D-52425 Jülich (Germany)

    2016-11-01

    In this paper we report the results of the assessment of changes in the dark signal delivered by three silicon photomultiplier (SiPM) detector arrays, fabricated by three different manufacturers, when irradiated with cold neutrons (wavelength λ{sub n}=5 Å or neutron energy of E{sub n}=3.27 meV) up to a neutron dose of 6×10{sup 12} n/cm{sup 2}. The dark signals as well as the breakdown voltages (V{sub br}) of the SiPM detectors were monitored during the irradiation. The system was characterized at room temperature. The analog SiPM detectors, with and without a 1 mm thick Cerium doped {sup 6}Li-glass scintillator material located in front of them, were operated using a bias voltage recommended by the respective manufacturer for a proper detector performance. I{sub out}-V{sub bias} measurements, used to determine the breakdown voltage of the devices, were repeated every 30 s during the first hour and every 300 s during the rest of the irradiation time. The digital SiPM detectors were held at the advised bias voltage between the respective breakdown voltage and dark count mappings repeated every 4 min. The measurements were performed on the KWS-1 instrument of the Heinz Maier-Leibnitz Zentrum (MLZ) in Garching, Germany. The two analog and one digital SiPM detector modules under investigation were respectively fabricated by SensL (Ireland), Hamamatsu Photonics (Japan), and Philips Digital Photon Counting (Germany).

  14. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  15. Positron annihilation in disordered regions in neutron-irradiated Ge and Si

    International Nuclear Information System (INIS)

    Pustovoit, A.K.; Konopleva, R.F.; Kupchishin, A.I.; Mukashev, K.M.

    1989-01-01

    The method of angular distribution of annihilation photons was used to investigate the formation and annealing of radiation defects in Ge and Si irradiated with reactor neutrons. These effects were studied as a function of the type of conduction of the dopant concentration. The nature of annealing demonstrated positron annihilation at multivacancy complexes located within disordered regions

  16. Metallographic analysis of irradiated U3Si2/Al fuel element plate of 2.96 gU/cm3 density

    International Nuclear Information System (INIS)

    Maman Kartaman Ajiriyanto; Aslina Br Ginting; Junaedi

    2018-01-01

    Metallographic analysis of U 3 Si 2 /Al fuel element plate has been performed in hot cell. The purpose of metallographic analysis is to study changes in PEB U 3 Si 2 /Al microstructure and AlMg 2 cladding thickness after irradiation in reactor until burn up of 56 %. The fuel element plate of irradiated U 3 Si 2 /Al was cut in top, middle and bottom positions with each size around 5 x 5 x 1.37 mm. Metallographic preparation starts from sample cutting using cutting machine with low speed and sample mounting, grinding and polishing in hot cell 104–105. Sample mounting was done by using resin for more than 10 hours followed by grinding with sand papers up to grit size of 2400 and polishing with diamond paste of size 3 to 1 micron at a rotational speed of 150 rpm for 5 minutes. Microstructure observation was performed with optical microscope in hot cell 107 at 200 times magnification. Microstructure examination reveals U 3 Si 2 particles with inverse forms and sizes, Al matrix and AlMg 2 cladding were spread along the U 3 Si 2 /Al side. Microstructure observation of irradiated U 3 Si 2 /Al has not shown good result because only topography observation of U 3 Si 2 /Al meat, Al matrix and AlMg 2 cladding can be done due to limited capability of the optical microscope in hot cell, where maximum magnification can be attained only at 200 times so that the phenomenon of interaction layer and small gas bubble can not be observed. However, U 3 Si 2 /Al microstructure of 56 % burnup, if compared to the microstructure of U 3 Si 2 /Al fuel element plate of 60 % burnup from previous researcher, shows interaction between U 3 Si 2 meat with Al matrix and the existence of layers with a thickness about 5 up to 20 microns. Meanwhile, the observed thickness of AlMg 2 cladding is greater than 0.25 mm, which indicates that irradiation does not significantly change the thickness of AlMg 2 cladding so that the overall irradiated U 3 Si 2 -Al still has good integrity and stability. (author)

  17. Functional BaTiO{sub 3} nanostructures immobilized onto si-based substrates using sol–gel and reverse micelle techniques

    Energy Technology Data Exchange (ETDEWEB)

    Mamana, Nadia, E-mail: nadia@fceia.unr.edu.ar; Pellegri, Nora [CONICET, FCEIyA, UNR, Laboratorio de Materiales Cerámicos IFIR (Argentina)

    2015-03-15

    The current tendency toward miniaturization of electronic devices has driven the interest in developing ferroelectric materials in low dimensions. In this work, for the preparation of lead-free BaTiO{sub 3} nanoparticles, we used a combination of the sol–gel method with the reverse micelles techniques. Moreover, previously to the thermal treatment, it was necessary to remove the surfactant. For this stage, oleic acid was used to stabilize the nanoparticles in the solution. Then, nanometer-sized particles were deposited on different substrates (Si, Pt/TiO{sub 2}/SiO{sub 2}/Si). The influence of different modes of deposition on particle size, degree of agglomeration, was analyzed. The mean particle size was 10 nm. Finally, the deposition of BaTiO{sub 3} particles on a conductive substrate such as the wafer of platinum (Pt/TiO{sub 2}/SiO{sub 2}/Si) was confirmed by several AFM techniques.

  18. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  19. Layer Dependence and Light Tuning Surface Potential of 2D MoS2 on Various Substrates.

    Science.gov (United States)

    Li, Feng; Qi, Junjie; Xu, Minxuan; Xiao, Jiankun; Xu, Yuliang; Zhang, Xiankun; Liu, Shuo; Zhang, Yue

    2017-04-01

    Here surface potential of chemical vapor deposition (CVD) grown 2D MoS 2 with various layers is reported, and the effect of adherent substrate and light illumination on surface potential of monolayer MoS 2 are investigated. The surface potential of MoS 2 on Si/SiO 2 substrate decreases from 4.93 to 4.84 eV with the increase in the number of layer from 1 to 4 or more. Especially, the surface potentials of monolayer MoS 2 are strongly dependent on its adherent substrate, which are determined to be 4.55, 4.88, 4.93, 5.10, and 5.50 eV on Ag, graphene, Si/SiO 2 , Au, and Pt substrates, respectively. Light irradiation is introduced to tuning the surface potential of monolayer MoS 2 , with the increase in light intensity, the surface potential of MoS 2 on Si/SiO 2 substrate decreases from 4.93 to 4.74 eV, while increases from 5.50 to 5.56 eV on Pt substrate. The I-V curves on vertical of monolayer MoS 2 /Pt heterojunction show the decrease in current with the increase of light intensity, and Schottky barrier height at MoS 2 /Pt junctions increases from 0.302 to 0.342 eV. The changed surface potential can be explained by trapped charges on surface, photoinduced carriers, charge transfer, and local electric field. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Hierarchical 3C-SiC nanowires as stable photocatalyst for organic dye degradation under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Judong; Chen, Jianjun; Xin, Lipeng; Wang, Mingming

    2014-01-01

    Graphical abstract: The photocatalytic performance was enhanced by hierarchical nanostructural SiC nanowires due to the increased specific surface areas and efficient incident light scattering. The positive effect of SiO 2 layer growth on the surface of nanowires during the catalytic process on the high decolorization efficiency of SiC nanowires was attributed to SiO 2 surface oxygen vacancies. -- Highlights: • High decolorization rate of methylene blue using hierarchical 3C-SiC nanowires was obtained. • The effect of methylene blue with different concentration to catalytic result was investigated. • The photocatalytic reaction mechanism of degrading methylene blue was explained. • The SiO 2 layer generating on nanowire surface in the catalytic process was analyzed. -- Abstract: 3C-SiC nanowires with hierarchical structure were synthesized by sol–gel carbothermal reduction method. The photocatalytic property of SiC nanowires was investigated. 3C-SiC hierarchical nanowires exhibited an enhanced photocatalytic activity by accelerating the photocatalytic degradation of methylene blue solution under visible light irradiation. Methylene blue was degraded efficiently after 5 h irradiation over the photocatalyst. The photocatalytic activity was affected by the initial concentration of the methylene blue solution. Silicon dioxide layer was observed on the surface of nanowires after the catalytic process. The positive effect of SiO 2 surface oxygen vacancies and 3C-SiC hierarchical nanostructures on the high decolorization efficiency of SiC nanowires was discussed. The detailed photocatalytic redox processes were also explained

  1. The improvement of fermentation activity of yeast and mould by gamma irradiation on irradiated sago and onggok substrates

    International Nuclear Information System (INIS)

    Sjarief, Sri Hariani; Roselawati, M.L.

    1995-01-01

    For the purpose of increasing the product of fermentation and enzyme activity produced by microorganism, an experiment has been carried out using irradiated yeast and mould for fermenting irradiated sago (Metroxylon sago) and tapioca waste (onggok). Sago and onggok starches were irradiated with gamma-rays with a dose of 25 kGy. Onggok starch was irradiated on dried and wet conditions. Local isolated yeast and mould (R. oryza e) were irradiated in suspension with doses of 0.4 and 4 kGy. The measurement of enzymes activities such as amylase, AMG, cellulase and protease, and the fermentation products i.e. glucose and reductase d glucose were carried out for analyzing the influence of radiation on the fermentation process. The fermentation using yeast and onggok substrate produced glucose 28 % higher than sago. On the contrary, the fermentation of sago increased the production of reductase glucose by 7.3% higher than that of onggok. At the end of experiment, on the 14th. however, the activity of cellulase enzyme produced by irradiated mould in the fermentation with dried and wet onggok increase 13 to 15 times higher than the activity enzyme produced by the control. In a similar way fermentation of irradiated dry onggok produced amylase 57.9% higher than that on irradiated wet onggok. (author), 16 refs., 2 figs

  2. Thermochemical instability effects in SiC-based fibers and SiC{sub f}/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Henager, C.H.; Jones, R.H. [Pacific Northwest National Laboratory, Richland, WA (United States)

    1997-08-01

    Thermochemical instability in irradiated SiC-based fibers with an amorphous silicon oxycarbide phase leads to shrinkage and mass loss. SiC{sub f}/SiC composites made with these fibers also exhibit mass loss as well as severe mechanical property degradation when irradiated at 800{degrees}C, a temperature much below the generally accepted 1100{degrees}C threshold for thermomechanical degradation alone. The mass loss is due to an internal oxidation mechanism within these fibers which likely degrades the carbon interphase as well as the fibers in SiC{sub f}/SiC composites even in so-called {open_quotes}inert{close_quotes} gas environments. Furthermore, the mechanism must be accelerated by the irradiation environment.

  3. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  4. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang, E-mail: zanghang@xjtu.edu.cn [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Liu, Wenbo [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J. [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Li, Tao; He, Chaohui; Yun, Di [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Zhiguang [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-15

    Highlights: • He and Kr cavities are formed in ion-implanted and 1600 °C annealed 3C-SiC. • A higher vacancy concentration leads to formation of cavities with a smaller size and higher density. • Presence of He in irradiated 3C-SiC can significantly promote cavity growth. • Small voids are formed in Kr ion penetrated 3C-SiC during thermal annealing at 1600 °C. • Local Kr migration and trapping at cavities in SiC are observed, but long-range Kr diffusion does not occur at 1600 °C. - Abstract: Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750 °C with 120 keV He{sup 2+} and 4 MeV Kr{sup 15+} ions to 10{sup 17} and 4 × 10{sup 16} cm{sup −2}, respectively. The Kr{sup 15+} ions penetrated the entire depth region of the He{sup 2+} ion implantation. Three areas of He{sup 2+}, Kr{sup 15+} and He{sup 2+} + Kr{sup 15+} ion implanted SiC were created through masked overlapping irradiation. The sample was subsequently annealed at 1600 °C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive X-ray spectroscopy. Compared to the He{sup 2+} ion only implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promotes cavity growth; much smaller voids are formed in the Kr{sup 15+} ion only irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occurs, but long-range Kr diffusion in SiC is not observed up to 1600 °C.

  5. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  6. Characterization of light element impurities in ultrathin silicon-on-insulator layers by luminescence activation using electron irradiation

    International Nuclear Information System (INIS)

    Nakagawa-Toyota, Satoko; Tajima, Michio; Hirose, Kazuyuki; Ohshima, Takeshi; Itoh, Hisayoshi

    2009-01-01

    We analyzed light element impurities in ultrathin top Si layers of silicon-on-insulator (SOI) wafers by luminescence activation using electron irradiation. Photoluminescence (PL) analysis under ultraviolet (UV) light excitation was performed on various commercial SOI wafers after the irradiation. We detected the C-line related to a complex of interstitial carbon and oxygen impurities and the G-line related to a complex of interstitial and substitutional carbon impurities in the top Si layer with a thickness down to 62 nm after electron irradiation. We showed that there were differences in the impurity concentration depending on the wafer fabrication methods and also that there were variations in these concentrations in the respective wafers. Xenon ion implantation was used to activate top Si layers selectively so that we could confirm that the PL signal under the UV light excitation comes not from substrates but from top Si layers. The present method is a very promising tool to evaluate the light element impurities in top Si layers. (author)

  7. Investigation of γ-radiation defect formation at the Si-SiO2 interface

    International Nuclear Information System (INIS)

    Zaynabidinov, S.; Yulchiev, Sh.; Aliev, R.

    2004-01-01

    Full text: In work the results of an experimental research of process radiating defects formation on border are submitted undressed Si-SiO 2 at γ-radiation of the silicon MOS structures. As against similar researches the basic attention is given on the analysis of generation-recombination characteristics of structures, that allowed to establish character of the defects formation both on border undressed Si-SiO 2 , and in about border of Si. In experiments the structures received by thermal oxidation at T=1000 deg. C in environment of dry oxygen n-Si substrates with specific resistance ρ=0.3 Ω·cm are used. The thickness of oxygen layer made ∼0.1 μm. The test MOS-structures with an aluminium electrode and area ∼0.01 cm 2 irradiated with γ-quanta from the 60 Co source by a dose of 10 6 rad. The choice of a dose of an radiation is caused by that at such dose the essential increase of concentration of superficial defects is observed, and at the same time there are no significant changes of parameters of a substrate because of formation of point defects in volume of silicon. The generation characteristics of structures such, as speed of superficial generation s and time of life τ g of carriers of a charge in about surface before and after an radiation defined by a method isothermal relaxation of nonequilibrium high-frequency capacity. The relaxation of nonequilibrium capacity registered at submission on translating structure in a condition of deeper inversion. Such mode of measurement allows to neglect the contribution which is brought in recharged of superficial condition in superficial generation currents. Are received relaxation dependence of structures before and after an radiation, and also spectra of distribution of density of superficial condition on width of the forbidden zone Si dN ss /dE. The increase at 12-15 of time of concentration of superficial condition with E=E c -(0.18±0.03) eV in the irradiated structures is established. Such condition is

  8. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  9. Preparation of U-Si/U-Me (Me = Fe, Ni, Mn) aluminum-dispersion plate-type fuel (miniplates) for capsule irradiation

    International Nuclear Information System (INIS)

    Ugajin, Mitsuhiro; Itoh, Akinori; Akabori, Mitsuo

    1993-06-01

    Details of equipment installed, method adopted and final products were described on the preparation of uranium silicides and other fuels for capsule irradiation. Main emphasis was placed on the preparation of laboratory-scale aluminum-dispersion plate-type fuel (miniplates) loaded to the first and second JMTR silicide capsules. Fuels contained in the capsules are as follows: (A) uranium-silicide base alloys U 3 Si 2 , Mo- added U 3 Si 2 , U 3 Si 2 +U 3 Si, U 3 Si 2 +USi, U 3 Si, U 3 (Si 0.8 Ge 0.2 ), U 3 (Si 0.6 Ge 0.4 ) (B) U 6 Me-type alloys with higher uranium density U 6 Mn, U 6 Ni, U 6 (Fe 0.4 Ni 0.6 ), U 6 (Fe 0.6 Mn 0.4 ) The powder-metallurgical picture-frame method was adopted and laboratory-scale technique was established for the preparation of miniplates. As a result of inspection for capsule irradiation, miniplates were prepared to meet the requirements of specification. (author)

  10. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    Science.gov (United States)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  11. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  12. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  13. Increase in the fraction of necrotic, not apoptotic, cells in SiHa xenograft tumours shortly after irradiation

    International Nuclear Information System (INIS)

    Olive, P.L.; Vikse, C.M.; Vanderbyl, S.

    1999-01-01

    Background and purpose: Approximately 18% of the cells recovered by rapid mechanical dissociation of SiHa xenograft tumours contain large numbers of DNA strand breaks. The number of damaged cells increases to 30-40% 4-6 h after exposure to 5 or 15 Gy, returning to normal levels by 12 h. This observation is reminiscent of the rate of production of apoptotic cells in other murine and human xenograft tumours. The nature of this damage, rate of development and relation to cell proliferation rate were therefore examined in detail.Materials and methods: SiHa human cervical carcinoma cells were grown as xenograft tumours in SCID mice. Single-cell suspensions were prepared as a function of time after irradiation of the mouse and examined for DNA damage using the alkaline comet assay. Cell cycle progression was measured by flow cytometry evaluation of anti-bromodeoxyuridine-labelled tumour cells.Results: Significant numbers of apoptotic cells could not be detected in irradiated SiHa tumours using an end-labelling assay, electron microscopy, or histological examination of thin sections. Instead, xenograft cells exhibiting extensive DNA damage in the comet assay were predominantly necrotic cells. The increase in the proportion of heavily damaged cells 4-6 h after irradiation could be the result of an interplay between several factors including loss of viable cells and change in production or loss of necrotic cells. Analysis of the progression of BrdUrd-labelled cells confirmed that while 35% of cells from untreated SiHa tumours had divided and entered G 1 phase by 6 h after BrdUrd injection, none of the labelled cells from tumours exposed to 5 or 15 Gy had progressed to G 1 .Conclusions: The increase in the percentage of SiHa tumour cells with extensive DNA damage 4-6 h after irradiation is attributable to necrosis, not apoptosis. Cell cycle progression and cell loss are likely to influence the kinetics of appearance of both apoptotic and necrotic cells in irradiated tumours

  14. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  15. Characterization of the porous anodic alumina nanostructures with a metal interlayer on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw

    2014-04-15

    Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were

  16. Raman Spectroscopic Study of As-Deposited and Exfoliated Defected Graphene Grown on (001 Si Substrates by CVD

    Directory of Open Access Journals (Sweden)

    T. I. Milenov

    2017-01-01

    Full Text Available We present here results on a Raman spectroscopic study of the deposited defected graphene on Si substrates by chemical vapor deposition (thermal decomposition of acetone. The graphene films are not deposited on the (001 Si substrate directly but on two types of interlayers of mixed phases unintentionally deposited on the substrates: а diamond-like carbon (designated here as DLC and amorphous carbon (designated here as αC are dominated ones. The performed thorough Raman spectroscopic study of as-deposited as well as exfoliated specimens by two different techniques using different excitation wavelengths (488, 514, and 613 nm as well as polarized Raman spectroscopy establishes that the composition of the designated DLC layers varies with depth: the initial layers on the Si substrate consist of DLC, nanodiamond species, and C70 fullerenes while the upper ones are dominated by DLC with an occasional presence of C70 fullerenes. The αC interlayer is dominated by turbostratic graphite and contains a larger quantity of C70 than the DLC-designated interlayers. The results of polarized and unpolarized Raman spectroscopic studies of as-grown and exfoliated graphene films tend to assume that single- to three-layered defected graphene is deposited on the interlayers. It can be concluded that the observed slight upshift of the 2D band as well as the broadening of 2D band should be related to the strain and doping.

  17. Formation of light-emitting nanostructures in layers of stoichiometric SiO2 irradiated with swift heavy ions

    International Nuclear Information System (INIS)

    Kachurin, G. A.; Cherkova, S. G.; Skuratov, V. A.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.

    2011-01-01

    Thermally grown SiO 2 layers have been irradiated with 700-MeV Bi ions with doses of (3–10) × 10 12 cm −2 . It is found that, even after a dose of 3 × 10 12 cm −2 , a photoluminescence band in the region of 600 nm appears. Its intensity levels off at a dose of ∼5 × 10 12 cm −2 . The nature of the emission centers is studied by the methods of infrared transmission, Raman scattering, X-ray photoelectron spectroscopy, ellipsometry, and the reaction to passivating low-temperature anneals. It is established that irradiation brings about a decrease in the number of Si-O bonds with a relevant increase in the Si-Si bonds. It is assumed that the photoluminescence is caused by nanostructures containing an excess Si and/or having a deficit of O. The reaction of reduction of SiO 2 proceeds in ion tracks due to high levels of ionization and heating within these tracks. The dose dependence is used to estimate the diameter of a track at 8–9 nm.

  18. Thermal and Microstructure Characterization of Zn-Al-Si Alloys and Chemical Reaction with Cu Substrate During Spreading

    Science.gov (United States)

    Berent, Katarzyna; Pstruś, Janusz; Gancarz, Tomasz

    2016-08-01

    The problems associated with the corrosion of aluminum connections, the low mechanical properties of Al/Cu connections, and the introduction of EU directives have forced the potential of new materials to be investigated. Alloys based on eutectic Zn-Al are proposed, because they have a higher melting temperature (381 °C), good corrosion resistance, and high mechanical strength. The Zn-Al-Si cast alloys were characterized using differential scanning calorimetry (DSC) measurements, which were performed to determine the melting temperatures of the alloys. Thermal linear expansion and electrical resistivity measurements were performed at temperature ranges of -50 to 250 °C and 25 to 300 °C, respectively. The addition of Si to eutectic Zn-Al alloys not only limits the growth of phases at the interface of liquid solder and Cu substrate but also raises the mechanical properties of the solder. Spreading test on Cu substrate using eutectic Zn-Al alloys with 0.5, 1.0, 3.0, and 5.0 wt.% of Si was studied using the sessile drop method in the presence of QJ201 flux. Spreading tests were performed with contact times of 1, 8, 15, 30, and 60 min, and at temperatures of 475, 500, 525, and 550 °C. After cleaning the flux residue from solidified samples, the spreadability of Zn-Al-Si on Cu was determined. Selected, solidified solder/substrate couples were cross-sectioned, and the interfacial microstructures were studied using scanning electron microscopy and energy dispersive x-ray spectroscopy. The growth of the intermetallic phase layer was studied at the solder/substrate interface, and the activation energy of growth of Cu5Zn8, CuZn4, and CuZn phases were determined.

  19. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  20. Preliminary calculations of stress change of fuel pin using SiC/SiC composites for GFR with changing of thermal conductivity degradation by irradiation

    International Nuclear Information System (INIS)

    Lee, J. K.; Naganuma, M.

    2006-01-01

    Gas cooled Fast Reactor (GFR) is being researched as a candidate concept of Generation IV international Forum. As a main feature of GFR, it should be maintained high temperature and pressure of coolant gas for heat transfer efficiency. Such a demanding environment requires high-temperature-resistant structural materials distinguished from traditional steel material. Consequently, ceramics are promising candidate material of core components. Especially, Silicon Carbide fiber reinforced Silicon Carbide composites (SiC/SiC) have encouraging characteristics such as refractoriness, low activation and toughness. Application of new material to core components must be explained by the viewpoint of engineering validity. Therefore, present study surveyed that current report for mechanical strength and thermal conductivity of SiC/SiC composites. According to the reports, neutron irradiation environment degraded mechanical properties of SiC/SiC composites. To confirm applicability to core components, model of fuel pin using SiC/SiC composites was assumed with feasible mechanical properties. Furthermore, it was calculated and estimated that the stress caused by temperature variation of inner and outer side of assumed model of cladding tube. Stress was calculated by changing of input date such as thickness of cladding tube, temperature variation, thermal conductivity and linear power. In the range of this study, the most important factor was identified as degradation of thermal conductivity by irradiation. It caused a significant stress and limited a geometrical design of fuel pin. It was discussed that the differences of heat transfer between isotropic and anisotropic materials like a metal and composites. These results should be helpful not only to determine a design factor of core component but also to indicate an improvement direction of SiC/SiC composites. Through these work, reliability and safety of GFR will be increased

  1. (In)GaSb/AlGaSb quantum wells grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2007-01-01

    We have successfully grown GaSb and InGaSb quantum wells (QW) on a Si(001) substrate, and evaluated their optical properties using photoluminescence (PL). The PL emissions from the QWs at room temperature were observed at around 1.55 μm, which is suitable for fiber optic communications systems. The measured ground state energy of each QW matched well with the theoretical value calculated by solving the Schroedinger equation for a finite potential QW. The temperature dependence of the PL intensity showed large activation energy (∼ 77.6 meV) from QW. The results indicated that the fabricated QW structure had a high crystalline quality, and the GaSb QW on Si for optical devices operating at temperatures higher than room temperature will be expected

  2. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  3. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  4. Charge collection in Si detectors irradiated in situ at superfluid helium temperature

    Energy Technology Data Exchange (ETDEWEB)

    Verbitskaya, Elena, E-mail: elena.verbitskaya@cern.ch [Ioffe Institute, 26 Politekhnicheskaya str., St. Petersburg 194021 (Russian Federation); Eremin, Vladimir; Zabrodskii, Andrei [Ioffe Institute, 26 Politekhnicheskaya str., St. Petersburg 194021 (Russian Federation); Dehning, Bernd; Kurfürst, Christoph; Sapinski, Mariusz; Bartosik, Marcin R. [CERN, CH-1211, Geneva 23 (Switzerland); Egorov, Nicolai [Research Institute of Material Science and Technology, 4 Passage 4806, Moscow, Zelenograd 124460 (Russian Federation); Härkönen, Jaakko [Helsinki Institute of Physics, P.O.Box 64 (Gustaf Hallströmin katu 2) FI-00014 University of Helsinki (Finland)

    2015-10-01

    Silicon and diamond detectors operated in a superfluid helium bath are currently being considered for the upgrade of the LHC beam loss monitoring system. The detectors would be installed in immediate proximity of the superconducting coils of the triplet magnets. We present here the results of the in situ irradiation test for silicon detectors using 23 GeV protons while keeping the detectors at a temperature of 1.9 K. Red laser (630 nm) Transient Current Technique and DC current measurements were used to study the pulse response and collected charge for silicon detectors irradiated to a maximum radiation fluence of 1×10{sup 16} p/cm{sup 2}. The dependence between collected charge and irradiation fluence was parameterized using the Hecht equation and assumption of a uniform electric field distribution. The collected charge was found to degrade with particle fluence for both bias polarities. We observed that the main factor responsible for this degradation was related to trapping of holes on the donor-type radiation-induced defects. In contrast to expectations, along with formation of donors, acceptor-type defects (electron traps) are introduced into the silicon bulk. This suggests that the current models describing charge collection in irradiated silicon detectors require an extension for taking into account trapping at low temperatures with a contribution of shallow levels. New in situ irradiation tests are needed and planned now to extend statistics of the results and gain a deeper insight into the physics of low temperature detector operation in harsh radiation environment. - Highlights: • Si detectors irradiated in situ at 1.9 K by 23 GeV protons are further studied. • Trapping parameters are derived from the fits of collected charge vs. fluence data. • Acceptor-type defects are likely to be induced along with donor-type ones. • Trapping of holes has a dominating effect on the collected charge degradation. • New tests are planned to gain deeper insight

  5. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  6. Suppression of dewetting phenomena during excimer laser melting of thin metal films on SiO2

    International Nuclear Information System (INIS)

    Kline, J.E.; Leonard, J.P.

    2005-01-01

    Pulsed excimer laser irradiation has been used to fully melt 200 nm films of elemental Au and Ni on SiO 2 substrates. With the use of a capping layer of SiO 2 and line irradiation via projection optics, the typical liquid-phase dewetting processes associated with these metals on SiO 2 has been suppressed. In a series of experiments varying line widths and fluence, a process region is revealed immediately above the complete melting threshold for which the films remain continuous and smooth after melting and resolidification. Simple energetic arguments for mechanisms leading to initiation of dewetting support these observations, and a gas-mediated model is proposed to describe the process conditions that are necessary for the suppression of dewetting

  7. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  8. The effect of composition on the formation of light-emitting Si nanostructures in SiO{sub x} layers on irradiation with swift heavy ions

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G. A., E-mail: kachurin@isp.nsc.ru; Cherkova, S. G.; Marin, D. V.; Kesler, V. G. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Skuratov, V. A. [Joint Institute for Nuclear Research (Russian Federation); Cherkov, A. G. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2011-03-15

    The SiO{sub x} layers different in composition (0 < x < 2) are irradiated with Xe ions with the energy 167 MeV and the dose 10{sup 14} cm{sup -2} to stimulate the formation of light-emitting Si nanostructures. The irradiation gives rise to a photoluminescence band with the parameters dependent on x. As the Si content is increased, the photoluminescence is first enhanced, with the peak remaining arranged near the wavelength {lambda} Almost-Equal-To 600 nm, and then the peak shifts to {lambda} Almost-Equal-To 800 nm. It is concluded that the emission sources are quantum-confined nanoprecipitates formed by disproportionation of SiO{sub x} in ion tracks due to profound ionization losses. Changes in the photoluminescence spectrum with increasing x are attributed firstly to the increase in the probability of formation of nanoprecipitates and then to the increase in their dimensions; the latter effect is accompanied with a shift of the emission band to longer wavelengths. The subsequent quenching of photoluminescence is interpreted as a result of the removal of quantum confinement in nanoprecipitates and their coagulation.

  9. Effect of Xe ion (167 MeV) irradiation on polycrystalline SiC implanted with Kr and Xe at room temperature

    International Nuclear Information System (INIS)

    Hlatshwayo, T T; Kuhudzai, R J; Njoroge, E G; Malherbe, J B; O’Connell, J H; Skuratov, V A; Msimanga, M

    2015-01-01

    The effect of swift heavy ion (Xe 167 MeV) irradiation on polycrystalline SiC individually implanted with 360 keV Kr and Xe ions at room temperature to fluences of 2  ×  10 16 cm −2 and 1  ×  10 16 cm −2 respectively, was investigated using transmission electron microscopy (TEM), Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Implanted specimens were each irradiated with 167 MeV Xe +26 ions to a fluence of 8.3  ×  10 14 cm −2 at room temperature. It was observed that implantation of 360 keV Kr and Xe ions individually at room temperature amorphized the SiC from the surface up to a depth of 186 and 219 nm respectively. Swift heavy ion (SHI) irradiation reduced the amorphous layer by about 27 nm and 30 nm for the Kr and Xe samples respectively. Interestingly, the reduction in the amorphous layer was accompanied by the appearance of randomly oriented nanocrystals in the former amorphous layers after SHI irradiation in both samples. Previously, no similar nanocrystals were observed after SHI irradiations at electron stopping powers of 33 keV nm −1 and 20 keV nm −1 to fluences below 10 14 cm −2 . Therefore, our results suggest a fluence threshold for the formation of nanocrystals in the initial amorphous SiC after SHI irradiation. Raman results also indicated some annealing of radiation damage after swift heavy ion irradiation and the subsequent formation of small SiC crystals in the amorphous layers. No diffusion of implanted Kr and Xe was observed after swift heavy ion irradiation. (paper)

  10. A study of the substrate surface chemical states at the interface TiN/Si by X-Ray Photoelectron Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Antunes, Vinicius Gabriel; Alvarez, Fernando, E-mail: vi.antunes@gmail.com [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Figueroa, Carlos Alejandro [Universidade de Caxias do Sul, RS (Brazil)

    2016-07-01

    Full text: Titanium-based thin films are used for a great number of applications, such as hard coating in cutting tools, catalyst diffusion barriers, and in microelectronic devices. Although the understanding of the film adhesion mechanisms onto different substrates continue being a challenge and its atomic bonding properties are not fully understood. Furthermore, as the interface determines many characteristics of the final film by prompting the bulk properties of the grown material, a detailed study of the first atomic layers is an interesting route to gain physical inside on the adhesion properties of the coating. Also, it is important to remark that the presence of residual oxygen in standards deposition chambers is sometime unavoidable and its influence on the films properties is important to be taking in account. In this work the influence of the chemical state of the silicon surface at the interface TiN/Si have been studied. In order to this, a few atomic layers of TiN were deposited on mirror polished c-Si by ion beam deposition (IBD) sputtering of a pure Ti target in a nitrogen atmosphere during 5s (T=350C). In this conditions, a 3Å average thickness, as estimated by the material deposition rate, is obtained. The local electronic structure at the interface TiN/Si was scrutinized by XPS (X-ray photoelectron spectroscopy, 1485.6eV) in a UHV chamber attached to the IBD system, i.e the studied samples are free from atmospheric contamination. The study indicates the presence of Ti-Si, Ti-O, Si-O, Ti-N and Si-N bonds at the TiN/Si interface, where the oxygen stems from the residual chamber pressure. The nano-structuration of the Si substrate surface by noble gas ion bombardment during the pre-cleaning procedure of the substrate and its influence on the bonding structures at the TiN/Si interface will be also presented and discussed. Finally, the oxygen reduction effect obtained by introducing H2 on the interface structure is presented and discussed. (author)

  11. Raman and time resolved photoluminescence studies on the effect of temperature on disorder production in SHI irradiated N-doped 6H-SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Sivaji, K., E-mail: sivaji.krishnan@yahoo.com [Materials Science Centre, Department of Nuclear Physics, University of Madras, Guindy Campus, Chennai 600025 (India); Viswanathan, E. [Materials Science Centre, Department of Nuclear Physics, University of Madras, Guindy Campus, Chennai 600025 (India); Selvakumar, S. [Materials Science Centre, Department of Nuclear Physics, University of Madras, Guindy Campus, Chennai 600025 (India); University of Tsukuba Tandem Accelerator Complex, University of Tsukuba, Tennodai 1-1-1, Ibaraki 305-8577 (Japan); Sankar, S. [Department of Physics, MIT Campus, Anna University, Chennai 600044 (India); Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India)

    2014-02-25

    Highlights: • N doped SiC were irradiated with 150 MeV Ag{sup 12+} (1 × 10{sup 12} to 5 × 10{sup 13} ions/cm{sup 2}). • Local disorder are analyzed by studying the LO Raman mode of the irradiated sample. • The TRPL studies provided evidence of the formation of radiative centers at 80 K. -- Abstract: In this report, the effect of disorder accumulation in Swift Heavy Ion (SHI) irradiated 6H-SiC is distinguished with respect to the irradiation temperature, viz., 80 K and 300 K. The samples were irradiated with 150 MeV Ag{sup 12+} ions with different fluences ranging from 1 × 10{sup 12} to 5 × 10{sup 13} ions/cm{sup 2}. The structural and optical properties of N-doped 6H-SiC in its pristine condition and after SHI irradiation have been studied. The changes observed by Raman spectroscopy and Time resolved photoluminescence (TRPL) spectroscopy were ascribed to the disorder accumulation in 6H-SiC. The local disorder has been analyzed by studying the LO Raman mode of the irradiated sample in comparison to the pristine sample. The TRPL studies have provided evidence of the formation of radiative centers after irradiation at 80 K.

  12. Structural properties of layers of HgCdTe, grown by the laser epitaxy method on silicon substrates

    International Nuclear Information System (INIS)

    Plyatsko, S.V.; Vergush, M.M.; Litvin, P.M.; Kozirjev, Yu.M.; Shevlyakov, S.A.

    2001-01-01

    Thin films (0.1-1.5 μm) of HgCdTe on substrates Si (100) and Si (111) from monocrystal and pressed sources Hg 1-x Cd x Te (x=0.22) sprayed by laser IR radiation were grown and are investigated. The concentration of macro defects (drops) on the surface of films is determined by the relation of the diameter of a laser beam and depth of the crater, formed by laser irradiation. The size of crystal grains almost does not depend on the temperature of a substrate and power densities of a laser radiation and increases with the thickness of a layer

  13. Damage profiles and ion distribution in Pt-irradiated SiC

    Energy Technology Data Exchange (ETDEWEB)

    Xue, H.Z. [Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Zhang, Y., E-mail: Zhangy1@ornl.gov [Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Zhu, Z. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, WA 99352 (United States); Zhang, W.M. [Department of Radiation Therapy, Peking University First Hospital, Beijing 100034 (China); Bae, I.-T. [Small Scale Systems Integration and Packaging Center, State University of New York at Binghamton, P.O. Box 6000, Binghamton, NY 13902 (United States); Weber, W.J. [Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States)

    2012-09-01

    Single crystalline 6H-SiC samples were irradiated at 150 K with 2 MeV Pt ions. The local volume swelling was determined by electron energy loss spectroscopy (EELS), and a nearly sigmoidal dependence on irradiation dose is observed. The disorder profiles and ion distribution were determined by Rutherford backscattering spectrometry (RBS), transmission electron microscopy, and secondary ion mass spectrometry. Since the volume swelling reaches 12% over the damage region at high ion fluence, the effect of lattice expansion is considered and corrected for in the analysis of RBS spectra to obtain depth profiles. Projectile and damage profiles are estimated by SRIM (Stopping and Range of Ions in Matter). When compared with the measured profiles, the SRIM code predictions of ion distribution and the damage profiles are underestimated due to significant overestimation of the electronic stopping power for the slow heavy Pt ions. By utilizing the reciprocity method, which is based on the invariance of the inelastic energy loss in ion-solid collisions against interchange of projectile and target atom, a much lower electronic stopping power is deduced. A simple approach, based on reducing the density of SiC target in SRIM simulation, is proposed to compensate the overestimated SRIM electronic stopping power values, which results in improved agreement between predicted and measured damage profiles and ion ranges.

  14. Effect of high-intensity ultrasonic irradiation on the modification of solidification microstructure in a Si-rich hypoeutectic Al-Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A., E-mail: A.Das@swansea.ac.uk [Materials Research Centre, School of Engineering, Swansea University, Singleton Park, Swansea, SA2 8PP (United Kingdom); Kotadia, H.R. [Brunel Centre for Advanced Solidification Technology, Brunel University, Uxbridge, UB8 3PH (United Kingdom)

    2011-02-15

    Effect of high-intensity ultrasound irradiation in modifying complex solidification microstructure is explored in a high Si containing Al-Si alloy and the origin of microstructural changes explained on the basis of nucleation and growth behaviour. Complete suppression of dendritic growth and dramatic refinement to globular morphology were observed for primary {alpha}-Al grains. Strong supportive evidence is presented towards enhanced and prolonged heterogeneous nucleation triggered by cavitation induced increase in the equilibrium melting point and effective dissipation of latent heat at the solidification front. Morphological evolution of eutectic Si and intermetallic particles is found to be dominated by coarsening and spherodisation from strong fluid flow in areas of intense cavitation near the ultrasonic radiator. Outside the region of direct energy transfer, Si particle morphology appears to be controlled predominantly by the imposed cooling conditions. Extremely fine and short Si-platelets observed in the intergranular spaces near the radiator are explained on the basis of probable rapid cooling of final liquid pockets of small volume and large surface area, rather than refinement through ultrasound.

  15. First-principles investigation on the composition of Ni-Si precipitates formed in irradiated stainless steels

    Science.gov (United States)

    Chen, Dongyue; Murakami, Kenta; Dohi, Kenji; Nishida, Kenji; Ohnuma, Toshiharu; Soneda, Naoki; Li, Zhengcao; Liu, Li; Sekimura, Naoto

    2017-10-01

    Recent atom probe tomography (APT) study has revealed the complicated nature of Ni-Si precipitates in irradiated stainless steels. Although Ni3Si γ‧ phase has been confirmed under transmission electron microscopy (TEM), the Ni/Si ratio of the precipitates detected by APT is smaller than its theoretical value 3. An interpretation of the APT results is provided in this work by considering the lattice defects in the Ni3Si γ‧ phase. Using first principles calculations, Si substitutions on Ni sites were found to be the most thermodynamically stable among all the single defects considered here. Although two such substitutional defects are repulsive to each other, the repulsion decreases quickly as their separation distance grows. By keeping a large enough distance between each other, multiple Si substitutions can appear at high densities in the γ‧ phase, which can be one important contributor to the small Ni/Si atom ratio in Ni-Si precipitates observed by APT.

  16. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  17. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  18. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  19. Tailoring Si(100) substrate surfaces for GaP growth by Ga deposition: A low-energy electron microscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Rienäcker, Michael; Borkenhagen, Benjamin, E-mail: b.borkenhagen@pe.tu-clausthal.de; Lilienkamp, Gerhard; Daum, Winfried [TU Clausthal, Institut für Energieforschung und Physikalische Technologien, Leibnizstraße 4, D-38678 Clausthal-Zellerfeld (Germany)

    2015-08-07

    For GaP-on-Si(100) heteroepitaxy, currently considered as a model system for monolithic integration of III–V semiconductors on Si(100), the surface steps of Si(100) have a major impact on the quality of the GaP film. Monoatomic steps cause antiphase domains in GaP with detrimental electronic properties. A viable route is to grow the III–V epilayer on single-domain Si(100) with biatomic steps, but preferably not at the expense of reduced terrace widths introduced by miscut substrates. We have performed in situ investigations of the influence of Ga deposition on the kinetics of surface steps and terraces of Si(100) at substrate temperatures above 600 °C by low-energy electron microscopy. Starting from nearly equally distributed T{sub A} and T{sub B} terraces of a two-domain Si(100) surface, submonolayer deposition of Ga results in a transformation into a surface dominated by T{sub A} terraces and biatomic D{sub A} steps. This transformation is reversible, and Si(100) with monoatomic steps is recovered upon termination of the Ga flux. Under conditions of higher coverages (but still below 0.25 monolayer), we observe restructuring into a surface with T{sub B} dominance, similar to the findings of Hara et al. [J. Appl. Phys. 98, 083515 (2005)]. The occurrence and mutual transformations of surface structures with different terrace and step structures in a narrow range of temperatures and Ga deposition rates is discussed.

  20. Influence of He-ion irradiation on the characteristics of Pd/n-Si{sub 0.90}Ge{sub 0.10}/Si Schottky contacts

    Energy Technology Data Exchange (ETDEWEB)

    Mamor, M; Sellai, A; Bouziane, K; Harthi, S H Al; Busaidi, M Al; Gard, F S [Physics Department, Sultan Qaboos University, PO Box 36 Muscat 123, Sultanate of (Oman)

    2007-03-07

    Current-voltage (I-V) and capacitance-voltage (C-V) characteristics of He-ion irradiated Pd/n-Si{sub 09}Ge{sub 0.10} Schottky contacts have been measured in the temperature range from 100 to 300 K. Schottky barrier properties such as the Schottky barrier height ({phi}{sub bn}) and ideality factor (n) have been studied as a function of temperature. The degree to which their characteristics deviated from the ideal case increased as the temperature decreased. A decrease in {phi}{sub bn} and an increase in n with decreasing temperature are observed. Additionally, linear dependence between the so-called temperature factor T{sub 0} and temperature as well as between {phi}{sub bn} and n are shown. This type of strong temperature dependence indicates the presence of a large degree of lateral inhomogeneities of the barrier height, resulting from the He-ion irradiation induced defects and traps which produce a variation in the number of free carriers. The presence of electrically active defects introduced by He-ion irradiation at and below the Si{sub 0.90}Ge{sub 0.10} surface support this interpretation.

  1. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  2. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  3. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  4. Superconductivity in irradiated A-15 compounds at low fluences. I. Neutron-irradiated V3Si

    International Nuclear Information System (INIS)

    Viswanathan, R.; Caton, R.; Pande, C.S.

    1978-01-01

    The behavior of the superconducting transition temperature T/sub c/ of single-crystal and polycrystalline V 3 Si was investigated as a function of low-fluence neutron irradiation. It is found that the initial degradation of T/sub c/ is sample-dependent, some specimens showing no degradation in T/sub c/ up to a fluence of 2 x 10 18 n/cm 2 . This and many other earlier observations on low-fluence behavior are explained in terms of a recently proposed model of radiation damage in A-15 compounds

  5. In situ and ex situ characterization of the ion-irradiation effects in third generation SiC fibers

    International Nuclear Information System (INIS)

    Huguet-Garcia, Juan

    2015-01-01

    The use of third generation SiC fibers, Tyranno SA3 (TSA3) and Hi Nicalon S (HNS), as reinforcement for ceramic composites for nuclear applications requires the characterization of its structural stability and mechanical behavior under irradiation. Regarding the radiation stability, ion-amorphization kinetics of these fibers have been studied and compared to the model material, i.e. 6H-SiC single crystals, with no significant differences. For all samples, full amorphization threshold dose yields ∼0.4 dpa at room temperature and complete amorphization was not achieved for irradiation temperatures over 200 C. Successively, ion-amorphized samples have been thermally annealed. It is reported that thermal annealing at high temperatures not only induces the recrystallization of the ion-amorphized samples but also causes unrecoverable mechanical failure, i.e. cracking and delamination. Cracking is reported to be a thermally driven phenomenon characterized by activation energy of 1.05 eV. Regarding the mechanical irradiation behavior, irradiation creep of TSA3 fibers has been investigated using a tensile device dedicated to in situ tests coupled to two different ion-irradiation lines. It is reported that ion irradiation (12 MeV C 4+ and 92 MeV Xe 23+ ) induces a time-dependent strain under loads where thermal creep is negligible. In addition, irradiation strain is reported to be higher at low irradiation temperatures due to a coupling between irradiation swelling and irradiation creep. At high temperatures, near 1000 C, irradiation swelling is minimized hence allowing the characterization of the irradiation creep. Irradiation creep rate is characterized by a linear correlation between the ion flux and the strain rate and a square root dependence with the applied load. Finally, it has been reported that the higher the electronic energy loss contribution to the stopping regime the higher the irradiation creep of the fiber. (author) [fr

  6. In-situ fabrication of MoSi2/SiC–Mo2C gradient anti-oxidation coating on Mo substrate and the crucial effect of Mo2C barrier layer at high temperature

    International Nuclear Information System (INIS)

    Liu, Jun; Gong, Qianming; Shao, Yang; Zhuang, Daming; Liang, Ji

    2014-01-01

    MoSi 2 /SiC–Mo 2 C gradient coating on molybdenum was in situ prepared with pack cementation process by two steps: (1) carburizing with graphite powder to obtain a Mo 2 C layer on Mo substrate, and (2) siliconizing with Si powder to get a composite MoSi 2 /SiC layer on the upper part of Mo 2 C layer. The microstructure and elemental distribution in the coating were investigated with scanning electron microscopy (SEM), backscattered electron (BSE), energy dispersive spectroscopy (EDS), electron probe microanalysis (EPMA) and X-ray diffraction (XRD). Cyclic oxidation tests (at 500 °C, 1200 °C, 1400 °C and 1600 °C) demonstrated excellent oxidation resistance for the gradient composite coating and the mass loss was only 0.23% in 60 min at 1600 °C. XRD, EPMA, thermal dynamic and phase diagram analyses indicated that the Mo 2 C barrier layer played the key role in slowing down the diffusion of C and Si toward inner Mo substrate at high temperature and principally this contributed to the excellent anti-oxidation for Mo besides the outer MoSi 2 /SiC composite layer.

  7. Field-emission property of self-purification SiC/SiOx coaxial nanowires synthesized via direct microwave irradiation using iron-containing catalyst

    Science.gov (United States)

    Zhou, Qing; Yu, Yongzhi; Huang, Shan; Meng, Jiang; Wang, Jigang

    2017-07-01

    SiC/SiOx coaxial nanowires were rapidly synthesized via direct microwave irradiation in low vacuum atmosphere. During the preparation process, only graphite, silicon, silicon dioxide powders were used as raw materials and iron-containing substance was employed as catalyst. Comprehensive characterizations were employed to investigate the microstructure of the products. The results showed that a great quantity of coaxial nanowires with uniform sizes and high aspect ratio had been successfully achieved. The coaxial nanowires consist of a silicon oxide (SiOx) shell and a β-phase silicon carbide (β-SiC) core that exhibited in special tube brush like. In additional, nearly all the products were achieved in the statement of pure SiC/SiOx coaxial nanowires without the existence of metallic catalyst, indicating that the self-removal of iron (Fe) catalyst should be occurred during the synthesis process. Photoluminescence (PL) spectral analysis result indicated that such novel SiC/SiOx coaxial nanowires exhibited significant blue-shift. Besides, the measurement results of field-emission (FE) demonstrated that the SiC/SiOx coaxial nanowires had ultralow turn-on field and threshold field with values of 0.2 and 2.1 V/μm, respectively. The hetero-junction structure formed between SiOx shell and SiC core, lots of emission sites, as well as clear tips of the nanowires were applied to explain the excellent FE properties.[Figure not available: see fulltext.

  8. White light photoluminescence from ZnS films on porous Si substrates

    International Nuclear Information System (INIS)

    Wang Caifeng; Li Weibing; Li Qingshan; Hu Bo

    2010-01-01

    ZnS films were deposited on porous Si (PS) substrates using a pulsed laser deposition (PLD) technique. White light emission is observed in photoluminescence (PL) spectra, and the white light is the combination of blue and green emission from ZnS and red emission from PS. The white PL spectra are broad, intense in a visible band ranging from 450 to 700 nm. The effects of the excitation wavelength, growth temperature of ZnS films, PS porosity and annealing temperature on the PL spectra of ZnS/PS were also investigated. (semiconductor materials)

  9. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  10. Investigations on the structural and optical properties of the swift heavy ion irradiated 6H-SiC

    International Nuclear Information System (INIS)

    Viswanathan, E.; Katharria, Y.S.; Selvakumar, S.; Arulchakkaravarthi, A.; Kanjilal, D.; Sivaji, K.

    2011-01-01

    Research highlights: → We have reported the structural and optical properties of SHI irradiated 6H-SiC. → The change in Raman modes evidences the disorder accumulation with respect to ion fluence. → The disorder also causes the modification in the optical properties. → The time resolved photoluminescence reflects multiple lifetimes due to the degenerate defects states. → The study also reveals the presence of partial amorphous region due to SHI irradiation. -- Abstract: Single crystal 6H-SiC wafers have been irradiated with 150 MeV Ag 12+ ions with fluences ranging from 1 x 10 11 to 1 x 10 13 ions/cm 2 at 300 K. The defect accumulation as a function of fluence was studied to determine changes in structural and optical properties. The variation in the fundamental Raman modes of the crystalline 6H-SiC due to irradiation has been correlated with the disorder accumulation. The creation of defect states due to irradiation in the bandgap affects the blue-green photoluminescence emission in the irradiated samples. The UV-Visible absorption studies support the existence of defect states in the bandgap which is observed by the shift in the absorption edge towards the lower energy side with increasing fluence. Time Correlated Single Photon Counting photoluminescence decay results suggest that the existing defect states are radiative, exhibiting three lifetimes when irradiated with a fluence 5 x 10 11 ions/cm 2 . The total number of lifetime components was reduced for a fluence 1 x 10 13 ions/cm 2 as the defect states produced increase the non-radiative defect centres. These results suggest that the accumulation of defects due to irradiation at fluences 5 x 10 11 and 1 x 10 13 ions/cm 2 are degenerate configurations which exhibit multiple lifetimes in photoluminescence studies. It is inferred that the optically active defect states influence the transition rate of charge carriers in this device material.

  11. Investigations on the structural and optical properties of the swift heavy ion irradiated 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, E. [Materials Science Centre, Department of Nuclear Physics, University of Madras, Guindy Campus, Chennai 600025 (India); Katharria, Y.S. [Laboratoire de Physique des Interactions Ioniques et Moleculaires, Equipe Plasma-Surface, case 241, 13397 Marseille Cedex 20 (France); Selvakumar, S. [Materials Science Centre, Department of Nuclear Physics, University of Madras, Guindy Campus, Chennai 600025 (India); Arulchakkaravarthi, A. [Sinmat Inc., 2153 SE Hawthorne Rd., Gainesville Fl-32641 (United States); Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India); Sivaji, K., E-mail: sivaji.krishnan@yahoo.co [Materials Science Centre, Department of Nuclear Physics, University of Madras, Guindy Campus, Chennai 600025 (India)

    2011-05-15

    Research highlights: {yields} We have reported the structural and optical properties of SHI irradiated 6H-SiC. {yields} The change in Raman modes evidences the disorder accumulation with respect to ion fluence. {yields} The disorder also causes the modification in the optical properties. {yields} The time resolved photoluminescence reflects multiple lifetimes due to the degenerate defects states. {yields} The study also reveals the presence of partial amorphous region due to SHI irradiation. -- Abstract: Single crystal 6H-SiC wafers have been irradiated with 150 MeV Ag{sup 12+} ions with fluences ranging from 1 x 10{sup 11} to 1 x 10{sup 13} ions/cm{sup 2} at 300 K. The defect accumulation as a function of fluence was studied to determine changes in structural and optical properties. The variation in the fundamental Raman modes of the crystalline 6H-SiC due to irradiation has been correlated with the disorder accumulation. The creation of defect states due to irradiation in the bandgap affects the blue-green photoluminescence emission in the irradiated samples. The UV-Visible absorption studies support the existence of defect states in the bandgap which is observed by the shift in the absorption edge towards the lower energy side with increasing fluence. Time Correlated Single Photon Counting photoluminescence decay results suggest that the existing defect states are radiative, exhibiting three lifetimes when irradiated with a fluence 5 x 10{sup 11} ions/cm{sup 2}. The total number of lifetime components was reduced for a fluence 1 x 10{sup 13} ions/cm{sup 2} as the defect states produced increase the non-radiative defect centres. These results suggest that the accumulation of defects due to irradiation at fluences 5 x 10{sup 11} and 1 x 10{sup 13} ions/cm{sup 2} are degenerate configurations which exhibit multiple lifetimes in photoluminescence studies. It is inferred that the optically active defect states influence the transition rate of charge carriers in this

  12. Strong band edge luminescence from InN films grown on Si substrates by electron cyclotron resonance-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yodo, Tokuo; Yona, Hiroaki; Ando, Hironori; Nosei, Daiki; Harada, Yoshiyuki

    2002-01-01

    We observed strong band edge luminescence at 8.5-200 K from 200-880 nm thick InN films grown on 10 nm thick InN buffer layers on Si(001) and Si(111) substrates by electron cyclotron resonance-assisted molecular beam epitaxy. The InN film on the Si(001) substrate exhibited strong band edge photoluminescence (PL) emission at 1.814 eV at 8.5 K, tentatively assigned as donor to acceptor pair [DAP (α-InN)] emission from wurtzite-InN (α-InN) crystal grains, while those on Si(111) showed other stronger band edge PL emissions at 1.880, 2.081 and 2.156 eV, tentatively assigned as donor bound exciton [D 0 X(α-InN)] from α-InN grains, DAP (β-InN) and D 0 X (β-InN) emissions from zinc blende-InN (β-InN) grains, respectively

  13. Formation of light-emitting nanostructures in layers of stoichiometric SiO{sub 2} irradiated with swift heavy ions

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G. A., E-mail: kachurin@isp.nsc.ru; Cherkova, S. G. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics (Russian Federation); Skuratov, V. A. [Joint Institute for Nuclear Research (Russian Federation); Marin, D. V.; Kesler, V. G.; Volodin, V. A. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics (Russian Federation)

    2011-10-15

    Thermally grown SiO{sub 2} layers have been irradiated with 700-MeV Bi ions with doses of (3-10) Multiplication-Sign 10{sup 12} cm{sup -2}. It is found that, even after a dose of 3 Multiplication-Sign 10{sup 12} cm{sup -2}, a photoluminescence band in the region of 600 nm appears. Its intensity levels off at a dose of {approx}5 Multiplication-Sign 10{sup 12} cm{sup -2}. The nature of the emission centers is studied by the methods of infrared transmission, Raman scattering, X-ray photoelectron spectroscopy, ellipsometry, and the reaction to passivating low-temperature anneals. It is established that irradiation brings about a decrease in the number of Si-O bonds with a relevant increase in the Si-Si bonds. It is assumed that the photoluminescence is caused by nanostructures containing an excess Si and/or having a deficit of O. The reaction of reduction of SiO{sub 2} proceeds in ion tracks due to high levels of ionization and heating within these tracks. The dose dependence is used to estimate the diameter of a track at 8-9 nm.

  14. Pulsed EPR study of low-dose irradiation effects in L-alanine crystals irradiated with γ-rays, Ne and Si ion beams

    International Nuclear Information System (INIS)

    Rakvin, B.; Maltar-Strmecki, N.; Nakagawa, K.

    2007-01-01

    Low-dose irradiation effects in L-alanine single crystals irradiated with γ-rays, Ne and Si ion beams have been investigated by means of a two-pulse electron spin echo (ESE) technique. An effective phase memory time, T M , was measured from the first stable L-alanine radical, SAR1, and its complex relaxation mechanism is discussed. Both spectral and instantaneous diffusion contributions to the total effective relaxation rate have been extrapolated through the detection of the two-pulse ESE signal as a function of turning angle. The local microscopic concentration of paramagnetic centers C(ions)/C(γ-ray) for low-dose heavy-ion irradiation has been deduced from the corresponding spin-spin interaction

  15. A deep-level transient spectroscopy study of gamma-ray irradiation on the passivation properties of silicon nitride layer on silicon

    Science.gov (United States)

    Dong, Peng; Yu, Xuegong; Ma, Yao; Xie, Meng; Li, Yun; Huang, Chunlai; Li, Mo; Dai, Gang; Zhang, Jian

    2017-08-01

    Plasma-enhanced chemical vapor deposited silicon nitride (SiNx) films are extensively used as passivation material in the solar cell industry. Such SiNx passivation layers are the most sensitive part to gamma-ray irradiation in solar cells. In this work, deep-level transient spectroscopy has been applied to analyse the influence of gamma-ray irradiation on the passivation properties of SiNx layer on silicon. It is shown that the effective carrier lifetime decreases with the irradiation dose. At the same time, the interface state density is significantly increased after irradiation, and its energy distribution is broadened and shifts deeper with respect to the conduction band edge, which makes the interface states becoming more efficient recombination centers for carriers. Besides, C-V characteristics show a progressive negative shift with increasing dose, indicating the generation of effective positive charges in SiNx films. Such positive charges are beneficial for shielding holes from the n-type silicon substrates, i. e. the field-effect passivation. However, based on the reduced carrier lifetime after irradiation, it can be inferred that the irradiation induced interface defects play a dominant role over the trapped positive charges, and therefore lead to the degradation of passivation properties of SiNx on silicon.

  16. Solvent-free thermoplastic-poly(dimethylsiloxane) bonding mediated by UV irradiation followed by gas-phase chemical deposition of an adhesion linker

    Science.gov (United States)

    Ahn, S. Y.; Lee, N. Y.

    2015-07-01

    Here, we introduce a solvent-free strategy for bonding various thermoplastic substrates with poly(dimethylsiloxane) (PDMS) using ultraviolet (UV) irradiation followed by the gas-phase chemical deposition of aminosilane on the UV-irradiated thermoplastic substrates. The thermoplastic substrates were first irradiated with UV for surface hydrophilic treatment and were then grafted with vacuum-evaporated aminosilane, where the alkoxysilane side reacted with the oxidized surface of the thermoplastic substrate. Next, the amine-terminated thermoplastic substrates were treated with corona discharge to oxidize the surface and were bonded with PDMS, which was also oxidized via corona discharge. The two substrates were then hermetically sealed and pressed under atmospheric pressure for 30 min at 60 °C. This process enabled the formation of a robust siloxane bond (Si-O-Si) between the thermoplastic substrate and PDMS under relatively mild conditions using an inexpensive and commercially available UV lamp and Tesla coil. Various thermoplastic substrates were examined for bonding with PDMS, including poly(methylmethacrylate) (PMMA), polycarbonate (PC), poly(ethyleneterephthalate) (PET) and polystyrene (PS). Surface characterizations were performed by measuring the contact angle and performing x-ray photoelectron spectroscopy analysis, and the bond strength was analyzed by conducting various mechanical force measurements such as pull, delamination, leak and burst tests. The average bond strengths for the PMMA-PDMS, PC-PDMS, PET-PDMS and PS-PDMS assemblies were measured at 823.6, 379.3, 291.2 and 229.0 kPa, respectively, confirming the highly reliable performance of the introduced bonding strategy.

  17. Composition dependences of crystal structure and electrical properties of epitaxial Pb(Zr,Ti)O3 films grown on Si and SrTiO3 substrates

    Science.gov (United States)

    Okamoto, Shoji; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2016-10-01

    {100}-oriented Pb(Zr x ,Ti1- x )O3 (PZT) thin films of approximately 2 µm thickness and Zr/(Zr + Ti) ratios of 0.39-0.65 were epitaxially grown on (100)cSrRuO3//(100)SrTiO3 (STO) and (100)cSrRuO3//(100)cLaNiO3//(100)CeO2//(100)YSZ//(100)Si (Si) substrates having different thermal expansion coefficients by pulsed metal-organic chemical vapor deposition (MOCVD). The effects of Zr/(Zr + Ti) ratio and type of substrate on the crystal structure and dielectric, ferroelectric and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that both films changed from having a tetragonal symmetry to rhombohedral symmetry through the coexisting region with increasing Zr/(Zr + Ti) ratio. This region showed the Zr/(Zr + Ti) ratios of 0.45-0.59 for the films on the STO substrates that were wider than the films on the Si substrates. Saturation polarization values were minimum at approximately Zr/(Zr + Ti) = 0.50 for the films on the STO substrates, and no obvious Zr/(Zr + Ti) ratio dependence was detected in the films on the Si substrates. On the other hand, the maximum field-induced strain values measured by scanning force microscopy at approximately Zr/(Zr + Ti) = 0.50 at 100 kV/cm were about 0.5 and 0.1% in the films on the Si and STO, respectively.

  18. Silicon isotope separation utilizing infrared multiphoton dissociation of Si{sub 2}F{sub 6} irradiated with two-color CO{sub 2} laser light

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Atsushi; Ohba, Hironori; Hashimoto, Masashi [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; Ishii, Takeshi; Ohya, Akio [Nuclear Development Corp., Tokai, Ibaraki (Japan); Arai, Shigeyoshi [Hill Research Co. Ltd., Tokyo (Japan)

    2002-08-01

    Silicon isotope separation has been done by utilizing the Infrared Multiphoton Dissociation (IRMPD) of Si{sub 2}F{sub 6} irradiated with two-color CO{sub 2} laser lights. The two-color excitation method improved the separation efficiency keeping the high enrichment factors. For example, 99.74% of {sup 28}Si was obtained at 49.63% dissociation of Si{sub 2}F{sub 6} after the simultaneous irradiation of 200 pulses with 966.23 cm{sup -1} photons (0.084 J/cm{sup 2}) and 954.55 cm{sup -1} photons (0.658 J/cm{sup 2}), while 2000 pulses were needed to obtain 99.35% of {sup 28}Si at 35.6% dissociation in the case of only one-color irradiation at 954.55 cm{sup -1} (0.97 J/cm{sup 2}). (author)

  19. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    Science.gov (United States)

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  20. Characterization of LWRS Hybrid SiC-CMC-Zircaloy-4 Fuel Cladding after Gamma Irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen

    2012-09-01

    The purpose of the gamma irradiation tests conducted at the Idaho National Laboratory (INL) was to obtain a better understanding of chemical interactions and potential changes in microstructural properties of a mock-up hybrid nuclear fuel cladding rodlet design (unfueled) in a simulated PWR water environment under irradiation conditions. The hybrid fuel rodlet design is being investigated under the Light Water Reactor Sustainability (LWRS) program for further development and testing of one of the possible advanced LWR nuclear fuel cladding designs. The gamma irradiation tests were performed in preparation for neutron irradiation tests planned for a silicon carbide (SiC) ceramic matrix composite (CMC) zircaloy-4 (Zr-4) hybrid fuel rodlet that may be tested in the INL Advanced Test Reactor (ATR) if the design is selected for further development and testing

  1. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  2. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  3. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  4. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  5. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  6. Vacancy effects on the formation of helium and krypton cavities in 3-C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang; Liu, Wenbo; Li, Tao; He, Chaohui; Yun, Di; Jiang, Weilin; Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J.; Wang, Zhiguang

    2017-02-27

    Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750°C with 120 keV He2+ and 4 MeV Kr15+ ions to 1017 and 41016 cm-2, respectively. The Kr15+ ions penetrated the entire depth of the He2+ ion implantation region. Three areas of He2+, Kr15+ and He2+ + Kr15+ ion implanted 3C-SiC were created through masked overlapping irradiations. The sample was subsequently annealed at 1600°C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive x-ray spectroscopy. Compared to the He2+ ion only implanted 3C-SiC, helium cavities in the He2+ and Kr15+ co-implanted 3C-SiC had a smaller size but higher density. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promoted cavity growth; much smaller voids were formed in the Kr15+ ion only irradiated 3C-SiC at the same dose. In addition, local Kr migration and trapping at cavities occurred, but long-range Kr diffusion in 3C-SiC was not observed up to 1600°C.

  7. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  8. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valladares, L. de los Santos, E-mail: ld301@cam.ac.uk [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Dominguez, A. Bustamante [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Llandro, J.; Holmes, S. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Quispe, O. Avalos [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Langford, R. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Laboratório de Supercondutividade e Materiais Avançados, Departamento de Física, Universidade Federal de Pernambuco, 50670-901, Recife (Brazil); Barnes, C.H.W. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom)

    2014-10-15

    Highlights: • Annealing promotes outgassing of SiO{sub 2}/Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO{sub 2} islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO{sub 2}/Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10{sup 8}, respectively. Over an area of 22 × 10{sup −3} cm{sup 2} the density of bubbles obtained at slow annealing (9 × 10{sup 3} cm{sup −2}) is smaller than that at rapid annealing (6.4 × 10{sup 4} cm{sup −2}), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as

  9. Failure behavior of ITO diffusion barrier between electroplating Cu and Si substrate annealed in a low vacuum

    International Nuclear Information System (INIS)

    Hsieh, S.H.; Chien, C.M.; Liu, W.L.; Chen, W.J.

    2009-01-01

    A structure of Cu/ITO(10 nm)/Si was first formed and then annealed at various temperatures for 5 min in a rapid thermal annealing furnace under 10 -2 Torr pressure. In Cu/ITO(10 nm)/Si structure, the ITO(10 nm) film was coated on Si substrate by sputtering process and the Cu film was deposited on ITO film by electroplating technique. The various Cu/ITO(10 nm)/Si samples were characterized by a four-point probe, a scanning electron microscope, an X-ray diffractometer, and a transmission electron microscope. The results showed that when the annealing temperature increases near 600 deg. C the interface between Cu and ITO becomes unstable, and the Cu 3 Si particles begin to form; and when the annealing temperature increases to 650 deg. C, a good many of Cu 3 Si particles about 1 μm in size form and the sheet resistance of Cu/ITO(10 nm)/Si structure largely increases.

  10. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang; Jiang, Weilin; Liu, Wenbo; Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J.; Li, Tao; He, Chaohui; Yun, Di; Wang, Zhiguang

    2016-12-01

    Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750°C with 120 keV He2+ and 4 MeV Kr15+ ions to E21 and 4E20 ions/m2 with profiles of the implanted species peaked at 450 and 1500 nm, respectively. The masked overlapping irradiation created three study areas of He2+, Kr15+ and He2+ + Kr15+ implanted SiC. The doses at the depth of the peak He concentration in He2+ and He2+ + Kr15+ implanted SiC correspond to 4 and 25 dpa. The sample was subsequently annealed at 1600°C for 3 h in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive x-ray spectroscopy. Compared to the He2+ implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, He presence in the co-implanted 3C-SiC significantly promotes He cavity growth, as contrasted to the smaller voids formed without He in the Kr15+ irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occur, but long-range Kr diffusion in SiC is not observed up to 1600°C.

  11. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  13. Spreading and solidification behavior of molten Si droplets impinging on substrates

    International Nuclear Information System (INIS)

    Nagashio, K.; Murata, H.; Kuribayashi, K.

    2004-01-01

    This paper focuses on an effect of initial undercoolings on the spreading and solidification behavior of Si dropped on a silicon wafer, which was directly observed through it by the infrared imaging system. For an overheated droplet, the melt spreading occurred first and solidified later. The final splat shape was a typical disc. On the other hand, for a droplet with large initial undercooling, the solidification took place at the faster rate than the melt spreading, which resulted in a spherical shape of final splat. It is indicated that the final shape is considerably affected by the initial undercooling in the measurable-scale experiment with large droplets (∼mm size) and low impingement rates (∼m/s order). Moreover, equiaxed grains were found throughout the quenched surface by an electron backscatter pattern analysis. That is, the microstructure formation was nucleation-controlled since the growth parallel to the substrate was suppressed by the time-dependent contact of melt/substrate governed by the melt deformation

  14. Variation of carrier concentration and interface trap density in 8MeV electron irradiated c-Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bhat, Sathyanarayana, E-mail: asharao76@gmail.com; Rao, Asha, E-mail: asharao76@gmail.com [Department of Physics, Mangalore Institute of Technology and Engineering, Moodabidri, Mangalore-574225 (India); Krishnan, Sheeja [Department of Physics, Sri Devi Institute of Technology, Kenjar, Mangalore-574142 (India); Sanjeev, Ganesh [Microtron Centre, Department of Physics, Mangalore University, Mangalagangothri-574199 (India); Suresh, E. P. [Solar Panel Division, ISRO Satellite Centre, Bangalore-560017 (India)

    2014-04-24

    The capacitance and conductance measurements were carried out for c-Si solar cells, irradiated with 8 MeV electrons with doses ranging from 5kGy – 100kGy in order to investigate the anomalous degradation of the cells in the radiation harsh environments. Capacitance – Voltage measurements indicate that there is a slight reduction in the carrier concentration upon electron irradiation due to the creation of radiation induced defects. The conductance measurement results reveal that the interface state densities and the trap time constant increases with electron dose due to displacement damages in c-Si solar cells.

  15. Direct writing Eu3+-doped Ba2TiSi2O8 crystalline pattern by femtosecond laser irradiation

    International Nuclear Information System (INIS)

    Zhu Bin; Dai Ye; Ma Hongliang; Zhang Songmin; Qiu Jianrong

    2008-01-01

    A femtosecond laser with 800 nm, 250 kHz and 150 fs has been used to irradiate Eu 3+ -doped BaO-TiO 2 -SiO 2 glasses. It is found from micro-Raman spectra and optical microscope that crystalline dots and lines are formed around the focal point of the femtosecond laser beam. Both blue emission at 400 nm due to the second harmonic generation and red emission due to the transitions of Eu 3+ are observed from the irradiation region with the precipitation of Ba 2 TiSi 2 O 8 crystal. The mechanism of the observed phenomenon is discussed

  16. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  17. Behaviour of total surface charge in SiO2-Si system under short-pulsed ultraviolet irradiation cycles characterised by surface photo voltage technique

    International Nuclear Information System (INIS)

    Kang, Ban-Hong; Lee, Wah-Pheng; Yow, Ho-Kwang; Tou, Teck-Yong

    2009-01-01

    Effects of time-accumulated ultraviolet (UV) irradiation and surface treatment on thermally oxidized p-type silicon wafers were investigated by using the surface photo voltage (SPV) technique via the direct measurement of the total surface charge, Q SC . The rise and fall times of Q sc curves, as a function of accumulated UV irradiation, depended on the thermal oxide thickness. A simple model was proposed to explain the time-varying characteristics of Q sc based on the UV-induced bond breaking of SiOH and SiH, and photoemission of bulk electrons to wafer surface where O 2 - charges were formed. While these mechanisms resulted in charge variations and hence in Q sc , these could be removed by rinsing the silicon wafers in de-ionized water followed by spin-dry or blow-dry by an ionizer fan. Empirical parameters were used in the model simulations and curve-fitting of Q SC . The simulated results suggested that initial changes in the characteristic behaviour of Q sc were mainly due to the net changes in the positive and negative charges, but subsequently were dominated by the accumulation of O 2 - during the UV irradiation.

  18. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  19. Uncertainty of rotating shadowband irradiometers and Si-pyranometers including the spectral irradiance error

    Science.gov (United States)

    Wilbert, Stefan; Kleindiek, Stefan; Nouri, Bijan; Geuder, Norbert; Habte, Aron; Schwandt, Marko; Vignola, Frank

    2016-05-01

    Concentrating solar power projects require accurate direct normal irradiance (DNI) data including uncertainty specifications for plant layout and cost calculations. Ground measured data are necessary to obtain the required level of accuracy and are often obtained with Rotating Shadowband Irradiometers (RSI) that use photodiode pyranometers and correction functions to account for systematic effects. The uncertainty of Si-pyranometers has been investigated, but so far basically empirical studies were published or decisive uncertainty influences had to be estimated based on experience in analytical studies. One of the most crucial estimated influences is the spectral irradiance error because Si-photodiode-pyranometers only detect visible and color infrared radiation and have a spectral response that varies strongly within this wavelength interval. Furthermore, analytic studies did not discuss the role of correction functions and the uncertainty introduced by imperfect shading. In order to further improve the bankability of RSI and Si-pyranometer data, a detailed uncertainty analysis following the Guide to the Expression of Uncertainty in Measurement (GUM) has been carried out. The study defines a method for the derivation of the spectral error and spectral uncertainties and presents quantitative values of the spectral and overall uncertainties. Data from the PSA station in southern Spain was selected for the analysis. Average standard uncertainties for corrected 10 min data of 2 % for global horizontal irradiance (GHI), and 2.9 % for DNI (for GHI and DNI over 300 W/m²) were found for the 2012 yearly dataset when separate GHI and DHI calibration constants were used. Also the uncertainty in 1 min resolution was analyzed. The effect of correction functions is significant. The uncertainties found in this study are consistent with results of previous empirical studies.

  20. In-reactor behaviour of centrifugally atomized U3Si dispersion fuel irradiated at high temperature in HANARO

    International Nuclear Information System (INIS)

    Kim, Ki Hwan; Park, Jong Man; Yoo, Byeong Ok; Park, Dae Kyu; Lee, Choong Sung; Kim, Chang Kyu

    2002-01-01

    The irradiation test on full-size U 3 Si dispersion fuel elements, prepared by centrifugal atomization and conventional comminution method, has been performed up to about 77 at.% U-235 in maximum burn-up at CT hole position having the highest power condition in the HANARO reactor, in order to examine the irradiation performance of the atomized U 3 Si for the driver fuels of HANARO. The in-reactor interaction of the atomized U 3 Si dispersion fuel meats is generally assumed to be acceptable with the range of 5-15 μm in average thickness. The atomized spherical particles have more uniform and thinner reaction layer than the comminuted irregular particles. The U 3 Si particles have relatively fine and uniform size distribution of fission gas bubbles, irrespective of the powdering method. The bubble population in the atomized particles appears to be finer and more homogeneous with the characteristics of narrower bubble size distribution than that of the comminuted fuel. The atomized U 3 Si dispersion fuel elements exhibit sound swelling behaviours of 5 % in ΔV/V m even at ∼77 at.% U-235 burn-up, which meets with the safety criterion of the fuel rod, 20vol.% for HANARO. The atomized U3Si dispersion fuel elements show smaller swelling than the comminuted fuel elements