WorldWideScience

Sample records for si substrate surface

  1. Ag/SiO2 surface-enhanced Raman scattering substrate for plasticizer detection

    Science.gov (United States)

    Wu, Ming-Chung; Lin, Ming-Pin; Lin, Ting-Han; Su, Wei-Fang

    2018-04-01

    In this study, we demonstrated a simple method of fabricating a high-performance surface-enhanced Raman scattering (SERS) substrate. Monodispersive SiO2 colloidal spheres were self-assembled on a silicon wafer, and then a silver layer was coated on it to obtain a Ag/SiO2 SERS substrate. The Ag/SiO2 SERS substrates were used to detect three kinds of plasticizer with different concentrations, namely, including bis(2-ethylhexyl)phthalate (DEHP), benzyl butyl phthalate (BBP), and dibutyl phthalate (DBP). The enhancement of Raman scattering intensity caused by surface plasmon resonance can be observed using the Ag/SiO2 SERS substrates. The Ag/SiO2 SERS substrate with a 150-nm-thick silver layer can detect plasticizers, and it satisfies the detection limit of plasticizers at 100 ppm. The developed highly sensitive Ag/SiO2 SERS substrates show a potential for the design and fabrication of functional sensors to identify the harmful plasticizers that plastic products release in daily life.

  2. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  3. Silver-coated Si nanograss as highly sensitive surface-enhanced Raman spectroscopy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Jing; Kuo, Huei Pei; Hu, Min; Li, Zhiyong; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Ou, Fung Suong [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Rice University, Department of Applied Physics, Houston, TX (United States); Stickle, William F. [Hewlett-Packard Company, Advanced Diagnostic Lab, Corvallis, OR (United States)

    2009-09-15

    We created novel surface-enhanced Raman spectroscopy (SERS) substrates by metalization (Ag) of Si nanograss prepared by a Bosch process which involves deep reactive ion etching of single crystalline silicon. No template or lithography was needed for making the Si nanograss, thus providing a simple and inexpensive method to achieve highly sensitive large-area SERS substrates. The dependence of the SERS effect on the thickness of the metal deposition and on the surface morphology and topology of the substrate prior to metal deposition was studied in order to optimize the SERS signals. We observed that the Ag-coated Si nanograss can achieve uniform SERS enhancement over large area ({proportional_to}1 cm x 1 cm) with an average EF (enhancement factor) of 4.2 x 10{sup 8} for 4-mercaptophenol probe molecules. (orig.)

  4. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    Science.gov (United States)

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  5. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  6. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  7. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  8. Highly active surface-enhanced Raman scattering (SERS) substrates based on gold nanoparticles infiltrated into SiO{sub 2} inverse opals

    Energy Technology Data Exchange (ETDEWEB)

    Ankudze, Bright; Philip, Anish [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Pakkanen, Tuula T., E-mail: Tuula.Pakkanen@uef.fi [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Matikainen, Antti; Vahimaa, Pasi [Institute of Photonics, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland)

    2016-11-30

    Highlights: • SERS substrates prepared by infiltration of nanoparticles into SiO{sub 2} inverse opal. • The SERS substrate gives an enhancement factor of 10{sup 7} for 4-aminothiophenol. • The sensitivity of the substrate is mainly attributed to gold nanoparticle clusters. - Abstract: SiO{sub 2} inverse opal (IO) films with embedded gold nanoparticles (AuNPs) for surface-enhanced Raman scattering (SERS) application are reported. SiO{sub 2} IO films were loaded with AuNPs by a simple infiltration in a single cycle to form Au-SiO{sub 2} IOs. The optical property and the morphology of the Au-SiO{sub 2} IO substrates were characterized; it was observed that they retained the Bragg diffraction of SiO{sub 2} IO and the localized surface plasmon resonance (LSPR) of AuNPs. The SERS property of the Au-SiO{sub 2} IO substrates were studied with methylene blue (MB) and 4-aminothiophenol (4-ATP). The SERS enhancement factors were 10{sup 7} and 10{sup 6} for 4-ATP and MB, respectively. A low detection limit of 10{sup −10} M for 4-ATP was also obtained with the Au-SiO{sub 2} IO substrate. A relative standard deviation of 18.5% for the Raman signals intensity at 1077 cm{sup −1} for 4-ATP shows that the Au-SiO{sub 2} IO substrates have good signal reproducibility. The results of this study indicate that the Au-SiO{sub 2} IO substrates can be used in sensing and SERS applications.

  9. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  10. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  11. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  12. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  13. Tailoring Si(100) substrate surfaces for GaP growth by Ga deposition: A low-energy electron microscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Rienäcker, Michael; Borkenhagen, Benjamin, E-mail: b.borkenhagen@pe.tu-clausthal.de; Lilienkamp, Gerhard; Daum, Winfried [TU Clausthal, Institut für Energieforschung und Physikalische Technologien, Leibnizstraße 4, D-38678 Clausthal-Zellerfeld (Germany)

    2015-08-07

    For GaP-on-Si(100) heteroepitaxy, currently considered as a model system for monolithic integration of III–V semiconductors on Si(100), the surface steps of Si(100) have a major impact on the quality of the GaP film. Monoatomic steps cause antiphase domains in GaP with detrimental electronic properties. A viable route is to grow the III–V epilayer on single-domain Si(100) with biatomic steps, but preferably not at the expense of reduced terrace widths introduced by miscut substrates. We have performed in situ investigations of the influence of Ga deposition on the kinetics of surface steps and terraces of Si(100) at substrate temperatures above 600 °C by low-energy electron microscopy. Starting from nearly equally distributed T{sub A} and T{sub B} terraces of a two-domain Si(100) surface, submonolayer deposition of Ga results in a transformation into a surface dominated by T{sub A} terraces and biatomic D{sub A} steps. This transformation is reversible, and Si(100) with monoatomic steps is recovered upon termination of the Ga flux. Under conditions of higher coverages (but still below 0.25 monolayer), we observe restructuring into a surface with T{sub B} dominance, similar to the findings of Hara et al. [J. Appl. Phys. 98, 083515 (2005)]. The occurrence and mutual transformations of surface structures with different terrace and step structures in a narrow range of temperatures and Ga deposition rates is discussed.

  14. Role of SiC substrate surface on local tarnishing of deposited silver mirror stacks

    Science.gov (United States)

    Limam, Emna; Maurice, Vincent; Seyeux, Antoine; Zanna, Sandrine; Klein, Lorena H.; Chauveau, Grégory; Grèzes-Besset, Catherine; Savin De Larclause, Isabelle; Marcus, Philippe

    2018-04-01

    The role of the SiC substrate surface on the resistance to the local initiation of tarnishing of thin-layered silver stacks for demanding space mirror applications was studied by combined surface and interface analysis on model stack samples deposited by cathodic magnetron sputtering and submitted to accelerated aging in gaseous H2S. It is shown that suppressing the surface pores resulting from the bulk SiC material production process by surface pretreatment eliminates the high aspect ratio surface sites that are imperfectly protected by the SiO2 overcoat after the deposition of silver. The formation of channels connecting the silver layer to its environment through the failing protection layer at the surface pores and locally enabling H2S entry and Ag2S growth as columns until emergence at the stack surface is suppressed, which markedly delays tarnishing initiation and thereby preserves the optical performance. The results revealed that residual tarnishing initiation proceeds by a mechanism essentially identical in nature but involving different pathways short circuiting the protection layer and enabling H2S ingress until the silver layer. These permeation pathways are suggested to be of microstructural origin and could correspond to the incompletely coalesced intergranular boundaries of the SiO2 layer.

  15. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  16. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  17. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  18. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  19. Osteoblast interaction with DLC-coated Si substrates.

    Science.gov (United States)

    Chai, Feng; Mathis, Nicolas; Blanchemain, Nicolas; Meunier, Cathy; Hildebrand, Hartmut F

    2008-09-01

    Diamond-like carbon (DLC) coating is a convenient means of modifying material surfaces that are sensitive to wear, such as titanium and silica substrates. This work aims to evaluate the osteoblast-like cells' response to DLC-coated Si (Si-DLC), which was treated under different conditions. DLC and deuterated DLC films were deposited by plasma-enhanced chemical vapor deposition to obtain a 200-nm-thick layer on all the samples. Three types of precursor gas were applied for deposition: pure methane (CH(4)), pure deuterated methane (CD(4)) and their half/half mixture. All surface treatments were performed under two different self-bias voltages (V(sb)): -400 and -600V. The modified surfaces were characterized by X-ray photoelectron spectroscopy, Raman spectroscopy, Rutherford backscattering spectroscopy, elastic recoil detection analysis, X-ray reflectometry and the sessile-drop method. MC3T3-E1 osteoblasts were cultured on the Si-DLC wafers for 3 and 6 days. Biological tests to measure cell proliferation, cell vitality, cell morphology and cell adhesion were performed. All DLC coatings produced a slightly more hydrophobic state than non-treated Si. Certain types of amorphous DLC coating, such as the surface treated under the V(sb) of -600V in pure methane (600CH(4)) or in pure deuterated methane (600CD(4)), offered a significantly higher cell proliferation rate to Si substrate. Scanning electron microscopy observations confirmed that the optimal cell adhesion behavior, among all the treated surfaces, occurred on the surface of the 600CH(4) and 600CD(4) groups, which showed increased amounts of filopodia and microvilli to enhance cell-environment exchange. In conclusion, DLC coating on Si could produce better surface stability and improved cellular responses.

  20. PbSe quantum well mid-infrared vertical external cavity surface emitting laser on Si-substrates

    Science.gov (United States)

    Fill, M.; Khiar, A.; Rahim, M.; Felder, F.; Zogg, H.

    2011-05-01

    Mid-infrared vertical external cavity surface emitting lasers based on PbSe/PbSrSe multi-quantum-well structures on Si-substrates are realized. A modular design allows growing the active region and the bottom Bragg mirror on two different Si-substrates, thus facilitating comparison between different structures. Lasing is observed from 3.3 to 5.1 μm wavelength and up to 52 °C heat sink temperature with 1.55 μm optical pumping. Simulations show that threshold powers are limited by Shockley-Read recombination with lifetimes as short as 0.1 ns. At higher temperatures, an additional threshold power increase occurs probably due to limited carrier diffusion length and carrier leakage, caused by an unfavorable band alignment.

  1. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  2. Near zero reflection by nanostructured anti-reflection coating design for Si substrates

    Science.gov (United States)

    Al-Fandi, Mohamed; Makableh, Yahia F.; Khasawneh, Mohammad; Rabady, Rabi

    2018-05-01

    The nanostructure design of near zero reflection coating for Si substrates by using ZnO Nanoneedles (ZnONN) is performed and optimized for the visible spectral range. The design investigates the ZnONN tip to body ratio effect on the anti-reflection coating properties. Different tip to body ratios are used on Si substrates. Around zero reflection is achieved by the Nanoneedles structure design presented in this work, leading to minimal reflection losses from the Si surface. The current design evolves a solution to optical losses and surface contamination effects associated with Si solar cells.

  3. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valladares, L. de los Santos, E-mail: ld301@cam.ac.uk [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Dominguez, A. Bustamante [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Llandro, J.; Holmes, S. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Quispe, O. Avalos [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Langford, R. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Laboratório de Supercondutividade e Materiais Avançados, Departamento de Física, Universidade Federal de Pernambuco, 50670-901, Recife (Brazil); Barnes, C.H.W. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom)

    2014-10-15

    Highlights: • Annealing promotes outgassing of SiO{sub 2}/Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO{sub 2} islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO{sub 2}/Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10{sup 8}, respectively. Over an area of 22 × 10{sup −3} cm{sup 2} the density of bubbles obtained at slow annealing (9 × 10{sup 3} cm{sup −2}) is smaller than that at rapid annealing (6.4 × 10{sup 4} cm{sup −2}), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as

  4. Evolution of 3C-SiC islands nucleated from a liquid phase on Si face α-SiC substrates

    International Nuclear Information System (INIS)

    Kim-Hak, Olivier; Ferro, Gabriel; Lorenzzi, Jean; Carole, Davy; Dazord, Jacques; Chaudouet, Patrick; Chaussende, Didier; Miele, Philippe

    2010-01-01

    The contact between α-SiC crystals and Si-Ge based melts provokes the nucleation of 3C-SiC islands on the crystal surface. Evolution of these islands as a function of various parameters was studied. On both 4H and 6H substrates, it was found that, after nucleation, 3C-SiC islands first enlarge and may form a complete 3C layer under certain conditions. The 3C deposit can then be dissolved by the liquid phase at high temperature or for prolonged contact at relatively moderate temperature. The graphite crucible is proposed to play a central role in these enlargement and dissolution mechanisms by providing extra carbon atoms on the seed surface (enlargement) or provoking thermal induced carbon transport toward the sidewall (dissolution). Several differences between the use of 4H and 6H substrates were also observed.

  5. XPS characterization of surface and interfacial structure of sputtered TiNi films on Si substrate

    International Nuclear Information System (INIS)

    Fu Yongqing; Du Hejun; Zhang, Sam; Huang Weimin

    2005-01-01

    TiNi films were prepared by co-sputtering TiNi and Ti targets. X-ray photoelectron spectroscopy (XPS) was employed to study surface chemistry of the films and interfacial structure of Si/TiNi system. Exposure of the TiNi film to the ambient atmosphere (23 deg. C and 80% relatively humidity) facilitated quick adsorption of oxygen and carbon on the surface. With time, carbon and oxygen content increased drastically at the surface, while oxygen diffused further into the layer. After a year, carbon content at the surface became as high as 65.57% and Ni dropped below the detection limit of XPS. Depth profiling revealed that significant inter-diffusion occurred between TiNi film and Si substrate with a layer of 90-100 nm. The detailed bond changes of different elements with depth were obtained using XPS and the formation of titanium silicides at the interface were identified

  6. Morphological Evolution of Pit-Patterned Si(001) Substrates Driven by Surface-Energy Reduction

    Science.gov (United States)

    Salvalaglio, Marco; Backofen, Rainer; Voigt, Axel; Montalenti, Francesco

    2017-09-01

    Lateral ordering of heteroepitaxial islands can be conveniently achieved by suitable pit-patterning of the substrate prior to deposition. Controlling shape, orientation, and size of the pits is not trivial as, being metastable, they can significantly evolve during deposition/annealing. In this paper, we exploit a continuum model to explore the typical metastable pit morphologies that can be expected on Si(001), depending on the initial depth/shape. Evolution is predicted using a surface-diffusion model, formulated in a phase-field framework, and tackling surface-energy anisotropy. Results are shown to nicely reproduce typical metastable shapes reported in the literature. Moreover, long time scale evolutions of pit profiles with different depths are found to follow a similar kinetic pathway. The model is also exploited to treat the case of heteroepitaxial growth involving two materials characterized by different facets in their equilibrium Wulff's shape. This can lead to significant changes in morphologies, such as a rotation of the pit during deposition as evidenced in Ge/Si experiments.

  7. Ultra-high sensitive substrates for surface enhanced Raman scattering, made of 3 nm gold nanoparticles embedded on SiO2 nanospheres

    Science.gov (United States)

    Phatangare, A. B.; Dhole, S. D.; Dahiwale, S. S.; Bhoraskar, V. N.

    2018-05-01

    The surface properties of substrates made of 3 nm gold nanoparticles embedded on SiO2 nanospheres enabled fingerprint detection of thiabendazole (TBZ), crystal violet (CV) and 4-Aminothiophenol (4-ATP) at an ultralow concentration of ∼10-18 M by surface enhanced Raman spectroscopy (SERS). Gold nanoparticles of an average size of ∼3 nm were synthesized and simultaneously embedded on SiO2 nanospheres by the electron irradiation method. The substrates made from the 3 nm gold nanoparticles embedded on SiO2 nanospheres were successfully used for recording fingerprint SERS spectra of TBZ, CV and 4-ATP over a wide range of concentrations from 10-6 M to 10-18 M using 785 nm laser. The unique features of these substrates are roughness near the surface due to the inherent structural defects of 3 nm gold nanoparticles, nanogaps of ≤ 1 nm between the embedded nanoparticles and their high number. These produced an abundance of nanocavities which act as active centers of hot-spots and provided a high electric field at the reporter molecules and thus an enhancement factor required to record the SERS spectra at ultra low concentration of 10-18 M. The SERS spectra recorded by the substrates of 4 nm and 6 nm gold nanoparticles are discussed.

  8. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  9. Reactions between monolayer Fe and Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M; Kobayashi, N; Hayashi, N [Electrotechnical Lab., Tsukuba, Ibaraki (Japan)

    1997-03-01

    Reactions between 1.5 monolayer(ML) Fe deposited on Si(001)-2x1 and -dihydride surfaces were studied in situ by reflection high-energy electron diffraction and time-of-flight ion scattering spectrometry with the use of 25 keV H ions. The reactions between Fe and Si which were successively deposited on Si(001)-dihydride surface were also studied. After the room temperature deposition Fe reacted with Si(001)-2x1 substrate resulting in the formation of polycrystalline Fe5Si3. By annealing to 560-650degC composite heteroepitaxial layer of both type A and type B {beta}-FeSi2 was formed. On the dihydride surface polycrystalline Fe was observed after 1.5ML Fe deposition at room temperature, and reaction between Fe and Si(001)-dihydride surface is not likely at room temperature. We observed 3D rough surface when we deposited only Fe layer on the dihydride surface and annealed above 700degC. The hydrogen termination of Si(001) surface prevents the deposited Fe from diffusing into the substrate below 500degC, however the annealing above 710degC leads to the diffusion. We obtained 2D ordered surface, which showed 3x3 RHEED pattern as referenced to the primitive unreconstructed Si(001) surface net, when we deposited 2.5ML Fe and 5.8ML Si successively onto Si(001)-dihydride surface and annealed to 470degC. (author)

  10. Broadband antireflection nanodome structures on SiC substrate

    DEFF Research Database (Denmark)

    Ou, Yiyu; Zhu, Xiaolong; Møller, Uffe Visbech

    2013-01-01

    Nanodome structures are demonstrated on the SiC substrate by using nanosphere lithography and dry etching. Significant surface antireflection has been observed over a broad spectral range from 400 nm to 1600 nm....

  11. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates.

    Science.gov (United States)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-11-21

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) "hot spots" created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10(-7) M and 10(-5) M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.

  12. Interaction of silicene with β-Si3N4(0001)/Si(111) substrate; energetics and electronic properties

    International Nuclear Information System (INIS)

    Filippone, Francesco

    2014-01-01

    The free-standing, quasi-2D layer of Si is known as silicene, in analogy with graphene. Much effort is devoted in the study of silicene, since, similarly to graphene, it shows a very high electron mobility. The interaction of silicene with a hybrid substrate, β-Si 3 N 4 (0001)/Si(111), exposing the β-Si 3 N 4 (0001) surface, has been studied by means of Density Functional calculations, with van der Waals interactions included. Once deepened the most important structural and electronic features of the hybrid substrate, we demonstrated that an electron transfer occurs from the substrate to the silicene layer. In turn, such an electron transfer can be modulated by the doping of the substrate. The β-Si 3 N 4 /silicene interaction appears to be strong enough to ensure adequate adsorption stability. It is also shown that electronic states of substrate and adsorbate still remain decoupled, paving the way for the exploitation of the peculiar electron mobility properties of the silicene layer. A detailed analysis in both direct and reciprocal space is reported. (paper)

  13. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  14. A study of the substrate surface chemical states at the interface TiN/Si by X-Ray Photoelectron Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Antunes, Vinicius Gabriel; Alvarez, Fernando, E-mail: vi.antunes@gmail.com [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Figueroa, Carlos Alejandro [Universidade de Caxias do Sul, RS (Brazil)

    2016-07-01

    Full text: Titanium-based thin films are used for a great number of applications, such as hard coating in cutting tools, catalyst diffusion barriers, and in microelectronic devices. Although the understanding of the film adhesion mechanisms onto different substrates continue being a challenge and its atomic bonding properties are not fully understood. Furthermore, as the interface determines many characteristics of the final film by prompting the bulk properties of the grown material, a detailed study of the first atomic layers is an interesting route to gain physical inside on the adhesion properties of the coating. Also, it is important to remark that the presence of residual oxygen in standards deposition chambers is sometime unavoidable and its influence on the films properties is important to be taking in account. In this work the influence of the chemical state of the silicon surface at the interface TiN/Si have been studied. In order to this, a few atomic layers of TiN were deposited on mirror polished c-Si by ion beam deposition (IBD) sputtering of a pure Ti target in a nitrogen atmosphere during 5s (T=350C). In this conditions, a 3Å average thickness, as estimated by the material deposition rate, is obtained. The local electronic structure at the interface TiN/Si was scrutinized by XPS (X-ray photoelectron spectroscopy, 1485.6eV) in a UHV chamber attached to the IBD system, i.e the studied samples are free from atmospheric contamination. The study indicates the presence of Ti-Si, Ti-O, Si-O, Ti-N and Si-N bonds at the TiN/Si interface, where the oxygen stems from the residual chamber pressure. The nano-structuration of the Si substrate surface by noble gas ion bombardment during the pre-cleaning procedure of the substrate and its influence on the bonding structures at the TiN/Si interface will be also presented and discussed. Finally, the oxygen reduction effect obtained by introducing H2 on the interface structure is presented and discussed. (author)

  15. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  16. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  17. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  18. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  19. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  20. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  1. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    Science.gov (United States)

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  2. Growth of epitaxially oriented Ag nanoislands on air-oxidized Si(1 1 1)-(7 × 7) surfaces: Influence of short-range order on the substrate

    International Nuclear Information System (INIS)

    Roy, Anupam; Bhattacharjee, K.; Ghatak, J.; Dev, B.N.

    2012-01-01

    Clean Si(1 1 1)-(7 × 7) surfaces, followed by air-exposure, have been investigated by reflection high-energy electron diffraction (RHEED) and scanning tunneling microscopy (STM). Fourier transforms (FTs) of STM images show the presence of short-range (7 × 7) order on the air-oxidized surface. Comparison with FTs of STM images from a clean Si(1 1 1)-(7 × 7) surface shows that only the 1/7th order spots are present on the air-oxidized surface. The oxide layer is ∼2-3 nm thick, as revealed by cross-sectional transmission electron microscopy (XTEM). Growth of Ag islands on these air-oxidized Si(1 1 1)-(7 × 7) surfaces has been investigated by in situ RHEED and STM and ex situ XTEM and scanning electron microscopy. Ag deposition at room temperature leads to the growth of randomly oriented Ag islands while preferred orientation evolves when Ag is deposited at higher substrate temperatures. For deposition at 550 °C face centered cubic Ag nanoislands grow with a predominant epitaxial orientation [11 ¯ 0] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si along with its twin [1 ¯ 10] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si , as observed for epitaxial growth of Ag on Si(1 1 1) surfaces. The twins are thus rotated by a 180° rotation of the Ag unit cell about the Si[1 1 1] axis. It is intriguing that Ag nanoislands follow an epitaxial relationship with the Si(1 1 1) substrate in spite of the presence of a 2-3 nm thick oxide layer between Ag and Si. Apparently the short-range order on the oxide surface influences the crystallographic orientation of the Ag nanoislands.

  3. Carbon surface diffusion and SiC nanocluster self-ordering

    International Nuclear Information System (INIS)

    Pezoldt, J.; Trushin, Yu.V.; Kharlamov, V.S.; Schmidt, A.A.; Cimalla, V.; Ambacher, O.

    2006-01-01

    The process of the spatial ordering of SiC nanoclusters on the step edges on Si surfaces was studied by means of multi-scale computer simulation. The evolution of cluster arrays on an ideal flat surface and surfaces with terraces of various widths was performed by kinetic Monte Carlo (KMC) simulations based on quantitative studies of potential energy surfaces (PES) by molecular dynamics (MD). PES analysis revealed that certain types of steps act as strong trapping centres for both Si and C adatoms stimulating clusters nucleation. Spatial ordering of the SiC nanoclusters at the terrace edges can be achieved if the parameters of the growth process (substrate temperature, carbon flux) and substrate (steps direction and terrace widths) are adjusted to the surface morphology. Temperature ranges for growth regimes with and without formation of cluster chains were determined. Cluster size distributions and the dependence of optimal terrace width for self ordering on the deposition parameters were obtained

  4. Mechanics of patterned helical Si springs on Si substrate.

    Science.gov (United States)

    Liu, D L; Ye, D X; Khan, F; Tang, F; Lim, B K; Picu, R C; Wang, G C; Lu, T M

    2003-12-01

    The elastic response, including the spring constant, of individual Si helical-shape submicron springs, was measured using a tip-cantilever assembly attached to a conventional atomic force microscope. The isolated, four-turn Si springs were fabricated using oblique angle deposition with substrate rotation, also known as the glancing angle deposition, on a templated Si substrate. The response of the structures was modeled using finite elements, and it was shown that the conventional formulae for the spring constant required modifications before they could be used for the loading scheme used in the present experiment.

  5. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  6. Alloyed surfaces: New substrates for graphene growth

    Science.gov (United States)

    Tresca, C.; Verbitskiy, N. I.; Fedorov, A.; Grüneis, A.; Profeta, G.

    2017-11-01

    We report a systematic ab-initio density functional theory investigation of Ni(111) surface alloyed with elements of group IV (Si, Ge and Sn), demonstrating the possibility to use it to grow high quality graphene. Ni(111) surface represents an ideal substrate for graphene, due to its catalytic properties and perfect matching with the graphene lattice constant. However, Dirac bands of graphene growth on Ni(111) are completely destroyed due to the strong hybridization between carbon pz and Ni d orbitals. Group IV atoms, namely Si, Ge and Sn, once deposited on Ni(111) surface, form an ordered alloyed surface with √{ 3} ×√{ 3} -R30° reconstruction. We demonstrate that, at variance with the pure Ni(111) surface, alloyed surfaces effectively decouple graphene from the substrate, resulting unstrained due to the nearly perfect lattice matching and preserves linear Dirac bands without the strong hybridization with Ni d states. The proposed surfaces can be prepared before graphene growth without resorting on post-growth processes which necessarily alter the electronic and structural properties of graphene.

  7. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  8. Effect of substrate surface on electromigration-induced sliding at hetero-interfaces

    International Nuclear Information System (INIS)

    Kumar, Praveen; Dutta, Indranath

    2013-01-01

    Electromigration (EM)-induced interfacial sliding between a metal film and Si substrate occurs when (i) only few grains exist across the width of the film and (ii) diffusivity through the interfacial region is significantly greater than diffusivity through the film. Here, the effect of the substrate surface layer on the kinetics of EM-induced interfacial sliding is assessed using Si substrates coated with various thin film interlayers. The kinetics of interfacial sliding, and therefore the EM-driven mass flow rate, strongly depends on the type of the interlayer (and hence the substrate surface composition), such that strongly bonded interfaces with slower interfacial diffusivity produce slower sliding. (paper)

  9. SiN sub x passivation of silicon surfaces

    Science.gov (United States)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  10. In-situ GISAXS study on the oxidation behavior of liquid Ga on Ni(Cu)/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Weidong [College of Materials Science and Engineering, Qiqihar University, Qiqihar 161006 (China); Liu, Mingling [Department of Mechanical and Electrical Engineering, Qinhuangdao Institute of Technology, Qinhuangdao 066100 (China); Wu, Zhaojun [Department of Practice Teaching and Equipment Management, Qiqihar University, Qiqihar 161006 (China); Xing, Xueqing; Mo, Guang; Wu, Zhonghua [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China); Liu, Hong, E-mail: lhong68@sina.com.cn [School of Biomedical Engineering, Capital Medical University, Beijing 100069 (China)

    2015-11-01

    Liquid Ga could be used as a flexible heat-transfer medium or contact medium in the synchrotron-radiation-based instruments. The chemical stability of liquid Ga on other metal surface determines the serviceability of liquid Ga. In this paper, the oxidation evolutions of liquid Ga on Ni and Cu substrates have been investigated by in-situ grazing incidence small angle X-ray scattering (GISAXS) as a function of substrate temperature. The liquid Ga on Ni and Cu substrates shows different oxidation behaviors. A successive and slower oxidation from oxide clusters to oxide layer takes place with temperature increasing from 25 to 190 °C on the surface of the Ga/Ni/Si specimen, but a quick oxidation occurs on the entire surface of the Ga/Cu/Si specimen at the initial 25 °C. The subsequent heating increases the surface roughness of both liquid Ga, but increases simultaneously the surface curvature of the Ga/Cu/Si specimen. The understanding of the substrate-dependent oxidation behavior of liquid Ga is beneficial to its application as a heat-transfer medium.

  11. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  12. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  13. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  14. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  15. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  16. Surface adhesion study of La2O3 thin film on Si and glass substrate for micro-flexography printing

    Science.gov (United States)

    Hassan, S.; Yusof, M. S.; Embong, Z.; Maksud, M. I.

    2017-01-01

    Adhesive property can be described as an interchangeably with some ink and substance which was applied to one surface of two separate items that bonded together. Lanthanum oxide (La2O3) has been used as a rare earth metal candidate as depositing agent or printing ink. This metal deposit was embedded on Silica (Si) wafer and glass substrate using Magnetron Sputtering technique. The choose of Lanthanum oxide as a target is due to its wide application in producing electronic devices such as thin film battery and printed circuit board. The La2O3 deposited on the surface of Si wafer and glass substrate was then analyzed using Angle Resolve X-Ray Photoelectron Spectroscopy (ARXPS). The position for each synthetic component in the narrow scan of Lanthanum (La) 3d and O 1s are referred to the electron binding energy (eV). This research will focus on 3 narrow scan regions which are C 1s, O 1s and La 3d. Further discussion of the spectrum evaluation will be discussed in detail. Here, it is proposed that from the adhesive and surface chemical properties of La is the best on glass substrate which suitable as an alternative medium for micro-flexography printing technique in printing multiple fine solid lines at nano scale. Hence, this paper will describe the capability of this particular metal as rare earth metal in a practice of micro-flexography printing.

  17. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  18. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  19. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  20. Topological states in a two-dimensional metal alloy in Si surface: BiAg/Si(111)-4 ×4 surface

    Science.gov (United States)

    Zhang, Xiaoming; Cui, Bin; Zhao, Mingwen; Liu, Feng

    2018-02-01

    A bridging topological state with a conventional semiconductor platform offers an attractive route towards future spintronics and quantum device applications. Here, based on first-principles and tight-binding calculations, we demonstrate the existence of topological states hosted by a two-dimensional (2D) metal alloy in a Si surface, the BiAg/Si(111)-4 ×4 surface, which has already been synthesized experimentally. It exhibits a topological insulating state with an energy gap of 71 meV (˜819 K ) above the Fermi level and a topological metallic state with quasiquantized conductance below the Fermi level. The underlying mechanism leading to the formation of such nontrivial states is revealed by analysis of the "charge-transfer" and "orbital-filtering" effect of the Si substrate. A minimal effective tight-binding model is employed to reveal the formation mechanism of the topological states. Our finding opens opportunities to detect topological states and measure its quantized conductance in a large family of 2D surface metal alloys, which have been or are to be grown on semiconductor substrates.

  1. Layer Dependence and Light Tuning Surface Potential of 2D MoS2 on Various Substrates.

    Science.gov (United States)

    Li, Feng; Qi, Junjie; Xu, Minxuan; Xiao, Jiankun; Xu, Yuliang; Zhang, Xiankun; Liu, Shuo; Zhang, Yue

    2017-04-01

    Here surface potential of chemical vapor deposition (CVD) grown 2D MoS 2 with various layers is reported, and the effect of adherent substrate and light illumination on surface potential of monolayer MoS 2 are investigated. The surface potential of MoS 2 on Si/SiO 2 substrate decreases from 4.93 to 4.84 eV with the increase in the number of layer from 1 to 4 or more. Especially, the surface potentials of monolayer MoS 2 are strongly dependent on its adherent substrate, which are determined to be 4.55, 4.88, 4.93, 5.10, and 5.50 eV on Ag, graphene, Si/SiO 2 , Au, and Pt substrates, respectively. Light irradiation is introduced to tuning the surface potential of monolayer MoS 2 , with the increase in light intensity, the surface potential of MoS 2 on Si/SiO 2 substrate decreases from 4.93 to 4.74 eV, while increases from 5.50 to 5.56 eV on Pt substrate. The I-V curves on vertical of monolayer MoS 2 /Pt heterojunction show the decrease in current with the increase of light intensity, and Schottky barrier height at MoS 2 /Pt junctions increases from 0.302 to 0.342 eV. The changed surface potential can be explained by trapped charges on surface, photoinduced carriers, charge transfer, and local electric field. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  3. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  4. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  5. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  6. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  7. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  8. Control of the graphene growth rate on capped SiC surface under strong Si confinement

    International Nuclear Information System (INIS)

    Çelebi, C.; Yanık, C.; Demirkol, A.G.; Kaya, İsmet İ.

    2013-01-01

    Highlights: ► Graphene is grown on capped SiC surface with well defined cavity size. ► Graphene growth rate linearly increases with the cavity height. ► Graphene uniformity is reduced with thickness. - Abstract: The effect of the degree of Si confinement on the thickness and morphology of UHV grown epitaxial graphene on (0 0 0 −1) SiC is investigated by using atomic force microscopy and Raman spectroscopy measurements. Prior to the graphene growth process, the C-face surface of a SiC substrate is capped by another SiC comprising three cavities on its Si-rich surface with depths varying from 0.5 to 2 microns. The Si atoms, thermally decomposed from the sample surface during high temperature annealing of the SiC cap /SiC sample stack, are separately trapped inside these individual cavities at the sample/cap interface. Our analyses show that the growth rate linearly increases with the cavity height. It was also found that stronger Si confinement yields more uniform graphene layers.

  9. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  10. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    International Nuclear Information System (INIS)

    Ashrafi, Almamun; Aminuzzaman, Mohammod

    2011-01-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2) ZnO ||(0 0 0 6) SiC and [112-bar 0] ZnO ||[112-bar 0] SiC . Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  11. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  12. Benchmarking surface signals when growing GaP on Si in CVD ambients

    Energy Technology Data Exchange (ETDEWEB)

    Doescher, Henning

    2010-10-26

    The present work investigates the formation of GaP films prepared on Si(100) surfaces and their anti-phase disorder in metalorganic vapor phase epitaxy (MOVPE) ambients. GaP films grown on Si(100) substrates served as a lattice matched model system for the crucial III-V/Si(100) interface to form silicon-based quasi substrates. A variety of surface-sensitive methods was required to establish suitable silicon substrate preparation and subsequent GaP growth free of anti-phase domains (APDs) by analyzing the substrate surface, the interface and the epitaxial films resulting from the heteroepitaxial nucleation process. Thorough investigations in the MOVPE ambients and an appropriate improvement of the equipment and of the VPE preparation process of the substrates led to clean Si(100) surfaces free of oxygen and other contaminants, as was evidenced by Xray photoelectron spectroscopy. Predominantly double-layer stepped Si(100) surfaces, as a prerequisite for subsequent III-V integration, were obtained for 0.1 , 2 and 6 misorientation in [011] direction. In contrast to standard preparation in ultra-high vacuum (UHV), the double-layer steps on 0.1 and 2 samples featured dimers oriented perpendicular to the step edges, contradicting well-established results with and without hydrogen coverage obtained in UHV. This striking difference was attributed to the presence of hydrogen as a process gas in the MOVPE environment leading to a silicon surface covered by monohydrides after substrate preparation, as was determined by Fourier-transform infrared spectroscopy (FTIR), while reflectance anisotropy spectroscopy (RAS) showed the absence of hydrogen termination at higher temperatures. On these substrates, optical in situ spectroscopy was established as a method for the quantitative evaluation of the APD content in GaP heteroepitaxy. The analysis required a detailed understanding of the GaP(100) surface reconstructions, which have been described theoretically in the literature and

  13. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  14. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  15. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  16. Effect of substrate porosity on photoluminescence properties of ZnS films prepared on porous Si substrates by pulsed laser deposition

    Science.gov (United States)

    Wang, Cai-Feng; Li, Qing-Shan; Zhang, Li-Chun; Lv, Lei; Qi, Hong-Xia

    2007-05-01

    ZnS films were deposited on porous Si (PS) substrates with different porosities by pulsed laser deposition. The photoluminescence spectra of the samples were measured to study the effect of substrate porosity on luminescence properties of ZnS/porous Si composites. After deposition of ZnS films, the red photoluminescence peak of porous Si shows a slight blueshift compared with as-prepared porous Si samples. With an increase of the porosity, a green emission at about 550 nm was observed which may be ascribed to the defect-center luminescence of ZnS films, and the photoluminescence of ZnS/porous Si composites is very close to white light. Good crystal structures of the samples were observed by x-ray diffraction, showing that ZnS films were grown in preferred orientation. Due to the roughness of porous Si surface, some cracks appear in ZnS films, which could be seen from scanning electron microscope images.

  17. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  18. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  19. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  20. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  1. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  2. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  3. Textured surface boron-doped ZnO transparent conductive oxides on polyethylene terephthalate substrates for Si-based thin film solar cells

    International Nuclear Information System (INIS)

    Chen Xinliang; Lin Quan; Ni Jian; Zhang Dekun; Sun Jian; Zhao Ying; Geng Xinhua

    2011-01-01

    Textured surface boron-doped zinc oxide (ZnO:B) thin films were directly grown via low pressure metal organic chemical vapor deposition (LP-MOCVD) on polyethylene terephthalate (PET) flexible substrates at low temperatures and high-efficiency flexible polymer silicon (Si) based thin film solar cells were obtained. High purity diethylzinc and water vapors were used as source materials, and diborane was used as an n-type dopant gas. P-i-n silicon layers were fabricated at ∼ 398 K by plasma enhanced chemical vapor deposition. These textured surface ZnO:B thin films on PET substrates (PET/ZnO:B) exhibit rough pyramid-like morphology with high transparencies (T ∼ 80%) and excellent electrical properties (Rs ∼ 10 Ω at d ∼ 1500 nm). Finally, the PET/ZnO:B thin films were applied in flexible p-i-n type silicon thin film solar cells (device structure: PET/ZnO:B/p-i-n a-Si:H/Al) with a high conversion efficiency of 6.32% (short-circuit current density J SC = 10.62 mA/cm 2 , open-circuit voltage V OC = 0.93 V and fill factor = 64%).

  4. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Ashrafi, Almamun, E-mail: ash2phy@gmail.com [Department of Physics, University of Vermont, VT 05405 (United States); Aminuzzaman, Mohammod [Department of Chemical Science, Universiti Tunku Abdul Rahman, Perak (Malaysia)

    2011-05-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2){sub ZnO}||(0 0 0 6){sub SiC} and [112-bar 0]{sub ZnO}||[112-bar 0]{sub SiC}. Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  5. Control of Alq3 wetting layer thickness via substrate surface functionalization.

    Science.gov (United States)

    Tsoi, Shufen; Szeto, Bryan; Fleischauer, Michael D; Veinot, Jonathan G C; Brett, Michael J

    2007-06-05

    The effects of substrate surface energy and vapor deposition rate on the initial growth of porous columnar tris(8-hydroxyquinoline)aluminum (Alq3) nanostructures were investigated. Alq3 nanostructures thermally evaporated onto as-supplied Si substrates bearing an oxide were observed to form a solid wetting layer, likely caused by an interfacial energy mismatch between the substrate and Alq3. Wetting layer thickness control is important for potential optoelectronic applications. A dramatic decrease in wetting layer thickness was achieved by depositing Alq3 onto alkyltrichlorosilane-derivatized Si/oxide substrates. Similar effects were noted with increasing deposition rates. These two effects enable tailoring of the wetting layer thickness.

  6. Research Progress of Optical Fabrication and Surface-Microstructure Modification of SiC

    Directory of Open Access Journals (Sweden)

    Fang Jiang

    2012-01-01

    Full Text Available SiC has become the best candidate material for space mirror and optical devices due to a series of favorable physical and chemical properties. Fine surface optical quality with the surface roughness (RMS less than 1 nm is necessary for fine optical application. However, various defects are present in SiC ceramics, and it is very difficult to polish SiC ceramic matrix with the 1 nm RMS. Surface modification of SiC ceramics must be done on the SiC substrate. Four kinds of surface-modification routes including the hot pressed glass, the C/SiC clapping, SiC clapping, and Si clapping on SiC surface have been reported and reviewed here. The methods of surface modification, the mechanism of preparation, and the disadvantages and advantages are focused on in this paper. In our view, PVD Si is the best choice for surface modification of SiC mirror.

  7. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  8. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  9. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  10. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  11. Separation of stress-free AlN/SiC thin films from Si substrate

    International Nuclear Information System (INIS)

    Redkov, A V; Osipov, A V; Mukhin, I S; Kukushkin, S A

    2016-01-01

    We separated AlN/SiC film from Si substrate by chemical etching of the AlN/SiC/Si heterostructure. The film fully repeats the size and geometry of the original sample and separated without destroying. It is demonstrated that a buffer layer of silicon carbide grown by a method of substitution of atoms may have an extensive hollow subsurface structure, which makes it easier to overcome the differences in the coefficients of thermal expansion during the growth of thin films. It is shown that after the separation of the film from the silicon substrate, mechanical stresses therein are almost absent. (paper)

  12. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  13. Site-specific forest-assembly of single-wall carbon nanotubes on electron-beam patterned SiOx/Si substrates

    International Nuclear Information System (INIS)

    Wei Haoyan; Kim, Sang Nyon; Kim, Sejong; Huey, Bryan D.; Papadimitrakopoulos, Fotios; Marcus, Harris L.

    2008-01-01

    Based on electron-beam direct writing on the SiO x /Si substrates, favorable absorption sites for ferric cations (Fe 3+ ions) were created on the surface oxide layer. This allowed Fe 3+ -assisted self-assembled arrays of single-wall carbon nanotube (SWNT) probes to be produced. Auger investigation indicated that the incident energetic electrons depleted oxygen, creating more dangling bonds around Si atoms at the surface of the SiO x layer. This resulted in a distinct difference in the friction forces from unexposed regions as measured by lateral force microscopy (LFM). Atomic force microscopy (AFM) affirmed that the irradiated domains absorbed considerably more Fe 3+ ions upon immersion into pH 2.2 aqueous FeCl 3 solution. This rendered a greater yield of FeO(OH)/FeOCl precipitates, primarily FeO(OH), upon subsequent washing with lightly basic dimethylformamide (DMF) solution. Such selective metal-functionalization established the basis for the subsequent patterned forest-assembly of SWNTs as demonstrated by resonance Raman spectroscopy

  14. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    The present work describes an experimental investigation of the influence of the step properties on the submonolayer growth at the Si(111) surface. In particular the influence of step properties on the morphology, shape and structural stability of 2D Si/Ge nanostructures was explored. Visualization, morphology and composition measurements of the 2D SiGe nanostructures were carried out by scanning tunneling microscopy (STM). The formation of Ge nanowire arrays on highly ordered kink-free Si stepped surfaces is demonstrated. The crystalline nanowires with minimal kink densities were grown using Bi surfactant mediated epitaxy. The nanowires extend over lengths larger than 1 {mu}m have a width of 4 nm. To achieve the desired growth conditions for the formation of such nanowire arrays, a modified variant of surfactant mediated epitaxy was explored. It was shown that controlling the surfactant coverage at the surface and/or at step edges modifies the growth properties of surface steps in a decisive way. The surfactant coverage at step edges can be associated with Bi passivation of the step edges. The analysis of island size distributions showed that the step edge passivation can be tuned independently by substrate temperature and by Bi rate deposition. The measurements of the island size distributions for Si and Ge in surfactant mediated growth reveal different scaling functions for different Bi deposition rates on Bi terminated Si(111) surface. The scaling function changes also with temperature. The main mechanism, which results in the difference of the scaling functions can be revealed with data of Kinetic Monte-Carlo simulations. According to the data of the Si island size distributions at different growth temperatures and different Bi deposition rates the change of SiGe island shape and preferred step directions were attributed to the change of the step edge passivation. It was shown that the change of the step edge passivation is followed by a change of the

  15. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates

    Science.gov (United States)

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-01

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  16. Ion irradiation synthesis of Ag–Au bimetallic nanospheroids in SiO{sub 2} glass substrate with tunable surface plasmon resonance frequency

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Xuan; Yu, Ruixuan; Takayanagi, Shinya [Graduate School of Engineering, Hokkaido University, Sapporo, Hokkaido 060–8628 (Japan); Shibayama, Tamaki; Watanabe, Seiichi [Center for Advanced Research of Energy and Materials, Faculty of Engineering, Hokkaido University, Sapporo, Hokkaido 060–8628 (Japan)

    2013-08-07

    Ag–Au bimetallic nanospheroids with tunable localized surface plasmon resonance (LSPR) were synthesized by 100 keV Ar–ion irradiation of 30 nm Ag–Au bimetallic films deposited on SiO{sub 2} glass substrates. A shift of the LSPR peaks toward shorter wavelengths was observed up to an irradiation fluence of 1.0 × 10{sup 17} cm{sup −2}, and then shifted toward the longer wavelength because of the increase of fragment volume under ion irradiation. Further control of LSPR frequency over a wider range was realized by modifying the chemical components. The resulting LSPR frequencies lie between that of the pure components, and an approximate linear shift of the LSPR toward the longer wavelength with the Au concentration was achieved, which is in good agreement with the theoretical calculations based on Gans theory. In addition, the surface morphology and compositions were examined with a scanning electron microscope equipped with an energy dispersive spectrometer, and microstructural characterizations were performed using a transmission electron microscope. The formation of isolated photosensitive Ag–Au nanospheroids with a FCC structure partially embedded in the SiO{sub 2} substrate was confirmed, which has a potential application in solid-state devices.

  17. Simple method to transfer graphene from metallic catalytic substrates to flexible surfaces without chemical etching

    International Nuclear Information System (INIS)

    Ko, P J; Takahashi, H; Sakai, H; Thu, T V; Okada, H; Sandhu, A; Koide, S

    2013-01-01

    Graphene shows promise for applications in flexible electronics. Here, we describe our procedure to transfer graphene grown on copper substrates by chemical vapor deposition to polydimethylsiloxane (PDMS) and SiO 2 /Si surfaces. The transfer of graphene was achieved by a simple, etching-free method onto flexible PDMS substrates.

  18. Two-dimensional Si nanosheets with local hexagonal structure on a MoS(2) surface.

    Science.gov (United States)

    Chiappe, Daniele; Scalise, Emilio; Cinquanta, Eugenio; Grazianetti, Carlo; van den Broek, Bas; Fanciulli, Marco; Houssa, Michel; Molle, Alessandro

    2014-04-02

    The structural and electronic properties of a Si nanosheet (NS) grown onto a MoS2 substrate by means of molecular beam epitaxy are assessed. Epitaxially grown Si is shown to adapt to the trigonal prismatic surface lattice of MoS2 by forming two-dimensional nanodomains. The Si layer structure is distinguished from the underlying MoS2 surface structure. The local electronic properties of the Si nanosheet are dictated by the atomistic arrangement of the layer and unlike the MoS2 hosting substrate they are qualified by a gap-less density of states. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  20. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  1. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  2. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  3. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  4. Nanogranular Au films deposited on carbon covered Si substrates for enhanced optical reflectivity and Raman scattering

    International Nuclear Information System (INIS)

    Bhuvana, T; Kumar, G V Pavan; Narayana, Chandrabhas; Kulkarni, G U

    2007-01-01

    Electroless deposition of gold has been carried out on Si(100) surfaces precoated with laser ablated carbon layers of different thicknesses, and the resulting substrates have been characterized by a host of techniques. We first established the porous nature of the amorphous carbon layer by Raman and profilometric measurements. The Au uptake from the plating solution was optimal at a carbon layer thickness of 90 nm, where we observed nanogranules of ∼60-70 nm, well separated from each other in the carbon matrix (mean interparticle spacing ∼7 nm). We believe that the observed nanostructure is a result of Au 3+ electroless reduction on the Si surface through porous channels present in the amorphous carbon matrix. Importantly, this nanostructured substrate exhibited high reflectivity in the near IR region besides being effective as a substrate for surface enhanced Raman scattering (SERS) measurements with enhancement factors up to 10 7

  5. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  6. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  7. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Self-assembly of Ge quantum dots on periodically corrugated Si surfaces

    International Nuclear Information System (INIS)

    Buljan, M.; Jerčinović, M.; Radić, N.; Facsko, S.; Baehtz, C.; Muecklich, A.; Grenzer, J.; Delač Marion, I.; Mikšić Trontl, V.; Kralj, M.; Holý, V.

    2015-01-01

    The fabrication of regularly ordered Ge quantum dot arrays on Si surfaces usually requires extensive preparation processing, ensuring clean and atomically ordered substrates, while the ordering parameters are quite limited by the surface properties of the substrate. Here, we demonstrate a simple method for fabrication of ordered Ge quantum dots with highly tunable ordering parameters on rippled Si surfaces. The ordering is achieved by magnetron sputter deposition, followed by an annealing in high vacuum. We show that the type of ordering and lattice vector parameters of the formed Ge quantum dot lattice are determined by the crystallographic properties of the ripples, i.e., by their shape and orientation. Moreover, the ordering is achieved regardless the initial amorphisation of the ripples surface and the presence of a thin oxide layer

  9. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  10. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  11. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  12. Surface-enhanced Raman scattering of amorphous silica gel adsorbed on gold substrates for optical fiber sensors

    Science.gov (United States)

    Degioanni, S.; Jurdyc, A. M.; Cheap, A.; Champagnon, B.; Bessueille, F.; Coulm, J.; Bois, L.; Vouagner, D.

    2015-10-01

    Two kinds of gold substrates are used to produce surface-enhanced Raman scattering (SERS) of amorphous silica obtained via the sol-gel route using tetraethoxysilane Si(OC2H5)4 (TEOS) solution. The first substrate consists of a gold nanometric film elaborated on a glass slide by sputter deposition, controlling the desired gold thickness and sputtering current intensity. The second substrate consists of an array of micrometer-sized gold inverted pyramidal pits able to confine surface plasmon (SP) enhancing electric field, which results in a distribution of electromagnetic energy inside the cavities. These substrates are optically characterized to observe SPR with, respectively, extinction and reflectance spectrometries. Once coated with thin layers of amorphous silica (SiO2) gel, these samples show Raman amplification of amorphous SiO2 bands. This enhancement can occur in SERS sensors using amorphous SiO2 gel as shells, spacers, protective coatings, or waveguides, and represents particularly a potential interest in the field of Raman distributed sensors, which use the amorphous SiO2 core of optical fibers as a transducer to make temperature measurements.

  13. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  14. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  15. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  16. Comparison of structural re-organisations observed on pre-patterned vicinal Si(1 1 1) and Si(1 0 0) surfaces during heat treatment

    International Nuclear Information System (INIS)

    Kraus, A.; Neddermeyer, H.; Wulfhekel, W.; Sander, D.; Maroutian, T.; Dulot, F.; Martinez-Gil, A.; Hanbuecken, M.

    2004-01-01

    The creation of distinct, periodically structured vicinal Si(1 1 1) and (1 0 0) substrates has been studied using scanning tunnelling microscopy at various temperatures. The vicinal Si(1 1 1) and (1 0 0) surfaces transform under heat treatment in a self-organised way into flat and stepped regions. Optical and electron beam lithography is used to produce a regular hole pattern on the surfaces, which interferes with the temperature-driven morphological changes. The step motions are strongly influenced by this pre-patterning. Pre-patterned Si(1 1 1) surfaces transform into regular one-dimensional (1D) and two-dimensional (2D) morphologies, which consist of terraces and arrangements of step bunches and facets. On pre-patterned Si(1 0 0) substrates different re-organisations were observed where checkerboard-like 2D structures are obtained

  17. Wet cleaning and surface characterization of Si{sub 1-x}Ge{sub x} virtual substrates after a CMP step

    Energy Technology Data Exchange (ETDEWEB)

    Abbadie, A. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)], E-mail: alexandra.abbadie@soitec.fr; Hartmann, J.M. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Besson, P. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Rouchon, D.; Martinez, E.; Holliger, P.; Di Nardo, C. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Campidelli, Y. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Billon, T. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2008-08-30

    New reactants such as ozone dissolved in ultra-pure water have been widely used the last few years instead of the original Radio Corporation of America (RCA) cleaning (which is a combination of the Standard Cleaning 1 (SC1) and the Standard Cleaning 2 (SC2)). In a first part of the study (Microelectron. Eng. 83 (2006) 1986), we had quantified the efficiency of a new cleaning sequence (that calls upon HF and H{sub 2}O/O{sub 3} solutions) on polished Si{sub 1-x}Ge{sub x} virtual substrates (x = 0.2-0.5). We are discussing here the surface morphology and wetability together with the oxide thickness and structure typically obtained after this so-called 'DDC-SiGe' wet cleaning. Flat surface morphologies are found after cleaning whatever the Ge content (from 20 to 50%). Typical root mean square roughness is around 0.4 nm. We have used X-ray Photoelectron Spectroscopy to determine the characteristics of the surface termination after this 'DDC-SiGe' cleaning. An oxide mainly composed of SiO{sub 2} is formed, with a low fraction of Ge sub-oxide and GeO{sub 2}. The distribution of chemical species is not that different from the one obtained after the use of a SC1 cleaning. However, the chemical oxide formed is slightly thicker. Such a HF/O{sub 3} cleaning leads, when used on thick Ge layers grown on Si, to the formation of a really thin Ge sub-oxide. Our oxidation model assumes a competition in O{sub 3} solutions between the oxidation rates of Si and Ge atoms (faster for Si) and the dissolution of the Ge oxide formed in solution. This mechanism, which implies the formation of a slightly porous oxide, is different from the one seeming to occur in SC1-based solutions. Indeed, the addition of surfactant in a SC1 solution modifies the oxidation rate compared to standard SC1 or O{sub 3}-based solutions, suggesting a diffusion of reactants towards the interface between the SiGe and the oxide in formation, assisted by the reactions of species within the cleaning

  18. Near surface silicide formation after off-normal Fe-implantation of Si(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de; Pietsch, U. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Lützenkirchen-Hecht, D. [Fachbereich C - Physik, Bergische Universität Wuppertal, D-42097 Wuppertal (Germany); Hübner, R.; Grenzer, J.; Facsko, S. [Helmholtz-Zentrum Dresden-Rossendorf, 01314 Dresden (Germany)

    2014-07-14

    We report on formation of non-crystalline Fe-silicides of various stoichiometries below the amorphized surface of crystalline Si(001) after irradiation with 5 keV Fe{sup +} ions under off-normal incidence. We examined samples prepared with ion fluences of 0.1 × 10{sup 17} and 5 × 10{sup 17} ions cm{sup −2} exhibiting a flat and patterned surface morphology, respectively. Whereas the iron silicides are found across the whole surface of the flat sample, they are concentrated at the top of ridges at the rippled surface. A depth resolved analysis of the chemical states of Si and Fe atoms in the near surface region was performed by combining X-ray photoelectron spectroscopy and X-ray absorption spectroscopy (XAS) using synchrotron radiation. The chemical shift and the line shape of the Si 2p core levels and valence bands were measured and associated with the formation of silicide bonds of different stoichiometric composition changing from an Fe-rich silicides (Fe{sub 3}Si) close to the surface into a Si-rich silicide (FeSi{sub 2}) towards the inner interface to the Si(001) substrate. This finding is supported by XAS analysis at the Fe K-edge which shows changes of the chemical environment and the near order atomic coordination of the Fe atoms in the region close to surface. Because a similar Fe depth profile has been found for samples co-sputtered with Fe during Kr{sup +} ion irradiation, our results suggest the importance of chemically bonded Fe in the surface region for the process of ripple formation.

  19. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  20. Surface characteristics of the galvannealed coating in Interstitial-free high strengthen steels containing Si and Mn

    International Nuclear Information System (INIS)

    Jeon, Sun Ho; Chin, Kwang Geun; Kim, Dai Ryong

    2008-01-01

    Surface-void defects observed on the Galvannealed (GA) steel sheets in Interstitial-free high-strengthened steels containing Si and Mn have been investigated using the combination of the FIB(Focused Ion Beam) and FE-TEM(Field Emission-Transmission Electron Microscope) techniques. The scanning ion micrographs of cross-section microstructure of defects showed that these defects were identified as craters which were formed on the projecting part of the substrate surface. Also, those craters were formed on the Si or Mn-Si oxides film through the whole interface between galvannealed coating and steel substrate. Interface enrichments and oxidations of the active alloying elements such as Si and Mn during reduction annealing process for galvanizing were found to interrupt Zn and Fe interdiffusion during galvannealing process. During galvannealing, Zn and Fe interdiffusion is preferentially started on the clean substrate surface which have no oxide layer on. And then, during galvannealing, crater is developed with consumption of molten zinc on the oxide layer

  1. Surface characteristics of the galvannealed coating in Interstitial-free high strengthen steels containing Si and Mn

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sun Ho; Chin, Kwang Geun [Pohang Iron and Steel Co. Technical Research Laboratories, Gwangyang (Korea, Republic of); Kim, Dai Ryong [Kyungpook National University, Daegu (Korea, Republic of)

    2008-02-15

    Surface-void defects observed on the Galvannealed (GA) steel sheets in Interstitial-free high-strengthened steels containing Si and Mn have been investigated using the combination of the FIB(Focused Ion Beam) and FE-TEM(Field Emission-Transmission Electron Microscope) techniques. The scanning ion micrographs of cross-section microstructure of defects showed that these defects were identified as craters which were formed on the projecting part of the substrate surface. Also, those craters were formed on the Si or Mn-Si oxides film through the whole interface between galvannealed coating and steel substrate. Interface enrichments and oxidations of the active alloying elements such as Si and Mn during reduction annealing process for galvanizing were found to interrupt Zn and Fe interdiffusion during galvannealing process. During galvannealing, Zn and Fe interdiffusion is preferentially started on the clean substrate surface which have no oxide layer on. And then, during galvannealing, crater is developed with consumption of molten zinc on the oxide layer.

  2. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  3. Growth and Device Performance of AlGaN/GaN Heterostructure with AlSiC Precoverage on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Jae-Hoon Lee

    2014-01-01

    Full Text Available A crack-free AlGaN/GaN heterostructure was grown on 4-inch Si (111 substrate with initial dot-like AlSiC precoverage layer. It is believed that introducing the AlSiC layer between AlN wetting layer and Si substrate is more effective in obtaining a compressively stressed film growth than conventional Al precoverage on Si surface. The metal semiconductor field effect transistor (MESFET, fabricated on the AlGaN/GaN heterostructure grown with the AlSiC layer, exhibited normally on characteristics, such as threshold voltage of −2.3 V, maximum drain current of 370 mA/mm, and transconductance of 124 mS/mm.

  4. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    Science.gov (United States)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  5. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  6. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  7. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  8. Improving surface smoothness and photoluminescence of CdTe(1 1 1)A on Si(1 1 1) substrates grown by molecular beam epitaxy using Mn atoms

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Chen, Chang-Wei; Dai, Zi-Yuan; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Yuan, Chi-Tsu; Shen, Ji-Lin

    2014-01-01

    Highlights: • CdTe(1 1 1)A epilayers were grown on Si(1 1 1) substrates by molecular beam epitaxy. • We report an enhanced growth using Mn atoms. • The significant improvements in surface quality and optical properties were found. - Abstract: This work demonstrates an improvement of the molecular beam epitaxial growth of CdTe(1 1 1)A epilayer on Si(1 1 1) substrates using Mn atoms. The reflection high-energy electron diffraction patterns show that the involvement of some Mn atoms in the growth of CdTe(1 1 1)A is even more effective than the use of a buffer layer with a smooth surface for forming good CdTe(1 1 1)A epilayers. 10 K Photoluminescence spectra show that the incorporation of only 2% Mn significantly reduced the intensity of defect-related emissions and considerably increased the integral intensity of exciton-related emissions by a large factor of about 400

  9. Al-Si/B{sub 4}C composite coatings on Al-Si substrate by plasma spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya, Ozkan [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Anik, Selahaddin [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Aslanlar, Salim [Sakarya University, Faculty of Technical Education, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Cem Okumus, S. [Sakarya University, Faculty of Engineering, Department of Metallurgical and Materials Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Celik, Erdal [Dokuz Eylul University, Engineering Faculty, Department of Metallurgical and Materials Engineering, Buca, Izmir 35160 (Turkey)]. E-mail: erdal.celik@deu.edu.tr

    2007-07-01

    Plasma-sprayed coatings of Al-Si/B{sub 4}C have been prepared on Al-Si piston alloys for diesel engine motors. The Al-Si/B{sub 4}C composite powders including 5-25 wt% B{sub 4}C were prepared by mixing and ball-milling processes. These powders were deposited on Al-Si substrate using an atmospheric plasma spray technique. The coatings have been characterised with respect to phase composition, microstructure, microhardness, bond strength and thermal expansion. It was found that Al, Si, B{sub 4}C and Al{sub 2}O{sub 3} phases were determined in the coatings with approximately 600 {mu}m thick by using X-ray diffraction analysis. Scanning electron microscope observation revealed that boron carbide particles were uniformly distributed in composite coatings and B{sub 4}C particles were fully wetted by Al-Si alloy. Also, no reaction products were observed in Al-Si/B{sub 4}C composite coatings. It was found that surface roughness, porosity, bond strength and thermal expansion coefficient of composite coatings decreased with increasing fraction of the boron carbide particle. It was demonstrated that the higher the B{sub 4}C content, the higher the hardness of coatings because the hardness of B{sub 4}C is higher than that of Al-Si.

  10. Micro/nano engineering on stainless steel substrates to produce superhydrophobic surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Beckford, Samuel; Zou Min, E-mail: mzou@uark.edu

    2011-12-30

    Creating micro-/nano-scale topography on material surfaces to change their wetting properties has been a subject of much interest in recent years. Wenzel in 1936 and Cassie and Baxter in 1944 proposed that by microscopically increasing the surface roughness of a substrate, it is possible to increase its hydrophobicity. This paper reports the fabrication of micro-textured surfaces and nano-textured surfaces, and the combination of both on stainless steel substrates by sandblasting, thermal evaporation of aluminum, and aluminum-induced crystallization (AIC) of amorphous silicon (a-Si). Meanwhile, fluorinated carbon films were used to change the chemical composition of the surfaces to render the surfaces more hydrophobic. These surface modifications were investigated to create superhydrophobic surfaces on stainless steel substrates. The topography resulting from these surface modifications was analyzed by scanning electron microscopy and surface profilometry. The wetting properties of these surfaces were characterized by water contact angle measurement. The results of this study show that superhydrophobic surfaces can be produced by either micro-scale surface texturing or nano-scale surface texturing, or the combination of both, after fluorinated carbon film deposition.

  11. Formation of hexagonal silicon carbide by high energy ion beam irradiation on Si (1 0 0) substrate

    International Nuclear Information System (INIS)

    Bhuyan, H; Favre, M; Valderrama, E; Avaria, G; Chuaqui, H; Mitchell, I; Wyndham, E; Saavedra, R; Paulraj, M

    2007-01-01

    We report the investigation of high energy ion beam irradiation on Si (1 0 0) substrates at room temperature using a low energy plasma focus (PF) device operating in methane gas. The unexposed and ion exposed substrates were characterized by x-ray diffraction, scanning electron microscopy (SEM), photothermal beam deflection, energy-dispersive x-ray analysis and atomic force microscopy (AFM) and the results are reported. The interaction of the pulsed PF ion beams, with characteristic energy in the 60-450 keV range, with the Si surface, results in the formation of a surface layer of hexagonal silicon carbide. The SEM and AFM analyses indicate clear step bunching on the silicon carbide surface with an average step height of 50 nm and a terrace width of 800 nm

  12. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  13. DNA immobilization on polymer-modified Si surface by controlling pH

    International Nuclear Information System (INIS)

    Demirel, Goekcen Birlik; Caykara, Tuncer

    2009-01-01

    A novel approach based on polymer-modified Si surface as DNA sensor platforms is presented. The polymer-modified Si surface was prepared by using 3-(methacryloxypropyl)trimethoxysilane [γ-MPS] and poly(acrylamide) [PAAm]. Firstly, a layer of γ-MPS was formed on the hydroxylated silicon surface as a monolayer and then modified with different molecular weight of PAAm to form polymer-modified surface. The polymer-modified Si surface was used for dsDNA immobilization. All steps about formation of layer structure were characterized by ellipsometry, atomic force microscopy (AFM), attenuated total reflectance Fourier transformed infrared (ATR-FTIR), and contact angle (CA) measurements. We found that in this case the amount of dsDNA immobilized onto the surface was dictated by the electrostatic interaction between the substrate surface and the DNA. Our results thus demonstrated that DNA molecules could be immobilized differently onto the polymer-modified support surface via electrostatic interactions.

  14. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  15. Investigating the effect of silicon surface chemical treatment on Al/Si contact properties in GaP/Si solar cells

    Science.gov (United States)

    Kudryashov, D.; Gudovskikh, A.

    2018-03-01

    In the present work, experimental studies have been carried out to reveal how chemical treatment of a silicon surface affects the properties of the Al/Si contact. It has been shown that for p-type monocrystalline silicon substrates with a resistivity of 10 ohm cm, it is possible to form an ohmic Al/Si contact by magnetron sputtering of an aluminum thin film and its further annealing at temperatures of 400 - 450 °C. In the range of annealing temperatures of 250 - 400 °C, the Si substrate treatment in the HF solution leads to a significant increase in currents on the current-voltage curves of the Al/Si contact, while in the range of 450 - 700 °C, the effect of chemical treatment of the silicon is not detected.

  16. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  17. Gas-source molecular beam epitaxy of Si(111) on Si(110) substrates by insertion of 3C-SiC(111) interlayer for hybrid orientation technology

    Energy Technology Data Exchange (ETDEWEB)

    Bantaculo, Rolando, E-mail: rolandobantaculo@yahoo.com; Saitoh, Eiji; Miyamoto, Yu; Handa, Hiroyuki; Suemitsu, Maki

    2011-11-01

    A method to realize a novel hybrid orientations of Si surfaces, Si(111) on Si(110), has been developed by use of a Si(111)/3C-SiC(111)/Si(110) trilayer structure. This technology allows us to use the Si(111) portion for the n-type and the Si(110) portion for the p-type channels, providing a solution to the current drive imbalance between the two channels confronted in Si(100)-based complementary metal oxide semiconductor (CMOS) technology. The central idea is to use a rotated heteroepitaxy of 3C-SiC(111) on Si(110) substrate, which occurs when a 3C-SiC film is grown under certain growth conditions. Monomethylsilane (SiH{sub 3}-CH{sub 3}) gas-source molecular beam epitaxy (GSMBE) is used for this 3C-SiC interlayer formation while disilane (Si{sub 2}H{sub 6}) is used for the top Si(111) layer formation. Though the film quality of the Si epilayer leaves a lot of room for betterment, the present results may suffice to prove its potential as a new technology to be used in the next generation CMOS devices.

  18. Mechanism of bonding and debonding using surface activated bonding method with Si intermediate layer

    Science.gov (United States)

    Takeuchi, Kai; Fujino, Masahisa; Matsumoto, Yoshiie; Suga, Tadatomo

    2018-04-01

    Techniques of handling thin and fragile substrates in a high-temperature process are highly required for the fabrication of semiconductor devices including thin film transistors (TFTs). In our previous study, we proposed applying the surface activated bonding (SAB) method using Si intermediate layers to the bonding and debonding of glass substrates. The SAB method has successfully bonded glass substrates at room temperature, and the substrates have been debonded after heating at 450 °C, in which TFTs are fabricated on thin glass substrates for LC display devices. In this study, we conducted the bonding and debonding of Si and glass in order to understand the mechanism in the proposed process. Si substrates are also successfully bonded to glass substrates at room temperature and debonded after heating at 450 °C using the proposed bonding process. By the composition analysis of bonding interfaces, it is clarified that the absorbed water on the glass forms interfacial voids and cause the decrease in bond strength.

  19. Enhanced lateral heat dissipation packaging structure for GaN HEMTs on Si substrate

    International Nuclear Information System (INIS)

    Cheng, Stone; Chou, Po-Chien; Chieng, Wei-Hua; Chang, E.Y.

    2013-01-01

    This work presents a technology for packaging AlGaN/GaN high electron mobility transistors (HEMTs) on a Si substrate. The GaN HEMTs are attached to a V-groove copper base and mounted on a TO-3P leadframe. The various thermal paths from the GaN gate junction to the case are carried out for heat dissipation by spreading to protective coating; transferring through the bond wires; spreading in the lateral device structure through the adhesive layer, and vertical heat spreading of silicon chip bottom. Thermal characterization showed a thermal resistance of 13.72 °C/W from the device to the TO-3P package. Experimental tests of a 30 mm gate-periphery single chip packaged in a 5 × 3 mm V-groove Cu base with a 100 V drain bias showed power dissipation of 22 W. -- Highlights: ► An enhanced packaging structure designed for AlGaN/GaN HEMTs on an Si substrate. ► The V-groove copper base is designed on the device periphery surface heat conduction for enhancing Si substrate thermal dissipation. ► The proposed device shows a lower thermal resistance and upgrade in thermal conductivity capability. ► This work provides useful thermal IR imagery information to aid in designing high efficiency package for GaN HEMTs on Si

  20. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  1. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  2. Silver inkjet printing with control of surface energy and substrate temperature

    International Nuclear Information System (INIS)

    Lee, S-H; Shin, K-Y; Hwang, J Y; Kang, K T; Kang, H S

    2008-01-01

    The characteristics of silver inkjet printing were intensively investigated with control of surface energy and substrate temperature. A fluorocarbon (FC) film was spincoated on a silicon (Si) substrate to obtain a hydrophobic surface, and an ultraviolet (UV)/ozone (O 3 ) treatment was performed to control the surface wettability of the FC film surface. To characterize the surface changes, we performed measurements of the static and dynamic contact angles and calculated the surface energy by Wu's harmonic mean model. The surface energy of the FC film increased with the UV/O 3 treatment time, while the contact angles decreased. In silver inkjet printing, the hydrophobic FC film could reduce the diameter of the printed droplets. Merging of deposited droplets was observed when the substrate was kept at room temperature. Substrate heating was effective in preventing the merging phenomenon among the deposited droplets, and in reducing the width of printed lines. The merging phenomenon of deposited droplets was also prevented by increasing the UV/O 3 treatment time. Continuous silver lines in the width range of 48.04–139.21 µm were successfully achieved by inkjet printing on the UV/O 3 -treated hydrophobic FC films at substrate temperatures below 90 °C

  3. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  4. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  5. Structural and electrical characterization of HBr/O2 plasma damage to Si substrate

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi; Minami, Masaki; Uesawa, Fumikatsu; Tatsumi, Tetsuya

    2011-01-01

    Silicon substrate damage caused by HBr/O 2 plasma exposure was investigated by spectroscopic ellipsometry (SE), high-resolution Rutherford backscattering spectroscopy, and transmission electron microscopy. The damage caused by H 2 , Ar, and O 2 plasma exposure was also compared to clarify the ion-species dependence. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. In the case of HBr/O 2 plasma exposure, hydrogen generated the deep damaged layer (∼10 nm), whereas ion-enhanced diffusion of oxygen, supplied simultaneously by the plasma, caused the thick surface oxidation. In-line monitoring of damage thicknesses by SE, developed with an optimized optical model, showed that the SE can be used to precisely monitor damage thicknesses in mass production. Capacitance-voltage (C-V) characteristics of a damaged layer were studied before and after diluted-HF (DHF) treatment. Results showed that a positive charge is generated at the surface oxide-dislocated Si interface and/or in the bulk oxide after plasma exposure. After DHF treatment, most of the positive charges were removed, while the thickness of the ''Si recess'' was increased by removing the thick surface oxidized layer. As both the Si recess and remaining dislocated Si, including positive charges, cause the degradation of electrical performance, precise monitoring of the surface structure and understanding its effect on device performance is indispensable for creating advanced devices.

  6. Chlorobenzene, chloroform, and carbon tetrachloride adsorption on undoped and metal-doped sol-gel substrates (SiO{sub 2}, Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2})

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez, M.A. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico)], E-mail: mighern@siu.buap.mx; Gonzalez, A.I.; Corona, L.; Hernandez, F. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico); Rojas, F.; Asomoza, M.; Solis, S. [Departamento de Quimica, Universidad Autonoma Metropolitana-Iztapalapa, P.O. Box 55-534, D.F. Mexico (Mexico); Portillo, R.; Salgado, M.A. [Facultad de Ciencias Quimicas, Universidad Autonoma de Puebla (Mexico)

    2009-02-15

    Adsorption isotherms of chlorobenzene, chloroform and carbon tetrachloride vapors on undoped SiO{sub 2}, and metal-doped Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2} substrates were measured in the temperature range of 398-593 K. These substrates were prepared from a typical sol-gel technique in the presence of metal dopants that rendered an assortment of microporous-mesoporous solids. The relevant characteristic of these materials was the different porosities and micropore to mesopore volume ratios that were displayed; this was due to the effect that the cationic metal valence exerts on the size of the sol-gel globules that compose the porous solid. The texture of these SiO{sub 2} materials was analyzed by X-ray diffraction (XRD), FTIR, and diverse adsorption methods. The pore-size distributions of the adsorbents confirmed the existence of mesopores and supermicropores, while ultramicropores were absent. The Freundlich adsorption model approximately fitted the chlorinated compounds adsorption data on the silica substrates by reason of a heterogeneous energy distribution of adsorption sites. The intensity of the interaction between these organic vapors and the surface of the SiO{sub 2} samples was analyzed through evaluation of the isosteric heat of adsorption and standard adsorption energy; from these last results it was evident that the presence of metal species within the silica structure greatly affected the values of both the amounts adsorbed as well as of the isosteric heats of adsorption.

  7. Interaction of submonolayer Bi films with the Si(100) surface

    International Nuclear Information System (INIS)

    Goryachko, A.M.; Melnik, P.V.; Nakhodkin, M.G.

    1999-01-01

    Scanning tunneling microscopy and Auger electron spectroscopy were used to investigate interaction of submonolayer Bi films with the Si(100)-2x1 surface. Ultra small Bi amounts (≤ 0.15ML) do not form ordered structures, if deposited at room temperature. Annealing at 400 degree C causes Bi to coalesce into small islands of the densely packed 2x1 phase. Simultaneously, vacancy clusters are produced in the substrate, which remain after desorption of Bi at 600 degree C. In contrast, room temperature deposition and thermal desorption of larger Bi amounts (≥ 0.25 ML) produces vacancies grouped into lines. Further annealing of such a substrate in the temperature range of 600 degree C ≤ T ≤ 750 degree C causes the phase transition between the Si(100)-2xn and Si(100)-c(4x4)

  8. Catalyst free growth of CNTs by CVD on nanoscale rough surfaces of silicon substrates

    Science.gov (United States)

    Damodar, D.; Sahoo, R. K.; Jacob, C.

    2013-06-01

    Catalyst free growth of carbon nanotubes (CNT) has been achieved using atmospheric pressure chemical vapor deposition (APCVD) on surface modified Si(111) substrates. The effect of the substrate surface has been observed by partially etching with KOH (potassium hydroxide) solution which is an anisotropic etchant. Scanning electron microscopy (SEM) confirmed the formation of CNTs over most of the area of the substrate where substrates were anisotropically etched. Transmission electron microscopy (TEM) was used to observe the internal structure of the CNTs. Raman spectroscopy further confirmed the formation of the carbon nanostructures and also their graphitic crystallinity.

  9. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  10. The structural and electrical characterisation of SiGe heterostructures deposited on strain relaxed virtual substrates

    International Nuclear Information System (INIS)

    Hammond, R.

    1998-09-01

    The influence of lateral dimensions on the relaxation mechanism and the resulting effect on the surface topography of limited-area, linearly graded Si 1-x Ge x virtual substrates has been investigated for the first time. A dramatic change in the relaxation mechanism of such buffer layers has been observed for depositions on Si mesa pillars of lateral dimensions of 10μm and below. For such depositions, misfit dislocations are able to extend, unhindered, and terminate at the edges of the growth zone. In this manner, orthogonal misfit dislocation interactions are avoided, yielding a surface free of the problematic surface cross-hatch roughening. However, as the lateral dimension of the growth zone is increased to 20μm, orthogonal misfit interactions occur and relaxation is dominated by the Modified Frank-Read (MFR) multiplication mechanism. The resulting surface morphology shows a pronounced surface cross-hatch roughening. It is proposed that such cross-hatch roughening is a direct consequence of the cooperative stress fields associated with the MFR mechanism. It is postulated that the method of limited-area, linearly graded buffer layers provides a unique opportunity, by which 'ideal' virtual substrates, free of surface cross-hatch and threading dislocations, may be produced to any Ge content. In addition, a unique method by which the electrical performance of low temperature, strained layer depositions may be optimised is discussed. The method relies on the elimination of as-grown lattice imperfections via a post growth thermal anneal treatment. A 25-fold increase in low temperature hole mobility of a Si 0.5 Ge 0.5 /Si 0.7 Ge 0.3 heterostructure has been demonstrated using a 30minute, 750 deg C in-situ, post growth anneal. (author)

  11. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  12. Quantification of Valleys of Randomly Textured Substrates as a Function of Opening Angle: Correlation to the Defect Density in Intrinsic nc-Si:H.

    Science.gov (United States)

    Kim, Do Yun; Hänni, Simon; Schüttauf, Jan-Willem; van Swaaij, René A C M M; Zeman, Miro

    2016-08-17

    Optical and electrical properties of hydrogenated nanocrystalline silicon (nc-Si:H) solar cells are strongly influenced by the morphology of underlying substrates. By texturing the substrates, the photogenerated current of nc-Si:H solar cells can increase due to enhanced light scattering. These textured substrates are, however, often incompatible with defect-less nc-Si:H growth resulting in lower Voc and FF. In this study we investigate the correlation between the substrate morphology, the nc-Si:H solar-cell performance, and the defect density in the intrinsic layer of the solar cells (i-nc-Si:H). Statistical surface parameters representing the substrate morphology do not show a strong correlation with the solar-cell parameters. Thus, we first quantify the line density of potentially defective valleys of randomly textured ZnO substrates where the opening angle is smaller than 130° (ρdrops. It is also observed that ρdefect increases following a power law dependence of ρ<130. This result is attributed to more frequently formed defective regions for substrates having higher ρ<130.

  13. Diffusion barrier and adhesion properties of SiO(x)N(y) and SiO(x) layers between Ag/polypyrrole composites and Si substrates.

    Science.gov (United States)

    Horváth, Barbara; Kawakita, Jin; Chikyow, Toyohiro

    2014-06-25

    This paper describes the interface reactions and diffusion between silver/polypyrrole (Ag/PPy) composite and silicon substrate. This composite material can be used as a novel technique for 3D-LSI (large-scale integration) by the fast infilling of through-silicon vias (TSV). By immersion of the silicon wafer with via holes into the dispersed solution of Ag/PPy composite, the holes are filled with the composite. It is important to develop a layer between the composite and the Si substrate with good diffusion barrier and adhesion characteristics. In this paper, SiOx and two types of SiOxNy barrier layers with various thicknesses were investigated. The interface structure between the Si substrate, the barrier, and the Ag/PPy composite was characterized by transmission electron microscopy. The adhesion and diffusion properties of the layers were established for Ag/PPy composite. Increasing thickness of SiOx proved to permit less Ag to transport into the Si substrate. SiOxNy barrier layers showed very good diffusion barrier characteristics; however, their adhesion depended strongly on their composition. A barrier layer composition with good adhesion and Ag barrier properties has been identified in this paper. These results are useful for filling conductive metal/polymer composites into TSV.

  14. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  15. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  16. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pezzoli, Fabio, E-mail: fabio.pezzoli@unimib.it; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo [LNESS and Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J. [School of Engineering, University of Glasgow, Rankine Building, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Isa, Fabio [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy); Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Biagioni, Paolo [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Isella, Giovanni [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy)

    2016-06-27

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO{sub 2} in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  17. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    International Nuclear Information System (INIS)

    Pezzoli, Fabio; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo; Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J.; Isa, Fabio; Biagioni, Paolo; Isella, Giovanni

    2016-01-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO_2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  18. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Science.gov (United States)

    Pezzoli, Fabio; Giorgioni, Anna; Gallacher, Kevin; Isa, Fabio; Biagioni, Paolo; Millar, Ross W.; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Isella, Giovanni; Paul, Douglas J.; Miglio, Leo

    2016-06-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  19. Methods for growth of relatively large step-free SiC crystal surfaces

    Science.gov (United States)

    Neudeck, Philip G. (Inventor); Powell, J. Anthony (Inventor)

    2002-01-01

    A method for growing arrays of large-area device-size films of step-free (i.e., atomically flat) SiC surfaces for semiconductor electronic device applications is disclosed. This method utilizes a lateral growth process that better overcomes the effect of extended defects in the seed crystal substrate that limited the obtainable step-free area achievable by prior art processes. The step-free SiC surface is particularly suited for the heteroepitaxial growth of 3C (cubic) SiC, AlN, and GaN films used for the fabrication of both surface-sensitive devices (i.e., surface channel field effect transistors such as HEMT's and MOSFET's) as well as high-electric field devices (pn diodes and other solid-state power switching devices) that are sensitive to extended crystal defects.

  20. Quality improvement of ZnO thin layers overgrown on Si(100 substrates at room temperature by nitridation pretreatment

    Directory of Open Access Journals (Sweden)

    Peng Wang

    2012-06-01

    Full Text Available To improve the quality of ZnO thin film overgrown on Si(100 substrate at RT (room temperature, the Si(100 surface was pretreated with different methods. The influence of interface on the overgrown ZnO layers was investigated by atomic force microscopy, photoluminescence and X-ray diffraction. We found that the nitridation pretreatment could significantly improve the quality of RT ZnO thin film through two-fold effects: one was to buffer the big lattice mismatch and ease the stress resulted from heterojunction growth; the other was to balance the interface charge, block the symmetric inheritance from the cubic Si (100 substrate and thus restrain the formation of zincblende phase.

  1. A study on the change in the phase transition temperature of TiSi sub 2 by adding the Zr element on different Si substrates

    CERN Document Server

    Yoon, S H

    1999-01-01

    The stabilization of C49 TiSi sub 2 at high temperature was investigated by adding Zr element to Ti-silicide both on single crystalline Si(100) and amorphous Si substrates. This stabilization of the C49 TiSi sub 2 phase, which exhibits lower surface and interface energies than those of the C54 TiSi sub 2 phase, was expected to suppress the problems of Ti-silicide, such as the phase transition and the agglomeration. Ti and Zr films of 40 nm were co-deposited on Si substrates in a dual e-beam evaporation system equipped with an ion pump and at a base pressure of approx 5x10 sup - sup 9 Torr. The amounts of Zr contents added to the Ti-silicide were 5, 10 and 20 atomic %, and the thicknesses were monitored by in-situ quartz-crystal thickness monitors. After the deposition, films were annealed by using an ex-situ vacuum furnace at temperatures between 600 .deg. C and 900 .deg. C in 100 .deg. C increments. The phase identification and the chemical compositions were investigated by X-ray diffraction (XRD) and Auger ...

  2. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    Science.gov (United States)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  3. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  4. Surface oxidation of porous ZrB2-SiC ceramic composites by continuous-wave ytterbium fibre laser

    International Nuclear Information System (INIS)

    Mahmod, Dayang Salyani Abang; Glandut, Nicolas; Khan, Amir Azam; Labbe, Jean-Claude

    2015-01-01

    Highlights: • Surface oxidation of ZrB 2 -SiC ceramic composites by Yb-fibre laser. • Round spiral laser pattern created for the surface oxidation. • Presence of laser-formed oxide scale and unaffected beneath regions. • Crazed but uncracked surface oxide. • A dense glassy SiO 2 -rich layer exhibited enhances oxidation resistance. - Abstract: Surface treatment of ceramic substrates by a laser beam can allow to incorporate interesting properties to these ceramics. In the present work, surface oxidation of ca. 30% porous ZrB 2 -SiC ceramic composites by using an ytterbium fibre laser was conducted. Oxidation of ceramic substrates through this process under ambient conditions has certain advantages compared to the classical oxidation method. A particular spiral laser pattern was created in order to produce an oxidized structure on ZrB 2 -SiC porous substrates. The laser parameters were as follows i.e., laser power of 50, 60 and 70 W, a beam diameter of 1.25 mm, velocity of 2 mm/s, acceleration and deceleration of 1 mm/s 2 . The microstructural and morphological changes in the laser-treated region was examined using scanning electron microscopy, energy dispersive X-ray spectroscopy, and X-ray diffraction. At laser power of 70 W, the sample exhibits uniform oxidation. It revealed that the very porous bulk beneath remained unaffected and unoxidized because this laser-formed oxide scale protects the substrate from oxidation. The presence of oxidized and unaffected regions indicated a high degree of heat localization. The dense glassy SiO 2 -rich layer prevents the inward oxygen diffusion into the inner bulk hence enhances the oxidation resistance.

  5. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  6. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  8. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  9. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  10. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  11. Studies on the substrate mediated vibrational excitation of CO/Si(100) by means of SFG spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Han, Xu; Lass, Kristian; Balgar, Thorsten; Hasselbrink, Eckart [Universitaet Duisburg-Essen, Fachbereich Chemie, 45117 Essen (Germany)

    2009-07-01

    Vibrational excitations of adsorbates play an important role in chemical reaction dynamics. In the past decade CO on solid surfaces was chosen as adequate model system for studying vibrational relaxation dynamics. Our work is focused on the energy dissipation of vibrationally excited CO adsorbed on a silicon surface by means of IR/Vis sum frequency generation (SFG) spectroscopy. Here we present studies on substrate mediated excitation of vibrational modes of CO on Si(100) induced by UV radiation. We suppose the observation of highly excited internal stretch vibrations of CO caused by hot electrons generated within the silicon substrate.

  12. FDTD simulation study of size/gap and substrate-dependent SERS activity study of Au@SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Yang Jing-Liang; Li Ruo-Ping; Han Jun-He; Huang Ming-Ju

    2016-01-01

    We use Au@SiO 2 nanoparticles (NPs) to systematically and comprehensively study the relationship between nanostructure and activity for surface-enhanced Raman scattering. Calculation simulation using the finite different time domain method verifies the experiment results and further reveals that the particle size and the distance between the NPs play vital roles in the surface-enhanced Raman scattering (SERS). Furthermore, in order to better simulate the real experiment, a Au@SiO 2 nanosphere dimer is placed on the silicon substrate and Au substrate, separately. The simulation results show that the large EM field coupling is due to the “hot spots” transferred from the NP–NP gaps to NP–surface of metal gaps, meanwhile, more “hot spots” occur. We also find that the signal intensity strongly depends on the position of the probe molecule. This work provides a better understanding of EM field enhancement. (paper)

  13. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  14. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  15. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  16. Surface oxidation of porous ZrB{sub 2}-SiC ceramic composites by continuous-wave ytterbium fibre laser

    Energy Technology Data Exchange (ETDEWEB)

    Mahmod, Dayang Salyani Abang, E-mail: dygsalyani@gmail.com [Department of Mechanical and Manufacturing Engineering, Faculty of Engineering, Universiti Malaysia Sarawak, 94300 Kota Samarahan, Sarawak (Malaysia); Glandut, Nicolas [SPCTS, UMR 7315, CNRS, University of Limoges, European Ceramic Center, 12 Rue Atlantis, 87068 Limoges (France); Khan, Amir Azam [Department of Mechanical and Manufacturing Engineering, Faculty of Engineering, Universiti Malaysia Sarawak, 94300 Kota Samarahan, Sarawak (Malaysia); Labbe, Jean-Claude [SPCTS, UMR 7315, CNRS, University of Limoges, European Ceramic Center, 12 Rue Atlantis, 87068 Limoges (France)

    2015-12-01

    Highlights: • Surface oxidation of ZrB{sub 2}-SiC ceramic composites by Yb-fibre laser. • Round spiral laser pattern created for the surface oxidation. • Presence of laser-formed oxide scale and unaffected beneath regions. • Crazed but uncracked surface oxide. • A dense glassy SiO{sub 2}-rich layer exhibited enhances oxidation resistance. - Abstract: Surface treatment of ceramic substrates by a laser beam can allow to incorporate interesting properties to these ceramics. In the present work, surface oxidation of ca. 30% porous ZrB{sub 2}-SiC ceramic composites by using an ytterbium fibre laser was conducted. Oxidation of ceramic substrates through this process under ambient conditions has certain advantages compared to the classical oxidation method. A particular spiral laser pattern was created in order to produce an oxidized structure on ZrB{sub 2}-SiC porous substrates. The laser parameters were as follows i.e., laser power of 50, 60 and 70 W, a beam diameter of 1.25 mm, velocity of 2 mm/s, acceleration and deceleration of 1 mm/s{sup 2}. The microstructural and morphological changes in the laser-treated region was examined using scanning electron microscopy, energy dispersive X-ray spectroscopy, and X-ray diffraction. At laser power of 70 W, the sample exhibits uniform oxidation. It revealed that the very porous bulk beneath remained unaffected and unoxidized because this laser-formed oxide scale protects the substrate from oxidation. The presence of oxidized and unaffected regions indicated a high degree of heat localization. The dense glassy SiO{sub 2}-rich layer prevents the inward oxygen diffusion into the inner bulk hence enhances the oxidation resistance.

  17. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  18. Structural and electrical characterization of HBr/O{sub 2} plasma damage to Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi; Minami, Masaki; Uesawa, Fumikatsu; Tatsumi, Tetsuya [Semiconductor Technology Development Division, Semiconductor Business Group, Professional, Device and Solutions Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University, Yoshida-Honmachi, Sakyo-ku, Kyoto 606-8501 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Professional, Device and Solutions Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2011-07-15

    Silicon substrate damage caused by HBr/O{sub 2} plasma exposure was investigated by spectroscopic ellipsometry (SE), high-resolution Rutherford backscattering spectroscopy, and transmission electron microscopy. The damage caused by H{sub 2}, Ar, and O{sub 2} plasma exposure was also compared to clarify the ion-species dependence. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. In the case of HBr/O{sub 2} plasma exposure, hydrogen generated the deep damaged layer ({approx}10 nm), whereas ion-enhanced diffusion of oxygen, supplied simultaneously by the plasma, caused the thick surface oxidation. In-line monitoring of damage thicknesses by SE, developed with an optimized optical model, showed that the SE can be used to precisely monitor damage thicknesses in mass production. Capacitance-voltage (C-V) characteristics of a damaged layer were studied before and after diluted-HF (DHF) treatment. Results showed that a positive charge is generated at the surface oxide-dislocated Si interface and/or in the bulk oxide after plasma exposure. After DHF treatment, most of the positive charges were removed, while the thickness of the ''Si recess'' was increased by removing the thick surface oxidized layer. As both the Si recess and remaining dislocated Si, including positive charges, cause the degradation of electrical performance, precise monitoring of the surface structure and understanding its effect on device performance is indispensable for creating advanced devices.

  19. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    Science.gov (United States)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  20. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Lina [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Falco, Charles M. [University of Arizona, College of Optical Sciences, AZ 85721 (United States)

    2016-10-30

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  1. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    International Nuclear Information System (INIS)

    Guo, Lina; Liu, Shuang; Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong; Falco, Charles M.

    2016-01-01

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  2. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  3. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  4. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  5. Mo-Si-B-Based Coatings for Ceramic Base Substrates

    Science.gov (United States)

    Perepezko, John Harry (Inventor); Sakidja, Ridwan (Inventor); Ritt, Patrick (Inventor)

    2015-01-01

    Alumina-containing coatings based on molybdenum (Mo), silicon (Si), and boron (B) ("MoSiB coatings") that form protective, oxidation-resistant scales on ceramic substrate at high temperatures are provided. The protective scales comprise an aluminoborosilicate glass, and may additionally contain molybdenum. Two-stage deposition methods for forming the coatings are also provided.

  6. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  7. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  8. Structure compatibility of TiO{sub 2} and SiO{sub 2} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tokarský, Jonáš, E-mail: jonas.tokarsky@vsb.cz; Čapková, Pavla

    2013-11-01

    A simple method for the estimation of the most suitable mutual crystallographic orientations of TiO{sub 2} nanoparticles anchored on SiO{sub 2} substrate is presented in this work. Number of overlapping titanium and oxygen atoms creating atomic pairs can be used to quantify the structure compatibility. These atomic pairs are obtained directly from non-optimized TiO{sub 2} and SiO{sub 2} atomic planes. The descriptions of algorithms being implemented as scripts into the MATLAB environment in order to make the method more effective are also provided. This method can help with the selection of the most promising (h k l) planes of TiO{sub 2} and SiO{sub 2} adjacent surfaces and the outputs are in good agreement with results of molecular modeling of TiO{sub 2} nanoparticles anchored on SiO{sub 2} surfaces within the meaning of ability to determine the optimized models with the highest and the lowest TiO{sub 2}–SiO{sub 2} adhesion energies. To the best of our knowledge, there is no other such simple and efficient method providing this information, which is very important for molecular modeling of nanoparticle-crystalline substrate systems.

  9. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  10. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  11. XPS study of graphene oxide reduction induced by (100) and (111)-oriented Si substrates

    Science.gov (United States)

    Priante, F.; Salim, M.; Ottaviano, L.; Perrozzi, F.

    2018-02-01

    The reduction of graphene oxide (GO) has been extensively studied in literature in order to let GO partially recover the properties of graphene. Most of the techniques proposed to reduce GO are based on high temperature annealing or chemical reduction. A new procedure, based on the direct reduction of GO by etched Si substrate, was recently proposed in literature. In the present work, we accurately investigated the Si-GO interaction with x-ray photoelectron spectroscopy. In order to avoid external substrate oxidation factors we used EtOH as the GO solvent instead of water, and thermal annealing was carried out in UHV. We investigated the effect of Si(100), Si(111) and Au substrates on GO, to probe the role played by both the substrate composition and substrate orientation during the reduction process. A similar degree of GO reduction was observed for all samples but only after thermal annealing, ruling out the direct reduction effect of the substrate.

  12. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Self-trapping nature of Tl nanoclusters on the Si(111)-7x7 surface

    International Nuclear Information System (INIS)

    Hwang, C G; Kim, N D; Lee, G; Shin, S Y; Kim, J S; Chung, J W

    2008-01-01

    We have studied properties of thallium (Tl) nanoclusters formed on the Si(111)-7x7 surface at room temperature (RT) by utilizing photoemission spectroscopy (PES) and high-resolution electron-energy-loss spectroscopy (HREELS) combined with first principles calculations. Our PES data reveal that the surface states stemming from the Si substrate remain quite inert with Tl adsorption producing no Tl-induced state until saturation at Tl coverage θ=0.21 monolayers. Such a behavior, in sharp contrast with the extremely reactive surface states upon the formation of Na or Li nanoclusters, together with the presence of a unique Tl-induced loss peak in HREELS spectra suggests no strong Si-Tl bonding, and is well understood in terms of gradual filling of Si dangling bonds with increasing θ. Our calculation further indicates the presence of several metastable atomic structures of Tl nanoclusters at RT rapidly transforming from one to another faster than 10 10 flippings per second. We thus conclude that the highly mobile Tl atoms form self-trapped nanoclusters within the attractive basins of the Si substrate at RT with several metastable phases. The mobile and multi-phased nature of Tl nanoclusters not only accounts for all the existing experimental observations available at present, but also provides an example of self-trapping of atoms in a nanometre-scale region

  14. Bonding temperature dependence of GaInAsP/InP laser diode grown on hydrophilically directly bonded InP/Si substrate

    Science.gov (United States)

    Aikawa, Masaki; Onuki, Yuya; Hayasaka, Natsuki; Nishiyama, Tetsuo; Kamada, Naoki; Han, Xu; Kallarasan Periyanayagam, Gandhi; Uchida, Kazuki; Sugiyama, Hirokazu; Shimomura, Kazuhiko

    2018-02-01

    The bonding-temperature-dependent lasing characteristics of 1.5 a µm GaInAsP laser diode (LD) grown on a directly bonded InP/Si substrate were successfully obtained. We have fabricated the InP/Si substrate using a direct hydrophilic wafer bonding technique at bonding temperatures of 350, 400, and 450 °C, and deposited GaInAsP/InP double heterostructure layers on this InP/Si substrate. The surface conditions, X-ray diffraction (XRD) analysis, photoluminescence (PL) spectra, and electrical characteristics after the growth were compared at these bonding temperatures. No significant differences were confirmed in X-ray diffraction analysis and PL spectra at these bonding temperatures. We realized the room-temperature lasing of the GaInAsP LD on the InP/Si substrate bonded at 350 and 400 °C. The threshold current densities were 4.65 kA/cm2 at 350 °C and 4.38 kA/cm2 at 400 °C. The electrical resistance was found to increase with annealing temperature.

  15. Evaluation of the barrier capability of Zr-Si films with different substrate temperature for Cu metallization

    International Nuclear Information System (INIS)

    Wang Ying; Cao Fei; Ding Minghui; Shao Lei

    2009-01-01

    Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 deg. C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 deg. C) with higher mass density make the Cu/Zr-Si(300 deg. C)/Si sample more stable. The appearance of Cu 3 Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.

  16. Temperature suppression of STM-induced desorption of hydrogen on Si(100) surfaces

    DEFF Research Database (Denmark)

    Thirstrup, C.; Sakurai, M.; Nakayama, T.

    1999-01-01

    The temperature dependence of hydrogen (H) desorption from Si(100) H-terminated surfaces by a scanning tunneling microscope (STM) is reported for negative sample bias. It is found that the STM induced H desorption rate (R) decreases several orders of magnitude when the substrate temperature...

  17. Fast Homoepitaxial Growth of 4H-SiC Films on 4° off-Axis Substrates in a SiH4-C2H4-H2 System

    International Nuclear Information System (INIS)

    Liu Bin; Sun Guo-Sheng; Liu Xing-Fang; Zhang Feng; Dong Lin; Zheng Liu; Yan Guo-Guo; Liu Sheng-Bei; Zhao Wan-Shun; Wang Lei; Zeng Yi-Ping; Wang Zhan-Guo; Li Xi-Guang; Yang Fei

    2013-01-01

    Homoepitaxial growth of 4H-SiC epilayers is conducted in a SiH 4 -C 2 H 4 -H 2 system by low pressure hot-wall vertical chemical vapor deposition (CVD). Thick epilayers of 45 μm are achieved at a high growth rate up to 26 μm/h under an optimized growth condition, and are characterized by using a Normaski optical microscope, a scanning electronic microscope (SEM), an atomic force microscope (AFM) and an x-ray diffractometer (XRD), indicating good crystalline quality with mirror-like smooth surfaces and an rms roughness of 0.9 nm in a 5 μm × 5μm area. The dependence of the 4H-SiC growth rate on growth conditions on 4° off-axis 4H-SiC substrates and its mechanism are investigated. It is found that the H 2 flow rate could influence the surface roughness, while good surface morphologies without Si droplets and epitaxial defects such as triangular defects could be obtained by increasing temperature

  18. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  19. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  20. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates

    International Nuclear Information System (INIS)

    Teng, F-Y; Ting, J-M; Sharma, Sahendra P; Liao, Kun-Hou

    2008-01-01

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs

  1. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates.

    Science.gov (United States)

    Teng, F-Y; Ting, Jyh-Ming; Sharma, Sahendra P; Liao, Kun-Hou

    2008-03-05

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs.

  2. Mid-infrared PbTe vertical external cavity surface emitting laser on Si-substrate with above 1 W output power

    Science.gov (United States)

    Rahim, M.; Fill, M.; Felder, F.; Chappuis, D.; Corda, M.; Zogg, H.

    2009-12-01

    Mid-infrared vertical external cavity surface emitting lasers (VECSELs) emitting above 1 W output power in pulsed mode and up to 17 mW in continuous mode at -172 °C were realized. Emission wavelength changes from 5 μm at -172 °C to 3.6 μm at 20 °C heat sink temperature. The active medium is a one wavelength thick PbTe layer grown by molecular beam epitaxy on a Si-substrate. It is followed by a 2.5 pair Pb1-yEuyTe/EuTe epitaxial Bragg mirror. The cavity is completed with an external curved Pb1-yEuyTe/BaF2 mirror. The VECSEL is optically pumped with 1.55 μm wavelength laser and In-soldered to Cu heat sink. No microstructural processing is needed.

  3. Haemocompatibility evaluation of DLC- and SiC-coated surfaces

    Directory of Open Access Journals (Sweden)

    Nurdin N.

    2003-06-01

    Full Text Available Diamond-like carbon (DLC and silicon carbide (SiC coatings are attractive because of low friction coefficient, high hardness, chemical inertness and smooth finish, which they provide to biomedical devices. Silicon wafers (Siwaf and silicone rubber (Sirub plates were coated using plasma-enhanced chemical vapour deposition (PE-CVD techniques. This article describes: 1- the characterization of modified surfaces using attenuated total reflection-Fourier transform infrared spectroscopy (ATR/FTIR and contact angle measurements, 2- the results of three in-vitro haemocompatibility assays. Coated surfaces were compared to uncoated materials and various substrates such as polymethylmethacrylate (PMMA, polyethylene (LDPE, polydimethylsiloxane (PDMS and medical steel (MS. Thrombin generation, blood platelet adhesion and complement convertase activity tests revealed the following classification, from the most to the least heamocompatible surface: Sirub/ DLC-Sirub/ DLC-Siwaf/ LDPE/ PDMS/ SiC-Siwaf/ Siwaf/ PMMA/ MS. The DLC coating surfaces delayed the clotting time, tended to inhibit the platelet and complement convertase activation, whereas SiC-coated silicon wafer can be considered as thrombogenic. This study has taken into account three events of the blood activation: coagulation, platelet activation and inflammation. The response to those events is an indicator of the in vitro haemocompatibility of the different surfaces and it allows us to select biomaterials for further in vivo blood contacting investigations.

  4. Molecular dynamics study on heat transport from single-walled carbon nanotubes to Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Ya; Zhu, Jie, E-mail: zhujie@iet.cn; Tang, Da-Wei

    2015-02-06

    In this paper, non-equilibrium molecular dynamics simulations were performed to investigate the heat transport between a vertically aligned single-walled carbon nanotube (SWNT) and Si substrate, to find out the influence of temperature and system sizes, including diameter and length of SWNT and measurements of substrate. Results revealed that high temperature hindered heat transport in SWNT itself but was a beneficial stimulus for heat transport at interface of SWNT and Si. Furthermore, the system sizes strongly affected the peaks in vibrational density of states of Si, which led to interfacial thermal conductance dependent on system sizes. - Highlights: • NEMD is performed to simulate the heat transport from SWNT to Si substrate. • We analyze both interfacial thermal conductance and thermal conductivity of SWNT. • High temperature is a beneficial stimulus for heat transport at the interface. • Interfacial thermal conductance strongly depends on the sizes of SWNT and substrate. • We calculate VDOS of C and Si atoms to analyze phonon couplings between them.

  5. Effect of a Stepped Si(100) Surface on the Nucleation Process of Ge Islands

    Science.gov (United States)

    Yesin, M. Yu.; Nikiforov, A. I.; Timofeev, V. A.; Mashanov, V. I.; Tuktamyshev, A. R.; Loshkarev, I. D.; Pchelyakov, O. P.

    2018-03-01

    Nucleation of Ge islands on a stepped Si(100) surface is studied. It is shown by diffraction of fast electrons that at a temperature of 600°C, constant flux of Si, and deposition rate of 0.652 Å/s, a series of the 1×2 superstructure reflections completely disappears, if the Si (100) substrate deviated by an angle of 0.35° to the (111) face is preliminarily heated to 1000°C. The disappearance of the 1×2 superstructure reflexes is due to the transition from the surface with monoatomic steps to that with diatomic ones. Investigations of the Ge islands' growth were carried out on the Si(100) surface preliminarily annealed at temperatures of 800 and 1000°C. It is shown that the islands tend to nucleate at the step edges.

  6. Surface-potential undulation of Alq3 thin films prepared on ITO, Au, and n-Si.

    Science.gov (United States)

    Ozasa, Kazunari; Ito, Hiromi; Maeda, Mizuo; Hara, Masahiko

    2012-01-01

    The surface potential (SP) morphology on thin films of tris(8-hydroxyquinolinato) aluminum (Alq3) was investigated with Kelvin probe force microscopy. Thin Alq3 films of 100 nm were prepared on ITO/glass substrates, Au/mica substrates, and n-Si substrates. Cloud-like morphologies of the SP undulation with 200-400 nm in lateral size were observed for all three types of the substrates. New larger peaks were observed in the cloud-like morphologies when the surfaces were exposed shortly to a light, while the SP average was reduced monotonically. The nonuniform distribution of charged traps and mobility was deduced from the SP undulation morphology and its photoexposure dependences.

  7. Combined sputtering yield and surface topography development studies on Si

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Lewis, G.W.; Whitton, J.L.

    1981-01-01

    The sputtering yield-incidence angle function has been measured for 8 keV Ar + ions incident on Si by direct scanning electron microscope observation of the depths of sputtered craters on substrate boundaries. This function displays a maximum sputtering yield at an angle thetasub(p) approximately equal to 40 0 to the surface normal. The sequential ion fluence dependence of features developed beneath local surface contaminant was then studied, quasi dynamically, in the same on-line ion source-S.E.M. system. During erosion of the contaminant a steeply elevated pillar of Si forms, which then transforms to a cone, again of high elevation angle >>thetasub(p). This cone is gradually eroded into the surrounding surface with no special significance associated with orientations of angle thetasub(p). Pedal depressions surrounding the pillar-cone system are also noted. The reasons for these observations and their relevance to ion beam surface channel etching are discussed. (Auth.)

  8. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  9. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  10. Investigation of nanocrystalline thin cobalt films thermally evaporated on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozłowski, W., E-mail: wkozl@std2.phys.uni.lodz.pl [Department of Solid State Physics, Faculty of Physics and Applied Informatics, University of Łódź, Pomorska 149/153, 90-236 Łódź (Poland); Balcerski, J.; Szmaja, W. [Department of Solid State Physics, Faculty of Physics and Applied Informatics, University of Łódź, Pomorska 149/153, 90-236 Łódź (Poland); Piwoński, I. [Department of Materials Technology and Chemistry, Faculty of Chemistry, University of Łódź, Pomorska 163, 90-236 Łódź (Poland); Batory, D. [Institute of Materials Science and Engineering, Łódź University of Technology, Stefanowskiego 1/15, 90-924 Łódź (Poland); Miękoś, E. [Department of Inorganic and Analytical Chemistry, Faculty of Chemistry, University of Łódź, Tamka 12, 91-403 Łódź (Poland); and others

    2017-03-15

    We have made a quantitative study of the morphological and magnetic domain structures of 100 nm thick nanocrystalline cobalt films thermally evaporated on naturally oxidized Si(100) substrates. The morphological structure is composed of densely packed grains with the average grain size (35.6±0.8) nm. The grains exhibit no geometric alignment and no preferred elongation on the film surface. In the direction perpendicular to the film surface, the grains are aligned in columns. The films crystallize mainly in the hexagonal close-packed phase of cobalt and possess a crystallographic texture with the hexagonal axis perpendicular to the film surface. The magnetic domain structure consists of domains forming a maze stripe pattern with the average domain size (102±6) nm. The domains have their magnetizations oriented almost perpendicularly to the film surface. The domain wall energy, the domain wall thickness and the critical diameter for single-domain particle were determined. - Highlights: • 100 nm thick nanocrystalline cobalt films on Si(100) were studied quantitatively. • The grains are densely packed and possess the average size (35.6±0.8) nm. • The films have a texture with the hexagonal axis perpendicular to the film surface. • The magnetic domains form a maze stripe pattern with the average size (102±6) nm. • The domains are magnetized almost perpendicularly to the film surface.

  11. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  12. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  13. Si surface passivation by SiOx:H films deposited by a low-frequency ICP for solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H P; Wei, D Y; Xu, S; Xiao, S Q; Xu, L X; Huang, S Y; Guo, Y N; Khan, S; Xu, M

    2012-01-01

    Hydrogenated silicon suboxide (SiO x :H) thin films are fabricated by a low-frequency inductively coupled plasma of hydrogen-diluted SiH 4 + CO 2 at a low temperature (100 °C). Introduction of a small amount of oxygen into the film results in a predominantly amorphous structure, wider optical bandgap, increased H content, lower conductivity and higher activation energy. The minority carrier lifetime in the SiO x :H-passivated p-type Si substrate is up to 428 µs with a reduced incubation layer at the interface. The associated surface recombination velocity is as low as 70 cm s -1 . The passivation behaviour dominantly originates from the H-related chemical passivation. The passivation effect is also demonstrated by the excellent photovoltaic performance of the heterojunction solar cell with the SiO x :H-based passivation and emitter layers.

  14. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  15. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  16. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  17. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  18. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  19. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  20. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  1. High-resolution ellipsometric study of an n-alkane film, dotriacontane, adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Volkmann, U.G.; Pino, M.; Altamirano, L.A.

    2002-01-01

    -crystal substrates. Our results suggest a model of a solid dotriacontane film that has a phase closest to the SiO2 surface in which the long-axis of the molecules is oriented parallel to the interface. Above this "parallel film" phase, a solid monolayer adsorbs in which the molecules are oriented perpendicular...... at higher coverages. In addition, we have performed high-resolution ellipsometry and stray-light measurements on dotriacontane films deposited from solution onto highly oriented pyrolytic graphite substrates. After film deposition, these substrates proved to be less stable in air than SiO2....

  2. Extremely improved InP template and GaInAsP system growth on directly-bonded InP/SiO2-Si and InP/glass substrate

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko

    2013-01-01

    We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  4. Study of SiO2 surface sputtering by a 250-550 keV He+ ion beam during high-resolution Rutherford backscattering measurements

    International Nuclear Information System (INIS)

    Kusanagi, Susumu; Kobayashi, Hajime

    2006-01-01

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO 2 layer on a Si substrate when irradiated by 250-550 keV He + ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO 2 /Si substrate were also observed as a result of He + ion irradiation. These results lead to the conclusion that the SiO 2 surface was sputtered by He + ions in this energy range

  5. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  6. Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si

    International Nuclear Information System (INIS)

    Naureen, S; Shahid, N; Dev, A; Anand, S

    2013-01-01

    High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth. (paper)

  7. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  8. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    Science.gov (United States)

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  9. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  10. Optical properties of silicene, Si/Ag(111), and Si/Ag(110)

    Science.gov (United States)

    Hogan, C.; Pulci, O.; Gori, P.; Bechstedt, F.; Martin, D. S.; Barritt, E. E.; Curcella, A.; Prevot, G.; Borensztein, Y.

    2018-05-01

    We present a state-of-the-art study of the optical properties of free-standing silicene and of single-layer Si one- and two-dimensional (1D and 2D) nanostructures supported on Ag(110) and Ag(111) substrates. Ab initio simulations of reflectance anisotropy spectroscopy (RAS) and surface differential reflectivity spectroscopy (SDRS) applied to the clean Ag surface and Si/Ag interfaces are compared with new measurements. For Si/Ag(110), we confirm a pentagonal nanoribbon geometry, strongly bonded to the substrate, and rule out competing zigzag chain and silicenelike models. For Si/Ag(111), we reproduce the main experimental features and isolate the optical signal of the epitaxial silicene overlayer. The absorption spectrum of a silicene sheet computed including excitonic and local field effects is found to be quite similar to that calculated within an independent particle approximation and shows strong modifications when adsorbed on a Ag substrate. Important details of the computational approach are examined and the origins of the RAS and SDRS signals are explained in terms of the interface and substrate response functions. Our study does not find any evidence for Si adlayers that retain the properties of freestanding silicene.

  11. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  12. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  13. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography

    International Nuclear Information System (INIS)

    Chen Peixuan; Fan Yongliang; Zhong Zhenyang

    2009-01-01

    A new scalable approach has been developed for fabricating large-scale pit patterns with controllable periodicity on Si(001) substrates. The fabrication processes start with self-assembling a monolayer of polystyrene (PS) spheres on hydrogenated Si(001) substrates. A novel net-like mask in combination of the Au pattern thermally evaporated in between the PS spheres and the Au-catalyzed SiO 2 around them is naturally formed. After selective etching of Si by KOH solution, two-dimensionally ordered pits with a periodicity equal to the diameter of the PS spheres in the range from micrometers to less than 100 nm can be obtained. The shape of the pits can be modulated by controlling the chemical etching time. Such pit-patterned Si substrates facilitate the formation of ordered Si-based nanostructures, such as ordered self-assembled GeSi quantum dots, by deposition of Ge using molecular beam epitaxy.

  14. Si/C and H coadsorption at 4H-SiC{0001} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wachowicz, E., E-mail: elwira@ifd.uni.wroc.pl [Institute of Experimental Physics, University of Wrocław, Plac M. Borna 9, PL-50-204 Wrocław (Poland); Interdisciplinary Centre for Mathematical and Computational Modelling, University of Warsaw, Pawińskiego 5a, PL-02-106 Warsaw (Poland)

    2016-06-15

    Highlights: • Si on C-terminated and C on Si-terminated surface adsorb in the H{sub 3} hollow site. • The preferred adsorption site is in contrary to the stacking order of bulk crystal. • The presence of hydrogen increases the adsorption energy of Si/C. • Hydrogen weakens the bonds between the adsorbed Si or C and the surface. • Carbon adsorbs on top of the surface carbon on the C-terminated surface. • With both C and H on Si-terminated surface the surface state vanishes. - Abstract: Density functional theory (DFT) study of adsorption of 0.25 monolayer of either Si or C on 4H-SiC{0001} surfaces is presented. The adsorption in high-symmetry sites on both Si- and C-terminated surfaces was examined and the influence of the preadsorbed 0.25 ML of hydrogen on the Si/C adsorption was considered. It was found out that for Si on C-terminated surface and C on Si-terminated the most favourable is threefolded adsorption site on both clean and H-precovered surface. This is contrary to the bulk crystal stacking order which would require adsorption on top of the topmost surface atom. In those cases, the presence of hydrogen weakens the bonding of the adsorbate. Carbon on the C-terminated surface, only binds on-top of the surface atom. The C−C bond-length is almost the same for the clean surface and for one with H and equals to ∼1.33 Å which is shorter by ∼0.2 than in diamond. The analysis of the electronic structure changes under adsorption is also presented.

  15. Effect of substrate temperature on the morphological, structural, and optical properties of RF sputtered Ge1−x Snx films on Si substrate

    International Nuclear Information System (INIS)

    Mahmodi, H; Hashim, M R

    2017-01-01

    In this study, Ge 1−x Sn x alloy films are co-sputtered on Si(100) substrates using RF magnetron sputtering at different substrate temperatures. Scanning electron micrographs, atomic force microscopy (AFM), Raman spectroscopy, and x-ray photoemission spectroscopy (XPS) are conducted to investigate the effect of substrate temperature on the structural and optical properties of grown GeSn alloy films. AFM results show that RMS surface roughness of the films increases from 1.02 to 2.30 nm when raising the substrate temperature. This increase could be due to Sn surface segregation that occurs when raising the substrate temperature. Raman spectra exhibits the lowest FWHM value and highest phonon intensity for a film sputtered at 140 °C. The spectra show that decreasing the deposition temperature to 140 °C improves the crystalline quality of the alloy films and increases nanocrystalline phase formation. The results of Raman spectra and XPS confirm Ge–Sn bond formation. The optoelectronic characteristics of fabricated metal-semiconductor-metal photodetectors on sputtered samples at room temperature (RT) and 140 °C are studied in the dark and under illumination. The sample sputtered at 140 °C performs better than the RT sputtered sample. (paper)

  16. Interface thermal resistance of nanostructured FeCoCu film and Si substrate

    Science.gov (United States)

    Nikolaenko, Yuri M.; Medvedev, Yuri V.; Genenko, Yuri A.; Ghafari, Mohammad; Hahn, Horst

    2006-05-01

    Results of measurement of thermal resistance (RFS ) of film substrate interface of 10 nm (Fe1-x Cox )1-y Cuy film on Si substrate with 50 nm SiO2 sublayer are presented. The estimated magnitude is two orders greater then RFS of epitaxial manganite films on StTiO3 substrate with and without sublayer. The significant increase of RFS is explained by granular structure of film with average size of grain about 10 nm. In this case the additional thermal barier in the film-substrate interface is appeared. It provides the change of regime of phonons propagation from ballistic to diffusion one. The principle possibility of variation of RFS in wide range as a task of nanotechnology is discussed.

  17. Rapid Biochemical Mixture Screening by Three-Dimensional Patterned Multifunctional Substrate with Ultra-Thin Layer Chromatography (UTLC) and Surface Enhanced Raman Scattering (SERS).

    Science.gov (United States)

    Lee, Bi-Shen; Lin, Pi-Chen; Lin, Ding-Zheng; Yen, Ta-Jen

    2018-01-11

    We present a three-dimensional patterned (3DP) multifunctional substrate with the functions of ultra-thin layer chromatography (UTLC) and surface enhanced Raman scattering (SERS), which simultaneously enables mixture separation, target localization and label-free detection. This multifunctional substrate is comprised of a 3DP silicon nanowires array (3DP-SiNWA), decorated with silver nano-dendrites (AgNDs) atop. The 3DP-SiNWA is fabricated by a facile photolithographic process and low-cost metal assisted chemical etching (MaCE) process. Then, the AgNDs are decorated onto 3DP-SiNWA by a wet chemical reduction process, obtaining 3DP-AgNDs@SiNWA multifunctional substrates. With various patterns designed on the substrates, the signal intensity could be maximized by the excellent confinement and concentrated effects of patterns. By using this 3DP-AgNDs@SiNWA substrate to scrutinize the mixture of two visible dyes, the individual target could be recognized and further boosted the Raman signal of target 15.42 times comparing to the un-patterned AgNDs@SiNWA substrate. Therefore, such a three-dimensional patterned multifunctional substrate empowers rapid mixture screening, and can be readily employed in practical applications for biochemical assays, food safety and other fields.

  18. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  19. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  20. Fabrication of a Large-Area Superhydrophobic SiO2 Nanorod Structured Surface Using Glancing Angle Deposition

    Directory of Open Access Journals (Sweden)

    Xun Lu

    2017-01-01

    Full Text Available A glancing angle deposition (GLAD technique was used to generate SiO2 nanorods on a glass substrate to fabricate a low-cost superhydrophobic functional nanostructured surface. GLAD-deposited SiO2 nanorod structures were fabricated using various deposition rates, substrate rotating speeds, oblique angles, and deposition times to analyze the effects of processing conditions on the characteristics of the fabricated functional nanostructures. The wettability of the surface was measured after surface modification with a self-assembled monolayer (SAM. The measured water contact angles were primarily affected by substrate rotation speed and oblique angle because the surface fraction of the GLAD nanostructure was mainly affected by these parameters. A maximum contact angle of 157° was obtained from the GLAD sample fabricated at a rotation speed of 5 rpm and an oblique angle of 87°. Although the deposition thickness (height of the nanorods was not a dominant factor for determining the wettability, we selected a deposition thickness of 260 nm as the optimum processing condition based on the measured optical transmittance of the samples because optically transparent films can serve as superhydrophobic functional nanostructures for optical applications.

  1. Magnetic-field-dependent morphology of self-organized Fe on stepped Si(111) surfaces

    International Nuclear Information System (INIS)

    Cougo dos Santos, M.; Geshev, J.; Pereira, L. G.; Schmidt, J. E.

    2009-01-01

    The present work reports on Fe thin films grown on vicinal Si(111) substrates via rf magnetron sputtering. The dependencies of the growth mode and magnetic properties of the obtained iron nanostructures on both crystallographic surface orientation and on the direction of the very weak stray magnetic field from the magnetron gun were studied. Scanning tunneling microscopy images showed strong dependence of the Fe grains' orientation on the stray field direction in relation to the substrate's steps demonstrating that, under appropriately directed magnetic field, Si surfaces can be used as templates for well-defined self-assembled iron nanostructures. Magneto-optical Kerr effect hysteresis loops showed an easy-axis coercivity almost one order of magnitude smaller for the film deposited with stray field applied along the steps, accompanied with a change in the magnetization reversal mode. Phenomenological models involving coherent rotation and/or domain-wall unpinning were used for the interpretation of these results.

  2. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  3. Electrical isolation of dislocations in Ge layers on Si(001 substrates through CMOS-compatible suspended structures

    Directory of Open Access Journals (Sweden)

    Vishal Ajit Shah, Maksym Myronov, Chalermwat Wongwanitwatana, Lewis Bawden, Martin J Prest, James S Richardson-Bullock, Stephen Rhead, Evan H C Parker, Terrance E Whall and David R Leadley

    2012-01-01

    Full Text Available Suspended crystalline Ge semiconductor structures are created on a Si(001 substrate by a combination of epitaxial growth and simple patterning from the front surface using anisotropic underetching. Geometric definition of the surface Ge layer gives access to a range of crystalline planes that have different etch resistance. The structures are aligned to avoid etch-resistive planes in making the suspended regions and to take advantage of these planes to retain the underlying Si to support the structures. The technique is demonstrated by forming suspended microwires, spiderwebs and van der Pauw cross structures. We finally report on the low-temperature electrical isolation of the undoped Ge layers. This novel isolation method increases the Ge resistivity to 280 Ω cm at 10 K, over two orders of magnitude above that of a bulk Ge on Si(001 layer, by removing material containing the underlying misfit dislocation network that otherwise provides the main source of electrical conduction.

  4. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  5. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  6. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    Science.gov (United States)

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  7. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  8. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  9. Stress impedance effect of FeCoSiB/Cu/FeCoSiB sandwich layers on flexible substrate

    International Nuclear Information System (INIS)

    Peng, B.; Zhang, W.L.; Liu, J.D.; Zhang, W.X.

    2011-01-01

    FeCoSiB/Cu/FeCoSiB sandwich layers were deposited on flexible substrate to develop flexible stress/strain sensors. The influence of stress on the impedance of the multilayers is reported. The results show that the variation of the impedance increases with the increase in deflection of the free end of the cantilever. A relative change in impedance of 6.4% is obtained in the FeCoSiB(1.5 μm)/Cu(0.25 μm)/FeCoSiB(1.5 μm) sandwich layers at 1 MHz with deflection of 2 mm. The stress impedance effects are sensitive to the frequency of the current and the thickness of both FeCoSiB and Cu layers. The stress impedance effect increases with the increase in the thickness of FeCoSiB or Cu layers. The stress impedance effect increases slightly with the increase in frequency and decreases with the further increase in frequency, which can be understood by the stress and frequency-dependent permeability of magnetic films. - Research highlights: → We deposited FeCoSiB/Cu/FeCoSiB multilayer on flexible substrate. → We studied the stress impedance effect of FeCoSiB/Cu/FeCoSiB multilayer. → Stress impedance effect increases with thickness of both FeCoSiB and Cu layer.→ Stress impedance effect is dependent on current frequency. → Results are understood using stress and frequency-dependent permeability.

  10. Massive transfer of vertically aligned Si nanowire array onto alien substrates and their characteristics

    International Nuclear Information System (INIS)

    Shiu, Shu-Chia; Hung, Shih-Che; Chao, Jiun-Jie; Lin, Ching-Fuh

    2009-01-01

    Si nanowires (NWs) are promising materials for future electronic, photovoltaic, and sensor applications. So far the Si NWs are mainly formed on particular substrates or at high temperatures, greatly limiting their application flexibility. Here we report a low temperature process for forming and massively transferring vertically aligned Si NWs on alien substrates with a large density of about (3-5) x 10 7 NWs/mm 2 . The X-ray diffraction spectrum reveals that the transferred NWs exhibit almost the same crystal property as the bulk Si. Our investigation further shows that the transferred NWs have exceptional optical characteristics. The transferred Si NWs of 12.14 μm exhibit the transmittance as low as 0.3% in the near infrared region and 0.07% in the visible region. The extracted absorption coefficient of Si NWs in the near infrared region is about 3 x 10 3 cm -1 , over 30 times larger than that of the bulk Si. Because of the low temperature process, it enables a large variety of alien substrates such as glass and plastics to be used. In addition, the exceptional properties of the transferred NWs offer potential applications for photovoltaic, photo-detectors, sensors, and flexible electronics.

  11. Spreading and solidification behavior of molten Si droplets impinging on substrates

    International Nuclear Information System (INIS)

    Nagashio, K.; Murata, H.; Kuribayashi, K.

    2004-01-01

    This paper focuses on an effect of initial undercoolings on the spreading and solidification behavior of Si dropped on a silicon wafer, which was directly observed through it by the infrared imaging system. For an overheated droplet, the melt spreading occurred first and solidified later. The final splat shape was a typical disc. On the other hand, for a droplet with large initial undercooling, the solidification took place at the faster rate than the melt spreading, which resulted in a spherical shape of final splat. It is indicated that the final shape is considerably affected by the initial undercooling in the measurable-scale experiment with large droplets (∼mm size) and low impingement rates (∼m/s order). Moreover, equiaxed grains were found throughout the quenched surface by an electron backscatter pattern analysis. That is, the microstructure formation was nucleation-controlled since the growth parallel to the substrate was suppressed by the time-dependent contact of melt/substrate governed by the melt deformation

  12. Improvement of mechanical robustness of the superhydrophobic wood surface by coating PVA/SiO2 composite polymer

    Science.gov (United States)

    Liu, Feng; Wang, Shuliang; Zhang, Ming; Ma, Miaolian; Wang, Chengyu; Li, Jian

    2013-09-01

    Improvement of the robustness of superhydrophobic surfaces is crucial for the purpose of achieving commercial applications of these surfaces in such various areas as self-cleaning, water repellency and corrosion resistance. We have investigated a fabrication of polyvinyl alcohol (PVA)/silica (SiO2) composite polymer coating on wooden substrates with super repellency toward water, low sliding angles, low contact angle hysteresis, and relatively better mechanical robustness. The composite polymer slurry, consisting of well-mixing SiO2 particles and PVA, is prepared simply and subsequently coated over wooden substrates with good adhesion. In this study, the mechanical robustness of superhydrophobic wood surfaces was evaluated. The effect of petaloid structures of the composite polymer on robustness was investigated using an abrasion test and the results were compared with those of superhydrophobic wood surfaces fabricated by other processes. The produced wood surfaces exhibited promising superhydrophobic properties with a contact angle of 159̊ and a sliding angle of 4̊, and the relatively better mechanical robustness.

  13. Disordered electrical potential observed on the surface of SiO2 by electric field microscopy

    International Nuclear Information System (INIS)

    GarcIa, N; Yan Zang; Ballestar, A; Barzola-Quiquia, J; Bern, F; Esquinazi, P

    2010-01-01

    The electrical potential on the surface of ∼300 nm thick SiO 2 grown on single-crystalline Si substrates has been characterized at ambient conditions using electric field microscopy. Our results show an inhomogeneous potential distribution with fluctuations up to ∼0.4 V within regions of 1 μm. The potential fluctuations observed at the surface of these usual dielectric holders of graphene sheets should induce strong variations in the graphene charge densities and provide a simple explanation for some of the anomalous behaviors of the transport properties of graphene.

  14. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  15. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  16. Size- and phase-dependent mechanical properties of ultrathin Si films on polyimide substrates

    International Nuclear Information System (INIS)

    Schlich, Franziska F.; Spolenak, Ralph

    2016-01-01

    Ultrathin Si films in the nanometer range are extensively used for electronic and optoelectronic devices. Their mechanical properties have a high impact on the durability of the devices during lifetime. Here, fragmentation and buckling of 8–103 nm thin amorphous and polycrystalline (poly-) Si films on polyimide substrates have been studied by in situ light microscopy, Raman spectroscopy and resistance measurements. Generally, a smaller film thickness and a compressive residual stress delays the fracture of the film. The fracture strength of poly-Si films is larger compared to that of amorphous Si films while the adhesion to the substrate is better for amorphous Si compared to poly-Si. The onset delamination as a function of film thickness differs for the two phases and is described by two different models. Thin-film models for fracture toughness (amorphous Si: K 1C  = 1.49 ± 0.22, poly-Si: K 1C  = 3.36 ± 1.37) are applied, discussed, and found to be consistent with literature values.

  17. X-ray photoelectron spectroscopy studies of nitridation on 4H-SiC (0001) surface by direct nitrogen atomic source

    International Nuclear Information System (INIS)

    Chai, J. W.; Pan, J. S.; Zhang, Z.; Wang, S. J.; Chen, Q.; Huan, C. H. A.

    2008-01-01

    A Si 3 N 4 passivation layer has been successfully grown on the 4H-SiC (0001) surface by direct atomic source nitridation at various substrate temperatures. In situ x-ray photoelectron spectroscopy measurements show that higher substrate temperature leads to higher nitridation rate and good crystallinity of the passivation layer. A thin oxynitride layer on the top of the Si 3 N 4 was observed due to the residual O in the vacuum system, but was decomposed during annealing. In the meantime, excess C was found to be effectively removed by the reactive atomic N source

  18. The electrical, elemental, optical, and surface properties of Si-doped ZnO thin films prepared by thermionic vacuum arc

    Science.gov (United States)

    Mohammadigharehbagh, Reza; Özen, Soner; Yudar, Hafizittin Hakan; Pat, Suat; Korkmaz, Şadan

    2017-09-01

    The purpose of this work is to study the properties of Si-doped ZnO (SZO) thin films, which were prepared using the non-reactive thermionic vacuum arc technique. The analysis of the elemental, optical, and surface properties of ZnO:Si thin films was carried out using energy dispersive x-ray spectroscopy, UV-VIS spectrophotometry, atomic force microscopy, and scanning electron microscopy, respectively. The current-voltage measurement was employed in order to study the electrical properties of the films. The effect of Si doping on the physical properties of ZnO films was investigated. The film thicknesses were measured as 55 and 35 nm for glass and PET substrates, respectively. It was clearly observed from the x-ray diffraction results that the Si and ZnO peaks were present in the coated SZO films for all samples. The morphological studies showed that the deposited surfaces are homogenous, dense, and have a uniform surface, with the existence of some cracks only on the glass substrate. The elemental composition has confirmed the existence of Zn, Si, and O elements within the prepared films. Using a UV-VIS spectrophotometer, the optical parameters such as transmittance, absorbance, refractive index, and reflectance were calculated. It should be noted that the transparency and refractive indices obtained from the measurements decrease with increasing Si concentration. The obtained optical bandgap values using transmittance spectra were determined to be 3.74 and 3.84 eV for the glass and PET substrates, respectively. An increase in the bandgap results demonstrates that the Si doping concentration is comparable to the pure ZnO thin films. The current versus voltage curves revealed the ohmic nature of the films. Subsequently, the development and fabrication of excellent transparent conducting electrodes enabled the appropriate use of Si-doped ZnO thin films.

  19. New bonding configuration on Si(111) and Ge(111) surfaces induced by the adsorption of alkali metals

    DEFF Research Database (Denmark)

    Lottermoser, L.; Landemark, E.; Smilgies, D.M.

    1998-01-01

    The structure of the (3×1) reconstructions of the Si(111) and Ge(111) surfaces induced by adsorption of alkali metals has been determined on the basis of surface x-ray diffraction and low-energy electron diffraction measurements and density functional theory. The (3×1) surface results primarily f...... from the substrate reconstruction and shows a new bonding configuration consisting of consecutive fivefold and sixfold Si (Ge) rings in 〈11̅ 0〉 projection separated by channels containing the alkali metal atoms. © 1998 The American Physical Society...

  20. Comparative analysis of germanium-silicon quantum dots formation on Si(100), Si(111) and Sn/Si(100) surfaces

    Science.gov (United States)

    Lozovoy, Kirill; Kokhanenko, Andrey; Voitsekhovskii, Alexander

    2018-02-01

    In this paper theoretical modeling of formation and growth of germanium-silicon quantum dots in the method of molecular beam epitaxy (MBE) on different surfaces is carried out. Silicon substrates with crystallographic orientations (100) and (111) are considered. Special attention is paid to the question of growth of quantum dots on the silicon surface covered by tin, since germanium-silicon-tin system is extremely important for contemporary nano- and optoelectronics: for creation of photodetectors, solar cells, light-emitting diodes, and fast-speed transistors. A theoretical approach for modeling growth processes of such semiconductor compounds during the MBE is presented. Both layer-by-layer and island nucleation stages in the Stranski-Krastanow growth mode are described. A change in free energy during transition of atoms from the wetting layer to an island, activation barrier of the nucleation, critical thickness of 2D to 3D transition, as well as surface density and size distribution function of quantum dots in these systems are calculated with the help of the established model. All the theoretical speculations are carried out keeping in mind possible device applications of these materials. In particular, it is theoretically shown that using of the Si(100) surface covered by tin as a substrate for Ge deposition may be very promising for increasing size homogeneity of quantum dot array for possible applications in low-noise selective quantum dot infrared photodetectors.

  1. Long-Wavelength InAs/GaAs Quantum-Dot Light Emitting Sources Monolithically Grown on Si Substrate

    Directory of Open Access Journals (Sweden)

    Siming Chen

    2015-06-01

    Full Text Available Direct integration of III–V light emitting sources on Si substrates has attracted significant interest for addressing the growing limitations for Si-based electronics and allowing the realization of complex optoelectronics circuits. However, the high density of threading dislocations introduced by large lattice mismatch and incompatible thermal expansion coefficient between III–V materials and Si substrates have fundamentally limited monolithic epitaxy of III–V devices on Si substrates. Here, by using the InAlAs/GaAs strained layer superlattices (SLSs as dislocation filter layers (DFLs to reduce the density of threading dislocations. We firstly demonstrate a Si-based 1.3 µm InAs/GaAs quantum dot (QD laser that lases up to 111 °C, with a low threshold current density of 200 A/cm2 and high output power over 100 mW at room temperature. We then demonstrate the operation of InAs/GaAs QD superluminescent light emitting diodes (SLDs monolithically grown on Si substrates. The fabricated two-section SLD exhibits a 3 dB linewidth of 114 nm, centered at ~1255 nm with a corresponding output power of 2.6 mW at room temperature. Our work complements hybrid integration using wafer bonding and represents a significant milestone for direct monolithic integration of III–V light emitters on Si substrates.

  2. Strain evolution in Si substrate due to implantation of MeV ion observed by extremely asymmetric x-ray diffraction

    International Nuclear Information System (INIS)

    Emoto, T.; Ghatak, J.; Satyam, P. V.; Akimoto, K.

    2009-01-01

    We studied the strain introduced in a Si(111) substrate due to MeV ion implantation using extremely asymmetric x-ray diffraction and measured the rocking curves of asymmetrical 113 diffraction for the Si substrates implanted with a 1.5 MeV Au 2+ ion at fluence values of 1x10 13 , 5x10 13 , and 1x10 14 /cm 2 . The measured curves consisted of a bulk peak and accompanying subpeak with an interference fringe. The positional relationship of the bulk peak to the subpeak and the intensity variation of those peaks with respect to the wavelengths of the x rays indicated that crystal lattices near the surface were strained; the lattice spacing of surface normal (111) planes near the surface was larger than that of the bulk. Detailed strain profiles along the depth direction were successfully estimated using a curve-fitting method based on Darwin's dynamical diffraction theory. Comparing the shapes of resultant strain profiles, we found that a strain evolution rapidly occurred within a depth of ∼300 nm at fluence values between 1x10 13 and 5x10 13 /cm 2 . This indicates that formation of the complex defects progressed near the surface when the fluence value went beyond a critical value between 1x10 13 and 5x10 13 /cm 2 and the defects brought a large strain to the substrate.

  3. Magnetron-sputter epitaxy of β-FeSi2(220)/Si(111) and β-FeSi2(431)/Si(001) thin films at elevated temperatures

    International Nuclear Information System (INIS)

    Liu Hongfei; Tan Chengcheh; Chi Dongzhi

    2012-01-01

    β-FeSi 2 thin films have been grown on Si(111) and Si(001) substrates by magnetron-sputter epitaxy at 700 °C. On Si(111), the growth is consistent with the commonly observed orientation of [001]β-FeSi 2 (220)//[1-10]Si(111) having three variants, in-plane rotated 120° with respect to one another. However, on Si(001), under the same growth conditions, the growth is dominated by [-111]β-FeSi 2 (431)//[110]Si(001) with four variants, which is hitherto unknown for growing β-FeSi 2 . Photoelectron spectra reveal negligible differences in the valance-band and Fe2p core-level between β-FeSi 2 grown on Si(111) and Si(001) but an apparent increased Si-oxidization on the surface of β-FeSi 2 /Si(001). This phenomenon is discussed and attributed to the Si-surface termination effect, which also suggests that the Si/Fe ratio on the surface of β-FeSi 2 (431)/Si(001) is larger than that on the surface of β-FeSi 2 (220)/Si(111).

  4. Study of SiO{sub 2} surface sputtering by a 250-550 keV He{sup +} ion beam during high-resolution Rutherford backscattering measurements

    Energy Technology Data Exchange (ETDEWEB)

    Kusanagi, Susumu [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)]. E-mail: susumu.kusanagi@jp.sony.com; Kobayashi, Hajime [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)

    2006-08-15

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO{sub 2} layer on a Si substrate when irradiated by 250-550 keV He{sup +} ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO{sub 2}/Si substrate were also observed as a result of He{sup +} ion irradiation. These results lead to the conclusion that the SiO{sub 2} surface was sputtered by He{sup +} ions in this energy range.

  5. Si/Fe flux ratio influence on growth and physical properties of polycrystalline β-FeSi2 thin films on Si(100) surface

    Science.gov (United States)

    Tarasov, I. A.; Visotin, M. A.; Aleksandrovsky, A. S.; Kosyrev, N. N.; Yakovlev, I. A.; Molokeev, M. S.; Lukyanenko, A. V.; Krylov, A. S.; Fedorov, A. S.; Varnakov, S. N.; Ovchinnikov, S. G.

    2017-10-01

    This work investigates the Si/Fe flux ratio (2 and 0.34) influence on the growth of β-FeSi2 polycrystalline thin films on Si(100) substrate at 630 °C. Lattice deformations for the films obtained are confirmed by X-ray diffraction analysis (XRD). The volume unit cell deviation from that of β-FeSi2 single crystal are 1.99% and 1.1% for Si/Fe =2 and Si/Fe =0.34, respectively. Absorption measurements show that the indirect transition ( 0.704 eV) of the Si/Fe =0.34 sample changes to the direct transition with a bandgap value of 0.816 eV for the sample prepared at Si/Fe =2. The absorption spectrum of the Si/Fe =0.34 sample exhibits an additional peak located below the bandgap energy value with the absorption maximum of 0.36 eV. Surface magneto-optic Kerr effect (SMOKE) measurements detect the ferromagnetic behavior of the β-FeSi2 polycrystalline films grown at Si/Fe =0.34 at T=10 K, but no ferromagnetism was observed in the samples grown at Si/Fe =2. Theoretical calculations refute that the cell deformation can cause the emergence of magnetization and argue that the origin of the ferromagnetism, as well as the lower absorption peak, is β-FeSi2 stoichiometry deviations. Raman spectroscopy measurements evidence that the film obtained at Si/Fe flux ratio equal to 0.34 has the better crystallinity than the Si/Fe =2 sample.

  6. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  7. Adsorption properties of AlN on Si(111) surface: A density functional study

    Science.gov (United States)

    Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting

    2018-04-01

    In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.

  8. Simulation, microstructure and microhardness of the nano-SiC coating formed on Al surface via laser shock processing

    International Nuclear Information System (INIS)

    Cui, C.Y.; Cui, X.G.; Zhao, Q.; Ren, X.D.; Zhou, J.Z.; Liu, Z.; Wang, Y.M.

    2014-01-01

    Highlights: • Nano-SiC coating is successfully fabricated on pure Al surface via LSPC. • Movement states of the nano-SiC particles are analyzed by FEM. • Formation mechanism of the nano-SiC coating is put forward and discussed. • Microhardness of the Al is significantly improved due to the nano-SiC coating. - Abstract: A novel method, laser shock processing coating (LSPC), has been developed to fabricate a particle-reinforced coating based on laser shock processing (LSP). In this study, a nano-SiC coating is successfully prepared on pure Al surface via LSPC. The surface and cross section morphologies as well as the compositions of nano-SiC coating are investigated. Moreover, a finite element method (FEM) is employed to clarify the formation process of nano-SiC coating. On the basis of the above analyzed results, a possible formation mechanism of the nano-SiC coating is tentatively put forward and discussed. Furthermore, the nano-SiC coating shows superior microhardness over the Al substrate

  9. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  10. Modification of Surface Roughness and Area of FeCrAl Substrate for Catalytic Converter using Ultrasonic Treatment

    Directory of Open Access Journals (Sweden)

    Yanuandri Putrasari

    2012-03-01

    Full Text Available Surface roughness and area play important role especially in deposition and reaction of the catalyst in the catalytic converter substrate. The aim of this paper is to show the modification of surface roughness and area of FeCrAl substrate for catalytic converter using ultrasonic method. The method was conducted by agitating the FeCrAl in 10 minutes 35 kHz ultrasonic cleaning bath. The  surface roughness, morphology, and chemical components of FeCrAl catalytic converter substrate after ultrasonic treatment were analyzed using atomic force microscope (AFM and examined with scanning electron microscope (SEM in combination with energy dispersive X-ray spectroscopy (EDS. The ultrasonic treatment assisted with Al2O3 powders successfully increased the roughness and surface area of FeCrAl better than SiC powders. 

  11. HPDL Remelting of Anodised Al-Si-Cu Cast Alloys Surfaces

    Directory of Open Access Journals (Sweden)

    K. Labisz

    2012-12-01

    Full Text Available The results of the investigations of the laser remelting of the AlSi9Cu4 cast aluminium alloy with the anodised and non-anodised surfacelayer and hardness changes have been presented in this paper. The surface layer of the tested aluminium samples was remelted with thelaser of a continuous work. The power density was from 8,17•103 W/cm2 to 1,63•104 W/cm2. The metallographic tests were conducted inform of light microscope investigations of the received surface layer. The main goal of the investigation was to find the relation betweenthe laser beam power and its power density falling on a material, evaluating the shape and geometry of the remelted layers and theirhardness. As the substrate material two types of surfaces of the casted AlSi9Cu4 alloy were applied – the non–treated as cast surface aswell the anodized surface. As a device for this type of surface laser treatment the High Power Diode Laser was applied with a maximumpower of 2.2 kW and the dimensions of the laser beam focus of 1.8 x 6.8 mm. By mind of such treatment it is also possible to increasehardness as well eliminate porosity and develop metallurgical bonding at the coating-substrate interface. Suitable operating conditions forHPDL laser treatment were finally determined, ranging from 1.0 to 2.0 kW. Under such conditions, taking into account the absorptionvalue, the effects of laser remelting on the surface shape and roughness were studied. The results show that surface roughness is reducedwith increasing laser power by the remelting process only for the non-anodised samples, and high porosity can be found in the with highpower remelted areas. The laser influence increases with the heat input of the laser processing as well with the anodisation of the surface,because of the absorption enhancement ensured through the obtained alumina layer.

  12. DC heating induced shape transformation of Ge structures on ultraclean Si(5 5 12) surfaces.

    Science.gov (United States)

    Dash, J K; Rath, A; Juluri, R R; Raman, P Santhana; Müller, K; Rosenauer, A; Satyam, P V

    2011-04-06

    We report the growth of Ge nanostructures and microstructures on ultraclean, high vicinal angle silicon surfaces and show that self-assembled growth at optimum thickness of the overlayer leads to interesting shape transformations, namely from nanoparticle to trapezoidal structures, at higher thickness values. Thin films of Ge of varying thickness from 3 to 12 ML were grown under ultrahigh vacuum conditions on a Si(5 5 12) substrate while keeping the substrate at a temperature of 600 °C. The substrate heating was achieved by two methods: (i) by heating a filament under the substrate (radiative heating, RH) and (ii) by passing direct current through the samples in three directions (perpendicular, parallel and at 45° to the (110) direction of the substrate). We find irregular, more spherical-like island structures under RH conditions. The shape transformations have been found under DC heating conditions and for Ge deposition more than 8 ML thick. The longer sides of the trapezoid structures are found to be along (110) irrespective of the DC current direction. We also show the absence of such a shape transformation in the case of Ge deposition on Si(111) substrates. Scanning transmission electron microscopy measurements suggested the mixing of Ge and Si. This has been confirmed with a quantitative estimation of the intermixing using Rutherford backscattering spectrometry (RBS) measurements. The role of DC heating in the formation of aligned structures is discussed. Although the RBS simulations show the presence of a possible SiO(x) layer, under the experimental conditions of the present study, the oxide layer would not play a role in determining the formation of the various structures that were reported here.

  13. Adsorption and surface reaction of bis-diethylaminosilane as a Si precursor on an OH-terminated Si (0 0 1) surface

    International Nuclear Information System (INIS)

    Baek, Seung-Bin; Kim, Dae-Hee; Kim, Yeong-Cheol

    2012-01-01

    The adsorption and the surface reaction of bis-diethylaminosilane (SiH 2 [N(C 2 H 5 ) 2 ] 2 , BDEAS) as a Si precursor on an OH-terminated Si (0 0 1) surface were investigated to understand the initial reaction mechanism of the atomic layer deposition (ALD) process using density functional theory. The bond dissociation energies between two atoms in BDEAS increased in the order of Si-H, Si-N, and the rest of the bonds. Therefore, the relatively weak Si-H and Si-N bonds were considered for bond breaking during the surface reaction. Optimum locations of BDEAS for the Si-H and Si-N bond breaking were determined on the surface, and adsorption energies of 0.43 and 0.60 eV, respectively, were obtained. The Si-H bond dissociation energy of the adsorbed BDEAS on the surface did not decrease, so that a high reaction energy barrier of 1.60 eV was required. On the other hand, the Si-N bond dissociation energy did decrease, so that a relatively low reaction energy barrier of 0.52 eV was required. When the surface reaction energy barrier was higher than the adsorption energy, BDEAS would be desorbed from the surface instead of being reacted. Therefore, the Si-N bond breaking would be dominantly involved during the surface reaction, and the result is in good agreement with the experimental data in the literature.

  14. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  15. Infrared studies of gold nanochains on the Si(557) stepped surface

    Energy Technology Data Exchange (ETDEWEB)

    Vu Hoang, Chung; Klevenz, Markus; Lovrincic, Robert; Skibbe, Olaf; Neubrech, Frank; Pucci, Annemarie [Kirchhoff-Institut fuer Physik der Universitaet Heidelberg (Germany)

    2008-07-01

    Gold nanochains on Si(557) will be fabricated under ultra high vacuum conditions. The terraces of the stepped surface serve as one-dimensional diffusion channels, which leads to the formation of parallel monoatomic chains. Due to the appearance of metallic chains an anisotropic change of the conductivity of the substrate surface can be expected. The chain growth process and conductivity dependence versus gold composition will be investigated in-situ by using transmittance infrared spectroscopy (IRS) with light polarized parallel and perpendicular to the chains, respectively. IRS is a well-established method to observe conductivity changes on surfaces. The temperature dependent behaviour of gold chains will be studied as well.

  16. Morphological and electrical properties of self-assembled iron silicide nanoparticles on Si(0 0 1) and Si(1 1 1) substrates

    International Nuclear Information System (INIS)

    Molnár, G.; Dózsa, L.; Erdélyi, R.; Vértesy, Z.; Osváth, Z.

    2015-01-01

    Highlights: • Epitaxial iron silicide nanostructures were grown on Si(1 1 1) and Si(0 0 1) substrates. • The size and shape of the particles are the function of the thickness and annealing. • The local current–voltage characteristics were measured by conductive AFM. • The different size and shape nanoparticles show similar I–V characteristics. • The tip current is dominated in few nm size sites, visible in the AFM phase image. - Abstract: Epitaxial iron silicide nanostructures are grown by solid phase epitaxy on Si(0 0 1) and Si(1 1 1), and by reactive deposition epitaxy on Si(0 0 1) substrates. The formation process is monitored by reflection high-energy electron diffraction. The morphology, size, and electrical properties of the nanoparticles are investigated by scanning electron microscopy, by electrically active scanning probe microscopy, and by confocal Raman spectroscopy. The results show that the shape, size, orientation, and density of the nanoobjects can be tuned by self-assembly, controlled by the lattice misfit between the substrates and iron silicides. The size distribution and shape of the grown nanoparticles depend on the substrate orientation, on the initial thickness of the evaporated iron, on the temperature and time of the annealing, and on the preparation method. The so-called Ostwald ripening phenomena, which state that the bigger objects develop at the expense of smaller ones, controls the density of the nanoparticles. Raman spectra show the bigger objects do not contain β-FeSi 2 phase. The different shape nanoparticles exhibit small, about 100 mV barrier compared to the surrounding silicon. The local leakage current of the samples measured by conductive AFM using a Pt coated Si tip is localized in a few nanometers size sites, and the sites which we assume are very small silicide nanoparticles or point defects.

  17. Understanding the growth mechanism of graphene on Ge/Si(001) surfaces.

    Science.gov (United States)

    Dabrowski, J; Lippert, G; Avila, J; Baringhaus, J; Colambo, I; Dedkov, Yu S; Herziger, F; Lupina, G; Maultzsch, J; Schaffus, T; Schroeder, T; Kot, M; Tegenkamp, C; Vignaud, D; Asensio, M-C

    2016-08-17

    The practical difficulties to use graphene in microelectronics and optoelectronics is that the available methods to grow graphene are not easily integrated in the mainstream technologies. A growth method that could overcome at least some of these problems is chemical vapour deposition (CVD) of graphene directly on semiconducting (Si or Ge) substrates. Here we report on the comparison of the CVD and molecular beam epitaxy (MBE) growth of graphene on the technologically relevant Ge(001)/Si(001) substrate from ethene (C2H4) precursor and describe the physical properties of the films as well as we discuss the surface reaction and diffusion processes that may be responsible for the observed behavior. Using nano angle resolved photoemission (nanoARPES) complemented by transport studies and Raman spectroscopy as well as density functional theory (DFT) calculations, we report the direct observation of massless Dirac particles in monolayer graphene, providing a comprehensive mapping of their low-hole doped Dirac electron bands. The micrometric graphene flakes are oriented along two predominant directions rotated by 30° with respect to each other. The growth mode is attributed to the mechanism when small graphene "molecules" nucleate on the Ge(001) surface and it is found that hydrogen plays a significant role in this process.

  18. Fermi surfaces of YRu2Si2 and LaRu2Si2

    International Nuclear Information System (INIS)

    Settai, R.; Ikezawa, H.; Toshima, H.; Takashita, M.; Ebihara, T.; Sugawara, H.; Kimura, T.; Motoki, K.; Onuki, Y.

    1995-01-01

    We have measured the de Haas-van Alphen effect of YRu 2 Si 2 and LaRu 2 Si 2 to clarify the Fermi surfaces and cyclotron masses. Main hole-Fermi surfaces of both compounds with a distorted ellipsoid shape are similar, occupying about half of the Brillouin zone. The small hole-Fermi surfaces with the shape of a rugby ball are three in number for LaRu 2 Si 2 , and one for YRu 2 Si 2 . An electron-Fermi surface consists of a doughnut like shape for LaRu 2 Si 2 , while a cylinder along the [001] direction and a multiply-connected shape exist for YRu 2 Si 2 . The cyclotron masses of YRu 2 Si 2 are a little larger than those of LaRu 2 Si 2 . ((orig.))

  19. Surface grafting density analysis of high anti-clotting PU-Si-g-P(MPC) films

    Energy Technology Data Exchange (ETDEWEB)

    Lu Chunyan [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhou Ninglin, E-mail: ninglinzhou@yahoo.com [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China); Xiao Yinghong; Tang Yida; Jin Suxing; Wu Yue [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Zhang Jun; Shen Jian [Jiangsu Key Laboratory of Biofunctional Materials, College of Chemistry and Materials Science, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Engineering Research Center for Biomedical Function Materials, Nanjing Normal University, Nanjing 210097 (China); Jiangsu Technological Research Center for Interfacial Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093 (China)

    2012-02-01

    Well-defined zwitterionic polymer brushes with good blood compatibility were studied, grafted from polyurethane (PU) substrate (PU-Si-g-P(MPC)) by surface-initiated reverse atom transfer radical polymerization (SI-RATRP). We found that the structure of polymer brushes and hence their properties greatly depend on the grafting density. To solve the problems of the normal method for grafting density measurement, i.e., more requirements for qualified and proficient instrument operator, we established an effective and feasible way instead of the conventional method of spectroscopic ellipsometer combined with gel permeation chromatograph (ELM/GPC) to calculate the grafting density of PU-Si-g-P(MPC) films by using a software named ImageJ 1.44e in combination with scanning electronic microscope (SEM) or atomic microscope (AFM). X-ray photoelectron spectroscopy (XPS), SEM and AFM were employed to analyze the surface topography and changes of elements before and after graft modification of the synthetic PU-Si-g-P(MPC) biofilms.

  20. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  1. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  2. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  3. Nanomechanical properties of lithiated Si nanowires probed with atomic force microscopy

    International Nuclear Information System (INIS)

    Lee, Hyunsoo; Shin, Weonho; Choi, Jang Wook; Park, Jeong Young

    2012-01-01

    The nanomechanical properties of fully lithiated and pristine Si nanowires (NWs) deposited on a Si substrate were studied with atomic force microscopy (AFM). Si NWs were synthesized using the vapour-liquid-solid process on stainless-steel substrates using an Au catalyst. Fully lithiated Si NWs were obtained using the electrochemical method, followed by drop-casting on a Si substrate. The roughness of the Si NWs, which was derived from AFM images, is greater for the lithiated Si NWs than for the pristine Si NWs. Force spectroscopy was used to study the influence of lithiation on the tip-surface adhesion force. The lithiated Si NWs revealed a smaller tip-surface adhesion force than the Si substrate by a factor of two, while the adhesion force of the Si NWs is similar to that of the Si substrate. Young's modulus, obtained from the force-distance curve, also shows that the pristine Si NWs have a relatively higher value than the lithiated Si NWs due to the elastically soft and amorphous structures of the lithiated region. These results suggest that force spectroscopy can be used to probe the degree of lithiation at nanometer scale during the charging and discharging processes. (paper)

  4. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  5. Continuously tunable monomode mid-infrared vertical external cavity surface emitting laser on Si

    Science.gov (United States)

    Khiar, A.; Rahim, M.; Fill, M.; Felder, F.; Hobrecker, F.; Zogg, H.

    2010-10-01

    A tunable PbTe based mid-infrared vertical external cavity surface emitting laser is described. The active part is a ˜1 μm thick PbTe layer grown epitaxially on a Bragg mirror on the Si-substrate. The cavity is terminated with a curved Si/SiO Bragg top mirror and pumped optically with a 1.55 μm laser. Cavity length is <100 μm in order that only one longitudinal mode is supported. By changing the cavity length, up to 5% wavelength continuous and mode-hop free tuning is achieved at fixed temperature. The total tuning extends from 5.6 to 4.7 μm at 100-170 K operation temperature.

  6. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  7. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  8. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  9. Switchable Super-Hydrophilic/Hydrophobic Indium Tin Oxide (ITO) Film Surfaces on Reactive Ion Etching (RIE) Textured Si Wafer.

    Science.gov (United States)

    Kim, Hwa-Min; Litao, Yao; Kim, Bonghwan

    2015-11-01

    We have developed a surface texturing process for pyramidal surface features along with an indium tin oxide (ITO) coating process to fabricate super-hydrophilic conductive surfaces. The contact angle of a water droplet was less than 5 degrees, which means that an extremely high wettability is achievable on super-hydrophilic surfaces. We have also fabricated a super-hydrophobic conductive surface using an additional coating of polytetrafluoroethylene (PTFE) on the ITO layer coated on the textured Si surface; the ITO and PTFE films were deposited by using a conventional sputtering method. We found that a super-hydrophilic conductive surface is produced by ITO coated on the pyramidal Si surface (ITO/Si), with contact angles of approximately 0 degrees and a resistivity of 3 x 10(-4) Ω x cm. These values are highly dependent on the substrate temperature during the sputtering process. We also found that the super-hydrophobic conductive surface produced by the additional coating of PTFE on the pyramidal Si surface with an ITO layer (PTFE/ITO/Si) has a contact angle of almost 160 degrees and a resistivity of 3 x 10(-4) Ω x cm, with a reflectance lower than 9%. Therefore, these processes can be used to fabricate multifunctional features of ITO films for switchable super-hydrophilic and super-hydrophobic surfaces.

  10. Optoelectronic Characterization of Infrared Photodetector Fabricated on Ge-on-Si Substrate.

    Science.gov (United States)

    Khurelbaatar, Zagarzusem; Kil, Yeon-Ho; Kim, Taek Sung; Shim, Kyu-Hwan; Hong, Hyobong; Choi, Chel-Jong

    2015-10-01

    We report on the optoelectronic characterization of Ge p-i-n infrared photodetector fabricated on Ge-on-Si substrate using rapid thermal chemical vapor deposition (RTCVD). The phosphorous doping concentration and the root mean square (RMS) surface roughness of epitaxial layer was estimated to be 2 x 10(18) cm(-3) and 1.2 nm, respectively. The photodetector were characterized with respect to their dark, photocurrent and responsivities in the wavelength range of 1530-1630 nm. At 1550 nm wavelength, responsivity of 0.32 A/W was measured for a reverse bias of 1 V, corresponding to 25% external quantum efficiency, without an optimal antireflection coating. Responsivity drastically reduced from 1560 nm wavelength which could be attributed to decreased absorption of Ge at room temperature.

  11. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  12. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  13. In situ observation of surface reactions with synchrotron radiation induced semiconductor processes by infrared reflection absorption spectroscopy using buried metal layer substrates; Umekomi kinzokuso kiban wo mochiita sekigai hansha kyushu supekutoruho ni yoru hoshako reiki handotai process hanno no sonoba kansatsu

    Energy Technology Data Exchange (ETDEWEB)

    Yoshigoe, A.; Hirano, S. [The Graduate University for Advanced Studies, Yokohama (Japan); Mase, K.; Urisu, T. [Institute for Molecular Science, Aichi (Japan)

    1996-11-20

    It is known that infrared reflection absorption spectroscopy (IRAS) on semiconductor or insulator surfaces becomes practicable by using buried metal layer (BML) substrates, in which the metal thin film is buried order semiconductor or insulator films. In this work, IRAS has been measured for Langmuir-Blodgett films deposited on the BML substrate with SiO2/Al/Si(100) structure and the observed spectrum intensity has been quantitatively compared with the calculation assuming the ideal multilayer structure for the BML substrate. The BML-IRAS using CoSi2 has been adopted to the detection of SiHn on the Si (100) substrate during synchrotron radiation (SR) stimulated Si2H6 gas source molecular beam epitaxy. It has been found that SiH2 and SiH3 on the Si (100) surface are easily decomposed by SR, but SiH can`t be decomposed. From these experiments, it has been concluded that the BML-IRAS is an useful in situ observation technique for the photo-stimulated surface reactions. 26 refs., 9 figs.

  14. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  15. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. The X-ray investigation of GaAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, A.; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Nanorods (NR) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NRs onto another AIIIBV or group IV[111] substrate independent from lattice mismatch. We presented an X-ray characterization of GaAs NRs on Si[111] grown by gold-seed assist MBE method. We concentrated our research on 4 samples with different growth time: a) at 5s growth time several island but no NWs are found on the surface; b) at 60 s first NWs appeared; c) at 150 s the size of rods is increased; d) at 1800 s many NWs occupy the whole surface. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and depth resolved grazing-incidence diffraction. Combining the results we were able to determine the strain gradient between wurzite like NR and zincblende substrate. Using particularly asymmetric wurzite-like reflections under coherent beam illumination we could quantify the number of stacking faults In the talk we present details of the analysis and first simulation results.

  17. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  18. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  19. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  20. GaInAsP/InP lateral-current-injection distributed feedback laser with a-Si surface grating.

    Science.gov (United States)

    Shindo, Takahiko; Okumura, Tadashi; Ito, Hitomi; Koguchi, Takayuki; Takahashi, Daisuke; Atsumi, Yuki; Kang, Joonhyun; Osabe, Ryo; Amemiya, Tomohiro; Nishiyama, Nobuhiko; Arai, Shigehisa

    2011-01-31

    We fabricated a novel lateral-current-injection-type distributed feedback (DFB) laser with amorphous-Si (a-Si) surface grating as a step to realize membrane lasers. This laser consists of a thin GaInAsP core layer grown on a semi-insulating InP substrate and a 30-nm-thick a-Si surface layer for DFB grating. Under a room-temperature continuous-wave condition, a low threshold current of 7.0 mA and high efficiency of 43% from the front facet were obtained for a 2.0-μm stripe width and 300-μm cavity length. A small-signal modulation bandwidth of 4.8 GHz was obtained at a bias current of 30 mA.

  1. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  2. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  3. Tailoring broadband light trapping of GaAs and Si substrates by self-organised nanopatterning

    Energy Technology Data Exchange (ETDEWEB)

    Martella, C.; Chiappe, D.; Mennucci, C.; Buatier de Mongeot, F. [Dipartimento di Fisica, Università di Genova, via Dodecaneso 33, I-16146 Genova (Italy)

    2014-05-21

    We report on the formation of high aspect ratio anisotropic nanopatterns on crystalline GaAs (100) and Si (100) substrates exploiting defocused Ion Beam Sputtering assisted by a sacrificial self-organised Au stencil mask. The tailored optical properties of the substrates are characterised in terms of total reflectivity and haze by means of integrating sphere measurements as a function of the morphological modification at increasing ion fluence. Refractive index grading from sub-wavelength surface features induces polarisation dependent anti-reflection behaviour in the visible-near infrared (VIS-NIR) range, while light scattering at off-specular angles from larger structures leads to very high values of the haze functions in reflection. The results, obtained for an important class of technologically relevant materials, are appealing in view of photovoltaic and photonic applications aiming at photon harvesting in ultrathin crystalline solar cells.

  4. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  5. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  6. Structural investigations of homoepitaxial Si films grown at low temperature by pulsed magnetron sputtering on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, F. [Hahn-Meitner-Institut Berlin, Abt. Silizium-Photovoltaik, Kekulestr. 5, D-12485 Berlin (Germany)], E-mail: fenske@hmi.de; Schulze, S.; Hietschold, M. [Technische Universitaet Chemnitz, Analytik an Festkoerperoberflaechen, Reichenhainer Str. 70, D-09107 Chemnitz (Germany); Schmidbauer, M. [Institut fuer Kristallzuechtung Berlin, Max-Born-Str.2, D-12489 Berlin (Germany)

    2008-06-02

    Using pulsed magnetron sputtering at low substrate temperature (T{sub s} = 580 {sup o}C) the homoepitaxial growth on Si(111) was studied. The films were comprehensively characterized by cross-section transmission electron microscopy and various diffraction methods. Up to a film thickness of 1240 nm no breakdown of the epitaxial growth was observed. The surface microstructure, characterized by electron backscatter diffraction, exhibits exclusively crystalline structure with (111) orientation. Careful analysis of selected area electron diffraction patterns and high-resolution X-ray diffraction data clearly proves the existence of twinning/stacking faults in the {l_brace}111{r_brace} planes. Besides these defects - which are typical for low-temperature epitaxy - no additional significant defects related to the energetic particle bombardment by the sputter deposition method are observed.

  7. Structural investigations of homoepitaxial Si films grown at low temperature by pulsed magnetron sputtering on Si(111) substrates

    International Nuclear Information System (INIS)

    Fenske, F.; Schulze, S.; Hietschold, M.; Schmidbauer, M.

    2008-01-01

    Using pulsed magnetron sputtering at low substrate temperature (T s = 580 o C) the homoepitaxial growth on Si(111) was studied. The films were comprehensively characterized by cross-section transmission electron microscopy and various diffraction methods. Up to a film thickness of 1240 nm no breakdown of the epitaxial growth was observed. The surface microstructure, characterized by electron backscatter diffraction, exhibits exclusively crystalline structure with (111) orientation. Careful analysis of selected area electron diffraction patterns and high-resolution X-ray diffraction data clearly proves the existence of twinning/stacking faults in the {111} planes. Besides these defects - which are typical for low-temperature epitaxy - no additional significant defects related to the energetic particle bombardment by the sputter deposition method are observed

  8. Laser cladding of Al-Si/SiC composite coatings : Microstructure and abrasive wear behavior

    NARCIS (Netherlands)

    Anandkumar, R.; Almeida, A.; Vilar, R.; Ocelik, V.; De Hosson, J.Th.M.

    2007-01-01

    Surface coatings of an Al-Si-SiC composite were produced on UNS A03560 cast Al-alloy substrates by laser cladding using a mixture of powders of Al-12 wt.% Si alloy and SiC. The microstructure of the coatings depends considerably on the processing parameters. For a specific energy of 26 MJ/m2 the

  9. Competitive concurrence of surface wrinkling and dewetting of liquid crystalline polymer films on non-wettable substrates.

    Science.gov (United States)

    Song, Sung E; Choi, Gwan H; Yi, Gi-Ra; Yoo, Pil J

    2017-11-01

    Polymeric thin films coated on non-wettable substrates undergo film-instabilities, which are usually manifested as surface deformation in the form of dewetting or wrinkling. The former takes place in fluidic films, whereas the latter occurs in solid films. Therefore, there have rarely been reports of systems involving simultaneous deformations of dewetting and wrinkling. In this study, we propose polymeric thin films of liquid crystalline (LC) mesogens prepared on a non-wettable Si substrate and apply a treatment of plasma irradiation to form a thin polymerized layer at the surface. The resulting compressive stress generated in the surface region drives the formation of wrinkles, while at the same time, dipolar attraction between LC molecules induces competitive cohesive dewetting. Intriguing surface structures were obtained whereby dewetting-like hole arrays are nested inside the randomly propagated wrinkles. The structural features are readily controlled by the degree of surface cross-linking, hydrophilicity of the substrates, and the LC film thickness. In particular, dewetting of LC mesogens is observed to be restricted to occur at the trough regions of wrinkles, exhibiting the typical behavior of geometrically confined dewetting. Finally, wrinkling-dewetting mixed structures are separated from the substrate in the form of free standing films to demonstrate the potential applicability as membranes.

  10. Modular PbSrS/PbS mid-infrared vertical external cavity surface emitting laser on Si

    Science.gov (United States)

    Khiar, A.; Rahim, M.; Fill, M.; Felder, F.; Zogg, H.; Cao, D.; Kobayashi, S.; Yokoyama, T.; Ishida, A.

    2011-07-01

    A mid-infrared vertical external cavity surface emitting laser (VECSEL) based on undoped PbS is described herein. A 200 nm-thick PbS active layer embedded between PbSrS cladding layers forms a double heterostructure. The layers are grown on a lattice and thermal expansion mismatched Si-substrate. The substrate is placed onto a flat bottom Bragg mirror again grown on a Si substrate, and the VECSEL is completed with a curved top mirror. Pumping is done optically with a 1.55 μm laser diode. This leads to an extremely simple modular fabrication process. Lasing wavelengths range from 3-3.8 μm at 100-260 K heat sink temperature. The lowest threshold power is ˜210 mWp and highest output power is ˜250 mWp. The influence of the different recombination mechanism as well as free carrier absorption on the threshold power is modeled.

  11. Photoluminescence investigation of strictly ordered Ge dots grown on pit-patterned Si substrates

    International Nuclear Information System (INIS)

    Brehm, Moritz; Grydlik, Martyna; Tayagaki, Takeshi; Schmidt, Oliver G; Langer, Gregor; Schäffler, Friedrich

    2015-01-01

    We investigate the optical properties of ordered Ge quantum dots (QDs) by means of micro-photoluminescence spectroscopy (PL). These were grown on pit-patterned Si(001) substrates with a wide range of pit-periods and thus inter QD-distances (425–3400 nm). By exploiting almost arbitrary inter-QD distances achievable in this way we are able to choose the number of QDs that contribute to the PL emission in a range between 70 and less than three QDs. This well-defined system allows us to clarify, by PL-investigation, several points which are important for the understanding of the formation and optical properties of ordered QDs. We directly trace and quantify the amount of Ge transferred from the surrounding wetting layer (WL) to the QDs in the pits. Moreover, by exploiting different pit-shapes, we reveal the role of strain-induced activation energy barriers that have to be overcome for charge carriers generated outside the dots. These need to diffuse between the energy minimum of the WL in and between the pits, and the one in the QDs. In addition, we demonstrate that the WL in the pits is already severely intermixed with Si before upright QDs nucleate, which further enhances intermixing of ordered QDs as compared to QDs grown on planar substrates. Furthermore, we quantitatively determine the amount of Ge transferred by surface diffusion through the border region between planar and patterned substrate. This is important for the growth of ordered islands on patterned fields of finite size. We highlight that the Ge WL-facets in the pits act as PL emission centres, similar to upright QDs. (paper)

  12. A high-coverage nanoparticle monolayer for the fabrication of a subwavelength structure on InP substrates.

    Science.gov (United States)

    Kim, Dae-Seon; Park, Min-Su; Jang, Jae-Hyung

    2011-08-01

    Subwavelength structures (SWSs) were fabricated on the Indium Phosphide (InP) substrate by utilizing the confined convective self-assembly (CCSA) method followed by reactive ion etching (RIE). The surface condition of the InP substrate was changed by depositing a 30-nm-thick SiO2 layer and subsequently treating the surface with O2 plasma to achieve better surface coverage. The surface coverage of nanoparticle monolayer reached 90% by using O2 plasma-treated SiO2/InP substrate among three kinds of starting substrates such as the bare InP, SiO2/InP and O2 plasma-treated SiO2/InP substrate. A nanoparticle monolayer consisting of polystyrene spheres with diameter of 300 nm was used as an etch mask for transferring a two-dimensional periodic pattern onto the InP substrate. The fabricated conical SWS with an aspect ratio of 1.25 on the O2 plasma-treated SiO2/InP substrate exhibited the lowest reflectance. The average reflectance of the conical SWS was 5.84% in a spectral range between 200 and 900 nm under the normal incident angle.

  13. Improving off-state leakage characteristics for high voltage AlGaN/GaN-HFETs on Si substrates

    Science.gov (United States)

    Moon, Sung-Woon; Twynam, John; Lee, Jongsub; Seo, Deokwon; Jung, Sungdal; Choi, Hong Goo; Shim, Heejae; Yim, Jeong Soon; Roh, Sungwon D.

    2014-06-01

    We present a reliable process and design technique for realizing high voltage AlGaN/GaN hetero-junction field effect transistors (HFETs) on Si substrates with very low and stable off-state leakage current characteristics. In this work, we have investigated the effects of the surface passivation layer, prepared by low pressure chemical vapor deposition (LPCVD) of silicon nitride (SiNx), and gate bus isolation design on the off-state leakage characteristics of metal-oxide-semiconductor (MOS) gate structure-based GaN HFETs. The surface passivated devices with gate bus isolation fully surrounding the source and drain regions showed extremely low off-state leakage currents of less than 20 nA/mm at 600 V, with very small variation. These techniques were successfully applied to high-current devices with 80-mm gate width, yielding excellent off-state leakage characteristics within a drain voltage range 0-700 V.

  14. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  15. Structure determination of the ordered (2 × 1) phase of NiSi surface alloy on Ni(111) using low-energy electron diffraction

    Science.gov (United States)

    Sazzadur Rahman, Md.; Amirul Islam, Md.; Saha, Bidyut Baran; Nakagawa, Takeshi; Mizuno, Seigi

    2015-12-01

    The (2 × 1) structure of the two-dimensional nickel silicide surface alloy on Ni(111) was investigated using quantitative low-energy electron diffraction analysis. The unit cell of the determined silicide structure contains one Si and one Ni atom, corresponding to a chemical formula of NiSi. The Si atoms adopt substitutional face-centered cubic hollow sites on the Ni(111) substrate. The Ni-Si bond lengths were determined to be 2.37 and 2.34 Å. Both the alloy surface and the underlying first layers of Ni atoms exhibit slight corrugation. The Ni-Si interlayer distance is smaller than the Ni-Ni interlayer distance, which indicates that Si atoms and underlying Ni atoms strongly interact.

  16. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  17. Surface wettability of silicon substrates enhanced by laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, Shih-Feng [National Applied Research Laboratories, Instrument Technology Research Center, Hsinchu (China); National Chiao Tung University, Department of Mechanical Engineering, Hsinchu (China); Hsiao, Wen-Tse; Huang, Kuo-Cheng; Hsiao, Sheng-Yi [National Applied Research Laboratories, Instrument Technology Research Center, Hsinchu (China); Chen, Ming-Fei [National Changhua University of Education, Department of Mechatronics Engineering, Changhua (China); Lin, Yung-Sheng [Hungkuang University, Department of Applied Cosmetology and Graduate Institute of Cosmetic Science, Taichung (China); Chou, Chang-Pin [National Chiao Tung University, Department of Mechanical Engineering, Hsinchu (China)

    2010-11-15

    Laser-ablation techniques have been widely applied for removing material from a solid surface using a laser-beam irradiating apparatus. This paper presents a surface-texturing technique to create rough patterns on a silicon substrate using a pulsed Nd:YAG laser system. The different degrees of microstructure and surface roughness were adjusted by the laser fluence and laser pulse duration. A scanning electron microscope (SEM) and a 3D confocal laser-scanning microscope are used to measure the surface micrograph and roughness of the patterns, respectively. The contact angle variations between droplets on the textured surface were measured using an FTA 188 video contact angle analyzer. The results indicate that increasing the values of laser fluence and laser pulse duration pushes more molten slag piled around these patterns to create micro-sized craters and leads to an increase in the crater height and surface roughness. A typical example of a droplet on a laser-textured surface shows that the droplet spreads very quickly and almost disappears within 0.5167 s, compared to a contact angle of 47.9 on an untextured surface. This processing technique can also be applied to fabricating Si solar panels to increase the absorption efficiency of light. (orig.)

  18. Ozone Oxidation of Self-Assembled Monolayers on SiOx-Coated Zinc Selenide Surfaces

    Science.gov (United States)

    McIntire, T. M.; Ryder, O. S.; Finlayson-Pitts, B. J.

    2008-12-01

    Airborne particles are important for visibility, human health, climate, and atmospheric reactions. Atmospheric particles contain a significant fraction of organics and such compounds present on airborne particles are susceptible to oxidation by atmospheric oxidants, such as OH, ozone, halogen atoms, and nitrogen trioxide. Oxidized organics associated with airborne particles are thought to be polar, hygroscopic species with enhanced cloud-nucleating properties. Oxide layers on silicon, or SiO2-coated substrates, act as models of environmentally relevant surfaces such as dust particles upon which organics adsorb. We have shown previously that ozone oxidation of unsaturated self-assembled monolayers (SAMs) on silicon attenuated total reflectance (ATR) crystals leads to the formation of carbonyl groups and micron-sized, hydrophobic organic aggregates surrounded by carbon depleted substrate that do not have increased water uptake as previously assumed. Reported here are further ATR-FTIR studies of the oxidation of alkene SAMs on ZnSe and SiO2-coated ZnSe. These substrates have the advantage that they transmit below 1500 cm-1, allowing detection of additional product species. These experiments show that the loss of C=C and formation of carbonyl groups is also accompanied by formation of a peak at 1110 cm-1, attributed to the secondary ozonide. Details concerning the products and mechanism of ozonolysis of alkene SAMs on surfaces based on these new data are presented and the implications for the oxidation of alkenes on airborne dust particles are discussed.

  19. Nano-/micro metallic wire synthesis on Si substrate and their characterization

    International Nuclear Information System (INIS)

    Kaur, Jaskiran; Kaur, Harmanmeet; Singh, Surinder; Kanjilal, Dinakar; Chakarvarti, Shiv Kumar

    2014-01-01

    Nano-/micro wires of copper are grown on semiconducting Si substrate using the template method. It involves the irradiation of 8 um thick polymeric layer coated on Si with150 MeV Ni ion beam at a fluence of 2E8. Later, by using the simple technique of electrodeposition, copper nano-/micro wires were grown via template synthesis. Synthesized wires were morphologically characterized using SEM and electrical characterization was carried out by finding I-V plot

  20. Morphological and electronic properties of epitaxial graphene on SiC

    International Nuclear Information System (INIS)

    Yakimova, R.; Iakimov, T.; Yazdi, G.R.; Bouhafs, C.; Eriksson, J.; Zakharov, A.; Boosalis, A.; Schubert, M.; Darakchieva, V.

    2014-01-01

    We report on the structural and electronic properties of graphene grown on SiC by high-temperature sublimation. We have studied thickness uniformity of graphene grown on 4H–SiC (0 0 0 1), 6H–SiC (0 0 0 1), and 3C–SiC (1 1 1) substrates and investigated in detail graphene surface morphology and electronic properties. Differences in the thickness uniformity of the graphene layers on different SiC polytypes is related mainly to the minimization of the terrace surface energy during the step bunching process. It is also shown that a lower substrate surface roughness results in more uniform step bunching and consequently better quality of the grown graphene. We have compared the three SiC polytypes with a clear conclusion in favor of 3C–SiC. Localized lateral variations in the Fermi energy of graphene are mapped by scanning Kelvin probe microscopy. It is found that the overall single-layer graphene coverage depends strongly on the surface terrace width, where a more homogeneous coverage is favored by wider terraces. It is observed that the step distance is a dominating, factor in determining the unintentional doping of graphene from the SiC substrate. Microfocal spectroscopic ellipsometry mapping of the electronic properties and thickness of epitaxial graphene on 3C–SiC (1 1 1) is also reported. Growth of one monolayer graphene is demonstrated on both Si- and C-polarity of the 3C–SiC substrates and it is shown that large area homogeneous single monolayer graphene can be achieved on the Si-face substrates. Correlations between the number of graphene monolayers on one hand and the main transition associated with an exciton enhanced van Hove singularity at ∼4.5 eV and the free-charge carrier scattering time, on the other are established. It is shown that the interface structure on the Si- and C-polarity of the 3C–SiC (1 1 1) differs and has a determining role for the thickness and electronic properties homogeneity of the epitaxial graphene.

  1. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  2. Near-surface segregation in irradiated Ni3Si

    International Nuclear Information System (INIS)

    Wagner, W.; Rehn, L.E.; Wiedersich, H.

    1982-01-01

    The radiation-induced growth of Ni 3 Si films on the surfaces of Ni(Si) alloys containing = 3 Si phase has been observed. Post-irradiation depth profiling by Auger electron spectroscopy, as well as in situ analysis by high-resolution Rutherford backscattering spectrometry, reveals Si-enrichment at the surfaces of Ni(Si) alloys in excess of stoichiometric Ni 3 Si during irradiation. Thin, near-surface layers with silicon concentrations of 28 to 30 at.% are observed, and even higher Si enrichment is found in the first few atom layers. Transmission electron microscopy and selected area-electron diffraction were employed to characterize these Si-enriched layers. A complex, multiple-spot diffraction pattern is observed superposed on the diffraction pattern of ordered Ni 3 Si. The d-spacings obtained from the extra spots are consistent with those of the orthohexagonal intermetallic compound Ni 5 Si 2 . (author)

  3. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  4. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  5. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  6. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  7. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  8. Temperature dependent IDS–VGS characteristics of an N-channel Si tunneling field-effect transistor with a germanium source on Si(110) substrate

    International Nuclear Information System (INIS)

    Liu Yan; Yan Jing; Wang Hongjuan; Han Genquan

    2014-01-01

    We fabricated n-type Si-based TFETs with a Ge source on Si(110) substrate. The temperature dependent I DS –V GS characteristics of a TFET formed on Si(110) are investigated in the temperature range of 210 to 300 K. A study of the temperature dependence of I Leakage indicates that I Leakage is mainly dominated by the Shockley-Read-Hall (SRH) generation—recombination current of the n + drain—Si substrate junction. I ON increases monotonically with temperature, which is attributed to a reduction of the bandgap at the tunneling junction and an enhancement of band-to-band tunneling rate. The subthreshold swing S for trap assisted tunneling (TAT) current and band-to-band tunneling (BTBT) current shows the different temperature dependence. The subthreshold swing S for the TAT current degrades with temperature, while the S for BTBT current is temperature independent. (semiconductor devices)

  9. Superhydrophobic coatings fabricated with polytetrafluoroethylene and SiO2 nanoparticles by spraying process on carbon steel surfaces

    International Nuclear Information System (INIS)

    Wang, Haibin; Chen, Eryu; Jia, Xianbu; Liang, Lijun; Wang, Qi

    2015-01-01

    Graphical abstract: - Highlights: • The SiO 2 and PTFE NP-filled coatings exhibit excellent superhydrophobicity. • PTFE-filled coatings show denser structures and better liquid resistance than SiO 2 . • Air pocket of Wentzel model explains the difference in the superhydrophobicity. - Abstract: Superhydrophobicity is extensively investigated because of the numerous methods developed for water-repellant interface fabrication. Many suitable functional materials for the production of superhydrophobic surfaces on various substrates are still being explored. In this study, inorganic SiO 2 and organic polytetrafluoroethylene (PTFE) nanoparticles (NPs) are used for a comparative study on the performance of superhydrophobic coating on carbon steel surfaces. The NPs are added to PTFE coating emulsions by physical blending to form coating mixtures. Raw SiO 2 NPs are then hydrophobized using KH-570 and validated by Fourier transform-infrared spectroscopy (FT-IR) and Dynamic Laser Scattering (DLS) grain size analyses. The microstructures of the surfaces are characterized by contact angle (CA) measurements and field emission-scanning electron microscope (FE-SEM) images. The prepared surfaces are subjected to adhesion, hardness, water resistance, and acid/alkali erosion tests. Hydrophobized SiO 2 -filled coating surfaces are found to have better uniformity than raw SiO 2 regardless of their similar maximum static contact angles (SCAs) about 150°. A SCA of 163.1° is obtained on the PTFE NP-filled coating surfaces that have a considerably denser structure than SiO 2 . Thermogravimetric (TG) and differential scanning calorimetry (DSC) analyses reveal that all fabricated surfaces have good thermal stability and tolerate temperatures up to 550 °C. The PTFE NP-filled coating surfaces also exhibit excellent water and acid resistance. A possible mechanism concerning the amount of trapped air is proposed in relation to practical superhydrophobic surface fabrication

  10. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  11. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  12. Investigation of nanocrystalline Epi-Si/γ-Al2O3 heterostructure deposited on Si substrate by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Khatun, Mosammat Halima; Shahjahan, Mohammad; Ito, Ryoki; Sawada, Kazuaki; Ishida, Makoto

    2006-01-01

    In this work, micro-structural and interfacial studies of the epi-Si/γ-Al 2 O 3 heterostructure were undertaken by spectroscopic ellipsometry, and compared with the results of atomic force microscopy and X-ray photoelectron spectroscopy. The experimental ellipsometric data were fitted with the theoretical calculations using effective medium approximation for each layer of the structure. It was observed that the epitaxial silicon layer consists of a fraction of amorphous Si and crystalline Si. The percentage of amorphous silicon increases with the decrease of deposition temperature and with the increase of the deposition rate. The γ-Al 2 O 3 layer produces a hydrostatic pressure on the Si substrate and the amount of hydrostatic pressure was measured to be 8 x 10 9 dyn/cm 2

  13. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  14. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  15. Ge growth on vicinal si(001) surfaces: island's shape and pair interaction versus miscut angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2011-10-01

    A complete description of Ge growth on vicinal Si(001) surfaces is provided. The distinctive mechanisms of the epitaxial growth process on vicinal surfaces are clarified from the very early stages of Ge deposition to the nucleation of 3D islands. By interpolating high-resolution scanning tunneling microscopy measurements with continuum elasticity modeling, we assess the dependence of island's shape and elastic interaction on the substrate misorientation. Our results confirm that vicinal surfaces offer an additional degree of control over the shape and symmetry of self-assembled nanostructures.

  16. SiGe derivatization by spontaneous reduction of aryl diazonium salts

    Science.gov (United States)

    Girard, A.; Geneste, F.; Coulon, N.; Cardinaud, C.; Mohammed-Brahim, T.

    2013-10-01

    Germanium semiconductors have interesting properties for FET-based biosensor applications since they possess high surface roughness allowing the immobilization of a high amount of receptors on a small surface area. Since SiGe combined low cost of Si and intrinsic properties of Ge with high mobility carriers, we focused the study on this particularly interesting material. The comparison of the efficiency of a functionalization process involving the spontaneous reduction of diazonium salts is studied on Si(1 0 0), SiGe and Ge semiconductors. XPS analysis of the functionalized surfaces reveals the presence of a covalent grafted layer on all the substrates that was confirmed by AFM. Interestingly, the modified Ge derivatives have still higher surface roughness after derivatization. To support the estimated thickness by XPS, a step measurement of the organic layers is done by AFM or by profilometer technique after a O2 plasma etching of the functionalized layer. This original method is well-adapted to measure the thickness of thin organic films on rough substrates such as germanium. The analyses show a higher chemical grafting on SiGe substrates compared with Si and Ge semiconductors.

  17. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  18. Mo-Co catalyst nanoparticles: Comparative study between TiN and Si surfaces for single-walled carbon nanotube growth

    Energy Technology Data Exchange (ETDEWEB)

    Morant, C., E-mail: c.morant@uam.es [Departamento de Fisica Aplicada, C-XII, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Campo, T. [Departamento de Fisica Aplicada, C-XII, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Marquez, F. [School of Science and Technology, University of Turabo, 00778-PR (United States); Domingo, C. [Instituto de Estructura de la Materia, CSIC, Serrano 123, 28006 Madrid (Spain); Sanz, J.M.; Elizalde, E. [Departamento de Fisica Aplicada, C-XII, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain)

    2012-06-01

    Highly pure single-walled carbon nanotubes (SWNT) were synthesized by alcohol catalytic chemical vapor deposition on silicon substrates partially covered by a thin layer of TiN. The TiN coating selectively prevented the growth of carbon nanotubes. Field emission scanning electron microscopy and Raman spectroscopy revealed the formation of high purity vertically aligned SWNT in the Si region. X-ray Photoelectron Spectroscopy and Atomic Force Microscopy indicated that Co nanoparticles are present on the Si regions, and not on the TiN regions. This clearly explains the obtained experimental results: the SWNT only grow where the Co is presented as nanoparticles, i.e. on the Si regions. - Highlights: Black-Right-Pointing-Pointer Single-wall carbon nanotubes (SWNT) ontained by catalytic chemical vapor-deposition. Black-Right-Pointing-Pointer Substrate/Co-Mo catalyst behaviour plays a key role in the SWNT growth. Black-Right-Pointing-Pointer Co nanoparticles (the effective catalyst) have been only observed on the Si region. Black-Right-Pointing-Pointer High purity SWNT were spatially confined in specific locations (Si regions). Black-Right-Pointing-Pointer TiN-coated surfaces, adjacent to a Si oxide region, prevent the growth of SWNT.

  19. Uniform Si nano-dot fabrication using reconstructed structure of Si(110)

    Science.gov (United States)

    Yano, Masahiro; Uozumi, Yuki; Yasuda, Satoshi; Asaoka, Hidehito

    2018-06-01

    Si nano-dot (ND) formation on Si(110) is observed by means of a scanning tunneling microscope (STM). The initial Si-NDs are Si crystals that are continuous from the substrate and grow during the oxide layer desorption. The NDs fabricated on the flat surface of Si(110)-1 × 1 are surrounded by four types of facets with almost identical appearance probabilities. An increase in the size of the NDs increases the variety of its morphology. In contrast, most Si-NDs fabricated on straight-stepped surface of Si(110)-16 × 2 reconstructed structure are surrounded by only a single type of facet, namely the \\text{Si}(17,15,1)-2 × 1 plane. An appearance probability of the facet in which the base line is along the step of Si(110)-16 × 2 exceeds 75%. This finding provides a fabrication technique of uniformed structural Si-NDs by using the reconstructed structure of Si(110).

  20. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  1. Toward intrinsic graphene surfaces: a systematic study on thermal annealing and wet-chemical treatment of SiO2-supported graphene devices.

    Science.gov (United States)

    Cheng, Zengguang; Zhou, Qiaoyu; Wang, Chenxuan; Li, Qiang; Wang, Chen; Fang, Ying

    2011-02-09

    By combining atomic force microscopy and trans-port measurements, we systematically investigated effects of thermal annealing on surface morphologies and electrical properties of single-layer graphene devices fabricated by electron beam lithography on silicon oxide (SiO(2)) substrates. Thermal treatment above 300 °C in vacuum was required to effectively remove resist residues on graphene surfaces. However, annealing at high temperature was found to concomitantly bring graphene in close contact with SiO(2) substrates and induce increased coupling between them, which leads to heavy hole doping and severe degradation of mobilities in graphene devices. To address this problem, a wet-chemical approach employing chloroform was developed in our study, which was shown to enable both intrinsic surfaces and enhanced electrical properties of graphene devices. Upon the recovery of intrinsic surfaces of graphene, the adsorption and assisted fibrillation of amyloid β-peptide (Aβ1-42) on graphene were electrically measured in real time.

  2. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  3. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    International Nuclear Information System (INIS)

    Zhang, Z.L.; Asano, T.; Uno, H.; Tero, R.; Suzui, M.; Nakao, S.; Kaito, T.; Shibasaki, K.; Tominaga, M.; Utsumi, Y.; Gao, Y.L.; Urisu, T.

    2008-01-01

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 μm diameter is formed through the top Si layer and the SiO 2 box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully

  4. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.L.; Asano, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Uno, H. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Tero, R. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Suzui, M.; Nakao, S. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Kaito, T. [SII NanoTechnology Inc., 36-1, Takenoshita, Oyama-cho, Sunto-gun, Shizuoka, 410-1393 (Japan); Shibasaki, K.; Tominaga, M. [Okazaki Institute for Integrative Bioscience, 5-1, Higashiyama, Myodaiji, Okazaki, 444-8787 (Japan); Utsumi, Y. [Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2, Koto, Kamigori, Ako-gun, Hyogo, 678-1205 (Japan); Gao, Y.L. [Department of Physics and Astronomy, Rochester University, Rochester, New York 14627 (United States); Urisu, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan)], E-mail: urisu@ims.ac.jp

    2008-03-03

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 {mu}m diameter is formed through the top Si layer and the SiO{sub 2} box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully.

  5. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmSi/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  6. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  7. Study of the interface in n{sup +}{mu}c-Si/p-type c-Si heterojunctions: role of the fluorine chemistry in the interface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Grimaldi, A.; Sacchetti, A.; Capezzuto, P.; Ambrico, M.; Bruno, G.; Roca, Francesco

    2003-03-03

    Investigation of n-p heterojunction solar cells obtained by depositing a n-type thin silicon films either amorphous or microcrystalline on p-type c-Si is carried out. The study is focused on the improvement of the c-Si surface and emitter layer/c-Si substrate interface. The peculiarity is the use of SiF{sub 4}-based plasmas for the in situ dry cleaning and passivation of the c-Si surface and for the PECVD deposition of the emitter layer that can be either amorphous (a-Si:H,F) or microcrystalline ({mu}c-Si). The use of SiF{sub 4} instead of the conventional SiH{sub 4} results in a lower hydrogen content in the film and in a reduction of the interaction of the c-Si surface with hydrogen atoms. Furthermore, the dependence of the heterojunction solar cell photovoltaic parameters on the insertion of an intrinsic buffer layer between the n-type thin silicon layer and the p-type c-Si substrate is discussed.

  8. Epitaxial growth and properties of AlGaN-based UV-LEDs on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, Phannee

    2010-07-08

    An increasing demand for bright and efficient ultraviolet light emitting diodes (UVLEDs) is generated by numerous applications such as biochemical sensors, purification and sterilization, and solid-state white lighting. Al{sub x}Ga{sub 1-x}N is a promising material to develop UVLEDs due to the direct wide-bandgap material for emission wavelengths in the UV range and the capability of n- and p-type doping. To develop UV-LEDs on Si substrates is very interesting for low-cost UV-light sources since the Si substrate is available at low cost, in large-diameter size enabling the integration with well-known Si electronics. This work presents the first crack-free AlGaN-based UV-LEDs on Si(111) substrates by MOVPE growth. This AlGaN-based UV-LED on Si(111) substrate consists of Al{sub 0.1}Ga{sub 0.9}N:Si layers on LT-AlN/HT-AlN SL buffer layers and an active layer of GaN/Al{sub 0.1}Ga{sub 0.9}N MQWs followed by Mg-doped (GaN/Al{sub 0.1}Ga{sub 0.9}N) superlattices and GaN:Mg cap layers. It yields a {proportional_to}350 nm UV electroluminescence at room temperature and a turn-on voltage in a range of 2.6-3.1 V by current-voltage (I-V) measurements. The novel LT-AlN/HT-AlN superlattice buffer layers efficiently improve the crystalline quality of Al{sub x}Ga{sub 1-x}N layers and compensate a thermal tensile strain in Al{sub x}Ga{sub 1-x}N layers after cooling as observed by in-situ curvature measurements. The dislocation density could be reduced from 8.4 x 10{sup 10} cm{sup -2} in the AlN-based SLs to 1.8 x 10{sup 10} cm{sup -2} in the Al{sub 0.1}Ga{sub 0.9}N layers as determined by cross-sectional transmission electron microscopy (TEM) measurements. Crack-free Al{sub x}Ga{sub 1-x}N layers grown on these LT-AlN/HT-AlN superlattices with 0.05{<=}x{<=} 0.65 are achieved on Si substrates with good crystalline, optical, and electrical properties. The best crystalline quality of Al{sub 0.1}Ga{sub 0.9}N is obtained with {omega}-FWHMs of the (0002) and (10-10) reflections of

  9. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  10. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  11. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  12. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  13. Stability of Ta-encapsulating Si clusters on Si(111)-(7x7) surfaces

    CERN Document Server

    Uchida, N; Miyazaki, T; Kanayama, T

    2003-01-01

    Tantalum containing Si cluster ions TaSi sub 1 sub 0 sub - sub 1 sub 3 H sub x sup + were synthesized in an ion trap and deposited onto Si(111)-(7x7) surfaces with a kinetic energy of 18 eV. Scanning tunnelling microscope observations revealed that the clusters adsorbed on the surface without decomposition, consistent with ab initio calculation results, that predicted the clusters would have stable Si-cage structures with a Ta atom at the centre. (rapid communication)

  14. Surface modification of ceramic and metallic alloy substrates by laser raster-scanning

    Science.gov (United States)

    Ramos Grez, Jorge Andres

    This work describes the feasibility of continuous wave laser-raster scan-processing under controlled atmospheric conditions as employed in three distinct surface modification processes: (a) surface roughness reduction of indirect-Selective Laser Sintered 420 martensitic stainless steel-40 wt. % bronze infiltrated surfaces; (b) Si-Cr-Hf-C coating consolidation over 3D carbon-carbon composites cylinders; (c) dendritic solidification structures of Mar-M 247 confined powder precursor grown from polycrystalline Alloy 718 substrates. A heat transfer model was developed to illustrate that the aspect ratio of the laser scanned pattern and the density of scanning lines play a significant role in determining peak surface temperature, heating and cooling rates and melt resident times. Comprehensive characterization of the surface of the processed specimens was performed using scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), optical metallography, X-ray diffraction (XRD), and, in certain cases, tactile profilometry. In Process (a), it was observed that a 24% to 37% roughness Ra reduction could be accomplished from the as-received value of 2.50+/-0.10 microns for laser energy densities ranging from 350 to 500 J/cm2. In Process (b), complete reactive wetting of carbon-carbon composite cylinders surface was achieved by laser melting a Si-Cr-Hf-C slurry. Coatings showed good thermal stability at 1000°C in argon, and, when tested in air, a percent weight reduction rate of -6.5 wt.%/hr was achieved. A soda-glass overcoat applied over the coated specimens by conventional means revealed a percent weight reduction rate between -1.4 to -2.2 wt.%/hr. Finally, in Process (c), microstructure of the Mar-M 247 single layer deposits, 1 mm in height, grown on Alloy 718 polycrystalline sheets, resulted in a sound metallurgical bond, low porosity, and uniform thickness. Polycrystalline dendrites grew preferentially along the [001] direction from the substrate up to 400

  15. Atomic structures of a monolayer of AlAs, GaAs, and InAs on Si(111)

    International Nuclear Information System (INIS)

    Lee, Geunjung; Yoon, Younggui

    2010-01-01

    We study atomic structures of a monolayer of AlAs, GaAs, and InAs on a Si(111) substrate from first-principles. The surface with the stacking sequence of ...SiSiMAsSiAs is energetically more stable than the surface with the stacking sequence of ...SiSiSiAsMAs, where M is Al, Ga, or In. The atomic structure of the three top layers of the low-energy surfaces are quite robust, irrespective of M, and the atomic structure of the AlAsSiAs terminated surface and that of the GaAsSiAs terminated surface are very similar. For the high-energy AsMAs terminated surfaces, the broken local tetrahedral symmetry plays an important role in the atomic structures. The calculated atomic structures of InAs on the Si(111) substrate depart most from the structure of crystalline Si.

  16. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  17. Substrate temperature effects on reactively sputtered Cr2O3/n-Si heterojunctions

    International Nuclear Information System (INIS)

    Ocak, Yusuf Selim; Genisel, Mustafa Fatih; Issa, Ali Ahmed; Tombak, Ahmet; Kilicoglu, Tahsin

    2016-01-01

    To see the effects of substrate temperature on Cr 2 O 3 /n-Si heterojunctions, Cr 2 O 3 thin films were formed on n-Si and glass substrates at 40, 150 and 250 °C by radio frequency (RF) reactive sputtering technique. High purity Cr was used as target and oxygen was used as reactive gas. Optical properties of Cr 2 O 3 /n-Si thin films were analyzed using UV-vis data. The band gaps of the films were compared. The electrical properties of Cr 2 O 3 /n-Si heterojunction were tested by their current voltage ( I-V ) measurements in dark. It was observed that the heterojunction which was fabricated by forming Cr 2 O 3 thin film at 250 °C gave better rectification. The characteristic electrical parameters such as barrier height, ideality factor and series resistance were calculated by using its I-V data. The influence of light intensity on photovoltaic effect behavior of the device was also calculated, finally the barrier height value of the structure obtained from capacitance-voltage ( C-V ) data were compared with the one calculated from I-V measurements. (paper)

  18. Adsorption/desorption kinetics of Na atoms on reconstructed Si (111)-7 x 7 surface

    International Nuclear Information System (INIS)

    Chauhan, Amit Kumar Singh; Govind; Shivaprasad, S.M.

    2010-01-01

    Self-assembled nanostructures on a periodic template are fundamentally and technologically important as they put forward the possibility to fabricate and pattern micro/nano-electronics for sensors, ultra high-density memories and nanocatalysts. Alkali-metal (AM) nanostructure grown on a semiconductor surface has received considerable attention because of their simple hydrogen like electronic structure. However, little efforts have been made to understand the fundamental aspects of the growth mechanism of self-assembled nanostructures of AM on semiconductor surfaces. In this paper, we report organized investigation of kinetically controlled room-temperature (RT) adsorption/desorption of sodium (Na) metal atoms on clean reconstructed Si (111)-7 x 7 surface, by X-ray photoelectron spectroscopy (XPS). The RT uptake curve shows a layer-by-layer growth (Frank-vander Merve growth) mode of Na on Si (111)-7 x 7 surfaces and a shift is observed in the binding energy position of Na (1s) spectra. The thermal stability of the Na/Si (111) system was inspected by annealing the system to higher substrate temperatures. Within a temperature range from RT to 350 o C, the temperature induced mobility to the excess Na atoms sitting on top of the bilayer, allowing to arrange themselves. Na atoms desorbed over a wide temperature range of 370 o C, before depleting the Si (111) surface at temperature 720 o C. The acquired valence-band (VB) spectra during Na growth revealed the development of new electronic-states near the Fermi level and desorption leads the termination of these. For Na adsorption up to 2 monolayers, decrease in work function (-1.35 eV) was observed, whereas work function of the system monotonically increases with Na desorption from the Si surface as observed by other studies also. This kinetic and thermodynamic study of Na adsorbed Si (111)-7 x 7 system can be utilized in fabrication of sensors used in night vision devices.

  19. Cluster-surface collisions: Characteristics of Xe55- and C20 - Si[111] surface bombardment

    International Nuclear Information System (INIS)

    Cheng, H.

    1999-01-01

    Molecular dynamics (MD) simulations are performed to study the cluster-surface collision processes. Two types of clusters, Xe 55 and C 20 are used as case studies of materials with very different properties. In studies of Xe 55 - Si[111] surface bombardment, two initial velocities, 5.0 and 10.0 km/s (normal to the surface) are chosen to investigate the dynamical consequences of the initial energy or velocity in the cluster-surface impact. A transition in the speed of kinetic energy propagation, from subsonic velocities to supersonic velocities, is observed. Energy transfer, from cluster translational motion to the substrate, occurs at an extremely fast rate that increases as the incident velocity increases. Local melting and amorphous layer formation in the surfaces are found via energetic analysis of individual silicon atoms. For C 20 , the initial velocity ranges from 10 to 100 km/s. The clusters are damaged immediately upon impact. Similar to Xe 55 , increase in the potential energy is larger than the increase in internal kinetic energy. However, the patterns of energy distribution are different for the two types of clusters. The energy transfer from the carbon clusters to Si(111) surface is found to be slower than that found in the Xe clusters. Fragmentation of the carbon cluster occurs when the initial velocity is greater than 30 km/s. At 10 km/s, the clusters show recrystallization at later times. The average penetration depth displays a nonlinear dependence on the initial velocity. Disturbance in the surface caused by C 20 is discussed and compared to the damage caused by Xe 55 . Energetics, structures, and dynamics of these systems are fully analyzed and characterized. copyright 1999 American Institute of Physics

  20. Comparative study of initial growth stage in PVT growth of AlN on SiC and on native AlN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Epelbaum, B.M.; Heimann, P.; Bickermann, M.; Winnacker, A. [Department of Materials Science 6, University of Erlangen-Nuernberg, Martensstr. 7, 91058 Erlangen (Germany)

    2005-05-01

    The main issue in homoepitaxial growth of aluminum nitride (AlN) on native seed substrates appears to be aluminum oxynitride poisoning of seed surface leading to polycrystalline growth at 1750-1850 C. This is well below the lowest growth temperature appropriate for physical vapor transport (PVT) of bulk AlN, which is about 2150 C. Contrary, heteroepitaxial growth of AlN on SiC is relatively easy to achieve because of natural formation of a thin molten layer on the seed surface and VLS growth of AlN via the molten buffer layer. The most critical issue of AlN growth on SiC is cracking of the grown layer upon cooling as a result of different thermal expansion. Optimization of seeded growth process can be achieved by proper choice of SiC seed orientation and by use of ultra-pure starting material. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  2. Interaction of tetraethoxysilane with OH-terminated SiO{sub 2} (0 0 1) surface: A first principles study

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Xiaodi, E-mail: dixiaodeng@gmail.com [Department of Engineering Physics, Tsinghua University, Beijing 100084 (China); Song, Yixu, E-mail: songyixu@163.com [State Key Laboratory on Intelligent Technology and Systems, Tsinghua National Laboratory for Information Science and Technology, Department of Computer Science and Technology, Tsinghua University, Beijing 100084 (China); Li, Jinchun [Institute of Applied Physics, University of Science and Technology Beijing, Beijing 100083 (China); Pu, Yikang [Department of Engineering Physics, Tsinghua University, Beijing 100084 (China)

    2014-06-01

    First principles calculates have been performed to investigate the surface reaction mechanism of tetraethoxysilane (TEOS) with fully hydroxylated SiO{sub 2}(0 0 1) substrate. In semiconductor industry, this is the key step to understand and control the SiO{sub 2} film growth in chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes. During the calculation, we proposed a model which breaks the surface dissociative chemisorption into two steps and we calculated the activation barriers and thermochemical energies for each step. Our calculation result for step one shows that the first half reaction is thermodynamically favorable. For the second half reaction, we systematically studied the two potential reaction pathways. The comparing result indicates that the pathway which is more energetically favorable will lead to formation of crystalline SiO{sub 2} films while the other will lead to formation of disordered SiO{sub 2} films.

  3. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  4. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  5. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    Science.gov (United States)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  6. Gold nanoparticles deposited on linker-free silicon substrate and embedded in aluminum Schottky contact.

    Science.gov (United States)

    Gorji, Mohammad Saleh; Razak, Khairunisak Abdul; Cheong, Kuan Yew

    2013-10-15

    Given the enormous importance of Au nanoparticles (NPs) deposition on Si substrates as the precursor for various applications, we present an alternative approach to deposit Au NPs on linker-free n- and p-type Si substrates. It is demonstrated that, all conditions being similar, there is a significant difference between densities of the deposited NPs on both substrates. The Zeta-potential and polarity of charges surrounding the hydroxylamine reduced seeded growth Au NPs, are determined by a Zetasizer. To investigate the surface properties of Si substrates, contact angle measurement is performed. Field-emission scanning electron microscope is then utilized to distinguish the NPs density on the substrates. Finally, Al/Si Schottky barrier diodes with embedded Au NPs are fabricated, and their structural and electrical characteristics are further evaluated using an energy-filtered transmission electron microscope and current-voltage measurements, respectively. The results reveal that the density of NPs is significantly higher on n-type Si substrate and consequently has more pronounced effects on the electrical characteristics of the diode. It is concluded that protonation of Si-OH group on Si surface in low pH is responsible for the immobilization of Au NPs, which eventually contributes to the lowering of barrier height and enhances the electrical characteristics. Copyright © 2013 Elsevier Inc. All rights reserved.

  7. Interaction of Se and GaSe with Si(111)

    International Nuclear Information System (INIS)

    Meng, Shuang; Schroeder, B. R.; Olmstead, Marjorie A.

    2000-01-01

    Deposition of Se and GaSe on Si(111)7x7 surfaces was studied with low-energy electron diffraction, x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction to probe initial nucleation and interface structure for GaSe/Si(111) heteroepitaxy. Room-temperature deposition of Se on Si(111)7x7 results in an amorphous film. Subsequent annealing leads to Se evaporation without ordering or interdiffusion. Se deposition at 450 degree sign C saturates at submonolayer coverage with no diffusion of Se into the substrate. There is no clear evidence of ordered sites for the Se. Growth of GaSe on Si(111)7x7 above 500 degree sign C results in a pseudomorphic bilayer, with Si-Ga-Se bonding. Additional GaSe does not stick to the bilayer above 525 degree sign C. The resulting Se lone pair at the surface leads to an ideally passivated surface similar to As/Si(111). This stable surface is similar to the layer termination in bulk GaSe. The single domain bilayer is oriented with the Ga-Se bond parallel to the substrate Si-Si bond. (c) 2000 The American Physical Society

  8. Structure, surface morphology and electrical properties of evaporated Ni thin films: Effect of substrates, thickness and Cu underlayer

    International Nuclear Information System (INIS)

    Hemmous, M.; Layadi, A.; Guittoum, A.; Souami, N.; Mebarki, M.; Menni, N.

    2014-01-01

    Series of Ni thin films have been deposited by thermal evaporation onto glass, Si(111), Cu, mica and Al 2 O 3 substrates with and without a Cu underlayer. The Ni thicknesses, t, are in the 4 to 163 nm range. The Cu underlayer has also been evaporated with a Cu thickness equal to 27, 52 and 90 nm. The effects of substrate, the Ni thickness and the Cu underlayer on the structural and electrical properties of Ni are investigated. Rutherford Backscattering Spectroscopy was used to probe the Ni/Substrate and Ni–Cu underlayer interfaces and to measure both Ni and Cu thicknesses. The texture, the strain and the grain size values were derived from X-ray diffraction experiments. The surface morphology is studied by means of a Scanning Electron Microscope. The electrical resistivity is measured by the four point probe. The Ni films grow with the <111> texture on all substrates. The Ni grain sizes D increase with increasing thickness for the glass, Si and mica substrates and decrease for the Cu one. The strain ε is positive for low thickness, decreases in magnitude and becomes negative as t increases. With the Cu underlayer, the growth mode goes through two phases: first, the stress (grain size) increases (decreases) up to a critical thickness t Cr , then stress is relieved and grain size increases. All these results will be discussed and correlated. - Highlights: • The structural and electrical properties of evaporated Ni thin films are studied. • The effect of thickness, substrates and Cu underlayer is investigated. • Texture, grain size, strain and surface morphology are discussed. • Growth modes are described as a function of Ni thickness

  9. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  10. Grafting cavitands on the Si(100) surface.

    Science.gov (United States)

    Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Busi, Marco; Menozzi, Edoardo; Dalcanale, Enrico; Cristofolini, Luigi

    2006-12-19

    Cavitand molecules having double bond terminated alkyl chains and different bridging groups at the upper rim have been grafted on H-terminated Si(100) surface via photochemical hydrosilylation of the double bonds. Pure and mixed monolayers have been obtained from mesitylene solutions of either pure cavitand or cavitand/1-octene mixtures. Angle resolved high-resolution X-ray photoelectron spectroscopy has been used as the main tool for the monolayer characterization. The cavitand decorated surface consists of Si-C bonded layers with the upper rim at the top of the layer. Grafting of pure cavitands leads to not-well-packed layers, which are not able to efficiently passivate the Si(100) surface. By contrast, monolayers obtained from cavitand/1-octene mixtures consist of well-packed layers since they prevent silicon oxidation after aging. AFM measurements showed that these monolayers have a structured topography, with objects protruding from the Si(100) surface with average heights compatible with the expected ones for cavitand molecules.

  11. Atomic insight into tribochemical wear mechanism of silicon at the Si/SiO{sub 2} interface in aqueous environment: Molecular dynamics simulations using ReaxFF reactive force field

    Energy Technology Data Exchange (ETDEWEB)

    Wen, Jialin; Ma, Tianbao [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Zhang, Weiwei; Psofogiannakis, George; Duin, Adri C.T. van [Department of Mechanical and Nuclear Engineering, Pennsylvania State University, University Park, PA 16802 (United States); Chen, Lei; Qian, Linmao [Tribology Research Institute, Key Laboratory of Advanced Technologies of Materials (Ministry of Education), Southwest Jiaotong University, Chengdu 610031 (China); Hu, Yuanzhong [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Lu, Xinchun, E-mail: xclu@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2016-12-30

    Highlights: • New ReaxFF reactive force field was applied to simulate the tribochemical wear process at Si/SiO{sub 2} interface. • Wear of silicon atoms is due to the breaking of Si–O–Si bonds and Si–Si–O–Si bond chains on the Si substrate. • Interfacial bridge bonds play an important role during the tribochemical wear process. • Higher pressures applied to the silica phase can cause more Si atoms to be removed by forming more interfacial bridge bonds. • Water plays an opposing role in the wear process because of its both chemical and mechanical effects. - Abstract: In this work, the atomic mechanism of tribochemical wear of silicon at the Si/SiO{sub 2} interface in aqueous environment was investigated using ReaxFF molecular dynamics (MD) simulations. Two types of Si atom removal pathways were detected in the wear process. The first is caused by the destruction of stretched Si–O–Si bonds on the Si substrate surface and is assisted by the attachment of H atoms on the bridging oxygen atoms of the bonds. The other is caused by the rupture of Si–Si bonds in the stretched Si–Si–O–Si bond chains at the interface. Both pathways effectively remove Si atoms from the silicon surface via interfacial Si–O–Si bridge bonds. Our simulations also demonstrate that higher pressures applied to the silica phase can cause more Si atoms to be removed due to the formation of increased numbers of interfacial Si–O–Si bridge bonds. Besides, water plays a dual role in the wear mechanism, by oxidizing the Si substrate surface as well as by preventing the close contact of the surfaces. This work shows that the removal of Si atoms from the substrate is a result of both chemical reaction and mechanical effects and contributes to the understanding of tribochemical wear behavior in the microelectromechanical systems (MEMS) and Si chemical mechanical polishing (CMP) process.

  12. Low Thermal Budget Fabrication of III-V Quantum Nanostructures on Si Substrates

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Sanguinetti, S; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2010-01-01

    We show the possibility to integrate high quality III-V quantum nanostructures tunable in shape and emission energy on Si-Ge Virtual Substrate. Strong photoemission is observed, also at room temperature, from two different kind of GaAs quantum nanostructures fabricated on Silicon substrate. Due to the low thermal budget of the procedure used for the fabrication of the active layer, Droplet Epitaxy is to be considered an excellent candidate for implementation of optoelectronic devices on CMOS circuits.

  13. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    Science.gov (United States)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  14. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    International Nuclear Information System (INIS)

    Qing, Huan; Hao, Hu; Li-Da, Pan; Jiang, Xiao; Shi-Xuan, Du; Hong-Jun, Gao

    2010-01-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO 2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule–molecule interaction. Finally, a phenomenal “two-branch” model is proposed to simulate the growth process of the seahorse pattern. (general)

  15. Hydroxyl group induced adsorption of four-nitro benzoic acid on Si(100) 2x1 surface

    International Nuclear Information System (INIS)

    Ihm, K.; Kang, T.-H.; Hwang, C.C.; Kim, K.-J.; Hwang, H.-N.; Kim, H.-D.; Han, J.H.; Moon, S.; Kim, B.; POSTECH

    2004-01-01

    Full text: A number of studies have been conducted on self-assembled monolayers (SAMs) in order to study the adhesion of polymer films on various substrates. Recently, the studies on SAMs on the semiconductor substrate are more motivated because of their possible application to nanoscale devices. For the electronic and chemical properties suitable for various applications, the aromatic ring has been used as a building block of various molecules forming SAMs. Here, we used four-nitro benzoic acid (4-NBA) as a model planar aromatic compound, in which the phenyl ring, the carboxylic functional group, and NO2 are on the same plane. The adsorption mechanism of 4-NBA on the in-situ prepared OH/Si(100) 2x1 surface was investigated using x-ray photoelectron spectroscopy and near-edge x-ray absorption e structure. The results revealed that the 4-NBA molecule reacts with the hydroxyl group on the Si(100) 2x1 surface through deprotonation of the carboxyl group. The saturation coverage of 4-NBA estimated by the O 1s ratio is 1/2 ML. Additionally, we could observe the desorption of the oxygen atom from the NO2 moiety of the 4-NBA upon irradiating the surface by photons of 500 eV

  16. Shaping Ge islands on Si(001) surfaces with misorientation angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2010-01-22

    A complete description of Ge growth on vicinal Si(001) surfaces in the angular miscut range 0 degrees -8 degrees is presented. The key role of substrate vicinality is clarified from the very early stages of Ge deposition up to the nucleation of 3D islands. By a systematic scanning tunneling microscopy investigation we are able to explain the competition between step-flow growth and 2D nucleation and the progressive elongation of the 3D islands along the miscut direction [110]. Using finite element calculations, we find a strict correlation between the morphological evolution and the energetic factors which govern the {105} faceting at atomic scale.

  17. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  18. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  19. Super-hydrophobic surfaces of SiO₂-coated SiC nanowires: fabrication, mechanism and ultraviolet-durable super-hydrophobicity.

    Science.gov (United States)

    Zhao, Jian; Li, Zhenjiang; Zhang, Meng; Meng, Alan

    2015-04-15

    The interest in highly water-repellent surfaces of SiO2-coated SiC nanowires has grown in recent years due to the desire for self-cleaning and anticorrosive surfaces. It is imperative that a simple chemical treatment with fluoroalkylsilane (FAS, CF3(CF2)7CH2CH2Si(OC2H5)3) in ethanol solution at room temperature resulted in super-hydrophobic surfaces of SiO2-coated SiC nanowires. The static water contact angle of SiO2-coated SiC nanowires surfaces was changed from 0° to 153° and the morphology, microstructure and crystal phase of the products were almost no transformation before and after super-hydrophobic treatment. Moreover, a mechanism was expounded reasonably, which could elucidate the reasons for their super-hydrophobic behavior. It is important that the super-hydrophobic surfaces of SiO2-coated SiC nanowires possessed ultraviolet-durable (UV-durable) super-hydrophobicity. Copyright © 2014 Elsevier Inc. All rights reserved.

  20. Direct evidence of strain transfer for InAs island growth on compliant Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marçal, L. A. B.; Magalhães-Paniago, R.; Malachias, Angelo, E-mail: angeloms@fisica.ufmg.br [Universidade Federal de Minas Gerais, Av. Antonio Carlos 6627, CEP 31270-901, Belo Horizonte (Brazil); Richard, M.-I. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Aix-Marseille University, IM2NP-CNRS, Faculté des Sciences de St Jérôme, 13397 Marseille (France); Cavallo, F. [Center for High Technology Materials, University of New Mexico, 1313 Goddard St., Albuquerque, New Mexico 87106 (United States); University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Lagally, M. G. [University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Schmidt, O. G. [Institute for Integrative Nanosciences, IFW-Dresden, D-01171 Dresden (Germany); Schülli, T. Ü. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Deneke, Ch. [Laboratório Nacional de Nanotecnologia (LNNano/CNPEM), C.P. 6192, CEP 13083-970, Campinas (Brazil)

    2015-04-13

    Semiconductor heteroepitaxy on top of thin compliant layers has been explored as a path to make inorganic electronics mechanically flexible as well as to integrate materials that cannot be grown directly on rigid substrates. Here, we show direct evidences of strain transfer for InAs islands on freestanding Si thin films (7 nm). Synchrotron X-ray diffraction measurements using a beam size of 300 × 700 nm{sup 2} can directly probe the strain status of the compliant substrate underneath deposited islands. Using a recently developed diffraction mapping technique, three-dimensional reciprocal space maps were reconstructed around the Si (004) peak for specific illuminated positions of the sample. The strain retrieved was analyzed using continuous elasticity theory via Finite-element simulations. The comparison of experiment and simulations yields the amount of strain from the InAs islands, which is transferred to the compliant Si thin film.

  1. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  2. Modulating the Surface State of SiC to Control Carrier Transport in Graphene/SiC.

    Science.gov (United States)

    Jia, Yuping; Sun, Xiaojuan; Shi, Zhiming; Jiang, Ke; Liu, Henan; Ben, Jianwei; Li, Dabing

    2018-05-28

    Silicon carbide (SiC) with epitaxial graphene (EG/SiC) shows a great potential in the applications of electronic and photoelectric devices. The performance of devices is primarily dependent on the interfacial heterojunction between graphene and SiC. Here, the band structure of the EG/SiC heterojunction is experimentally investigated by Kelvin probe force microscopy. The dependence of the barrier height at the EG/SiC heterojunction to the initial surface state of SiC is revealed. Both the barrier height and band bending tendency of the heterojunction can be modulated by controlling the surface state of SiC, leading to the tuned carrier transport behavior at the EG/SiC interface. The barrier height at the EG/SiC(000-1) interface is almost ten times that of the EG/SiC(0001) interface. As a result, the amount of carrier transport at the EG/SiC(000-1) interface is about ten times that of the EG/SiC(0001) interface. These results offer insights into the carrier transport behavior at the EG/SiC heterojunction by controlling the initial surface state of SiC, and this strategy can be extended in all devices with graphene as the top layer. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  4. Electrical properties of SiO{sub 2}/SiC interfaces on 2°-off axis 4H-SiC epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Vivona, M., E-mail: marilena.vivona@imm.cnr.it [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Fiorenza, P. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Sledziewski, T.; Krieger, M. [Friedrich-Alexander-University (FAU) Erlangen-Nuremberg, Department of Physics, Staudtstrasse 7/Bld. A3, D-91058 Erlangen (Germany); Chassagne, T.; Zielinski, M. [NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex (France); Roccaforte, F. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy)

    2016-02-28

    Graphical abstract: - Highlights: • Processing and electrical characterization of MOS capacitors fabricated on 4H-SiC epilayers grown on 2°-off axis heavily doped substrates. • Excellent characteristics of the SiO{sub 2}/4H-SiC interface in terms of flatness, interface state density and oxide reliability. • Electrical behavior of the MOS devices comparable with that obtained for the state-of-the-art of 4°-off axis 4H-SiC material. • Demonstration of the maturity of the 2°-off axis material for application in 4H-SiC MOSFET device technology. - Abstract: In this paper, the electrical properties of the SiO{sub 2}/SiC interface on silicon carbide (4H-SiC) epilayers grown on 2°-off axis substrates were studied. After epilayer growth, chemical mechanical polishing (CMP) allowed to obtain an atomically flat surface with a roughness of 0.14 nm. Metal-oxide-semiconductor (MOS) capacitors, fabricated on this surface, showed an interface state density of ∼1 × 10{sup 12} eV{sup −1} cm{sup −2} below the conduction band, a value which is comparable to the standard 4°-off-axis material commonly used for 4H-SiC MOS-based device fabrication. Moreover, the Fowler–Nordheim and time-zero-dielectric breakdown analyses confirmed an almost ideal behavior of the interface. The results demonstrate the maturity of the 2°-off axis material for 4H-SiC MOSFET device fabrication.

  5. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  6. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  7. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmSi/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  8. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate

    OpenAIRE

    Xu, Weijia; Yin, Huaxiang; Ma, Xiaolong; Hong, Peizhen; Xu, Miao; Meng, Lingkuan

    2015-01-01

    In this study, novel p-type scallop-shaped fin field-effect transistors (S-FinFETs) are fabricated using an all-last high-k/metal gate (HKMG) process on bulk-silicon (Si) substrates for the first time. In combination with the structure advantage of conventional Si nanowires, the proposed S-FinFETs provide better electrostatic integrity in the channels than normal bulk-Si FinFETs or tri-gate devices with rectangular or trapezoidal fins. It is due to formation of quasi-surrounding gate electrod...

  9. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    International Nuclear Information System (INIS)

    Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.

    2011-01-01

    Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  10. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    Energy Technology Data Exchange (ETDEWEB)

    Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)

    2011-05-05

    Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  11. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  12. Passivation of hexagonal SiC surfaces by hydrogen termination

    International Nuclear Information System (INIS)

    Seyller, Thomas

    2004-01-01

    Surface hydrogenation is a well established technique in silicon technology. It is easily accomplished by wet-chemical procedures and results in clean and unreconstructed surfaces, which are extremely low in charged surface states and stable against oxidation in air, thus constituting an ideal surface preparation. As a consequence, methods for hydrogenation have been sought for preparing silicon carbide (SiC) surfaces with similar well defined properties. It was soon recognized, however, that due to different surface chemistry new ground had to be broken in order to find a method leading to the desired monatomic hydrogen saturation. In this paper the results of H passivation of SiC surfaces by high-temperature hydrogen annealing will be discussed, thereby placing emphasis on chemical, structural and electronic properties of the resulting surfaces. In addition to their unique properties, hydrogenated hexagonal SiC {0001} surfaces offer the interesting possibility of gaining insight into the formation of silicon- and carbon-rich reconstructions as well. This is due to the fact that to date hydrogenation is the only method providing oxygen-free surfaces with a C to Si ratio of 1:1. Last but not least, the electronic properties of hydrogen-free SiC {0001} surfaces will be alluded to. SiC {0001} surfaces are the only known semiconductor surfaces that can be prepared in their unreconstructed (1 x 1) state with one dangling bond per unit cell by photon induced hydrogen desorption. These surfaces give indications of a Mott-Hubbard surface band structure

  13. Study on the substrate-induced crystallisation of amorphous SiC-precursor ceramics. TIB/A; Untersuchungen zur substratinduzierten Kristallisation amorpher SiC-Precursorkeramiken

    Energy Technology Data Exchange (ETDEWEB)

    Rau, C.

    2000-12-01

    In the present thesis the crystallization behaviour of amorphous silicon-carbon materials (SiC{sub x}) was studied. The main topic of the experimental studies formed thereby the epitactical crystallization of thin silicon carbide layers on monocrystalline substrates of silicon carbides or silicon. Furthermore by thermolysis of the polymer amorphous SiC{sub x}-powder was obtained.

  14. Growth and quantum transport properties of vertical Bi2Se3 nanoplate films on Si substrates.

    Science.gov (United States)

    Li, M Z; Wang, Z H; Yang, L; Pan, D S; Li, Da; Gao, Xuan; Zhang, Zhi-Dong

    2018-05-14

    Controlling the growth direction (planar vs. vertical) and surface-to-bulk ratio can lead to lots of unique properties for two-dimensional (2D) layered materials. We report a simple method to fabricate continuous films of vertical Bi2Se3 nanoplates on Si substrate and investigate the quantum transport properties of such films. In contrast to (001) oriented planar Bi2Se3 nanoplate film, vertical Bi2Se3 nanoplate films are enclosed by (015) facets, which possess high surface-to-bulk ratio that can enhance the quantum transport property of topological surface states. And by controlling the compactness of vertical Bi2Se3 nanoplates, we realized an effective tuning of the weak antilocalization (WAL) effect from topological surface states in Bi2Se3 films. Our work paves a way for exploring the unique transport properties of this unconventional structure topological insulator film. © 2018 IOP Publishing Ltd.

  15. Balance of optical, structural, and electrical properties of textured liquid phase crystallized Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Preidel, V., E-mail: veit.preidel@helmholtz-berlin.de; Amkreutz, D.; Haschke, J.; Wollgarten, M.; Rech, B.; Becker, C. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Division Renewable Energy, Kekuléstr. 5, 12489 Berlin (Germany)

    2015-06-14

    Liquid phase crystallized Si thin-film solar cells on nanoimprint textured glass substrates exhibiting two characteristic, but distinct different surface structures are presented. The impact of the substrate texture on light absorption, the structural Si material properties, and the resulting solar cell performance is analyzed. A pronounced periodic substrate texture with a vertical feature size of about 1 μm enables excellent light scattering and light trapping. However, it also gives rise to an enhanced Si crystal defect formation deteriorating the solar cell performance. In contrast, a random pattern with a low surface roughness of 45 nm allows for the growth of Si thin films being comparable to Si layers on planar reference substrates. Amorphous Si/crystalline Si heterojunction solar cells fabricated on the low-roughness texture exhibit a maximum open circuit voltage of 616 mV and internal quantum efficiency peak values exceeding 90%, resulting in an efficiency potential of 13.2%. This demonstrates that high quality crystalline Si thin films can be realized on nanoimprint patterned glass substrates by liquid phase crystallization inspiring the implementation of tailor-made nanophotonic light harvesting concepts into future liquid phase crystallized Si thin film solar cells on glass.

  16. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  17. Correlation between morphology, electron band structure, and resistivity of Pb atomic chains on the Si(5 5 3)-Au surface

    International Nuclear Information System (INIS)

    Jałochowski, M; Kwapiński, T; Łukasik, P; Nita, P; Kopciuszyński, M

    2016-01-01

    Structural and electron transport properties of multiple Pb atomic chains fabricated on the Si(5 5 3)-Au surface are investigated using scanning tunneling spectroscopy, reflection high electron energy diffraction, angular resolved photoemission electron spectroscopy and in situ electrical resistance. The study shows that Pb atomic chains growth modulates the electron band structure of pristine Si(5 5 3)-Au surface and hence changes its sheet resistivity. Strong correlation between chains morphology, electron band structure and electron transport properties is found. To explain experimental findings a theoretical tight-binding model of multiple atomic chains interacting on effective substrate is proposed. (paper)

  18. Characterization of μc-Si:H/a-Si:H tandem solar cell structures by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Murata, Daisuke; Yuguchi, Tetsuya; Fujiwara, Hiroyuki

    2014-01-01

    In order to perform the structural characterization of Si thin-film solar cells having submicron-size rough textured surfaces, we have developed an optical model that can be utilized for the spectroscopic ellipsometry (SE) analysis of a multilayer solar cell structure consisting of hydrogenated amorphous silicon (a-Si:H) and microcrystalline silicon (μc-Si:H) layers fabricated on textured SnO 2 :F substrates. To represent the structural non-uniformity in the textured structure, the optical response has been calculated from two regions with different thicknesses of the Si layers. Moreover, in the optical model, the interface layers are modeled by multilayer structures assuming two-phase composites and the volume fractions of the phases in the layers are controlled by the structural curvature factor. The polarized reflection from the μc-Si:H layer that shows extensive surface roughening during the growth has also been modeled. In this study, a state-of-the-art solar cell structure with the textured μc-Si:H (2000 nm)/ZnO (100 nm)/a-Si:H (200 nm)/SnO 2 :F/glass substrate structure has been characterized. The μc-Si:H/a-Si:H textured structure deduced from our SE analysis shows remarkable agreement with that observed by transmission electron microscopy. From the above results, we have demonstrated the high-precision characterization of highly-textured μc-Si:H/a-Si:H solar cell structures. - Highlights: • Characterization of textured μc-Si:H/a-Si:H solar cell structures by ellipsometry • A new optical model using surface area and multilayer models • High precision characterization of submicron-range rough interface structures

  19. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  20. Near-surface and bulk behavior of Ag in SiC

    International Nuclear Information System (INIS)

    Xiao, H.Y.; Zhang, Y.; Snead, L.L.; Shutthanandan, V.; Xue, H.Z.; Weber, W.J.

    2012-01-01

    Highlights: ► Ag release from SiC poses problems in safe operation of nuclear reactors. ► Near-surface and bulk behavior of Ag are studied by ab initio and ion beam methods. ► Ag prefers to adsorb on the surface rather than in the bulk SiC. ► At high temperature Ag desorbs from the surface instead of diffusion into bulk SiC. ► Surface diffusion may be a dominating mechanism accounting for Ag release from SiC. - Abstract: The diffusive release of fission products, such as Ag, from TRISO particles at high temperatures has raised concerns regarding safe and economic operation of advanced nuclear reactors. Understanding the mechanisms of Ag diffusion is thus of crucial importance for effective retention of fission products. Two mechanisms, i.e., grain boundary diffusion and vapor or surface diffusion through macroscopic structures such as nano-pores or nano-cracks, remain in debate. In the present work, an integrated computational and experimental study of the near-surface and bulk behavior of Ag in silicon carbide (SiC) has been carried out. The ab initio calculations show that Ag prefers to adsorb on the SiC surface rather than in the bulk, and the mobility of Ag on the surface is high. The energy barrier for Ag desorption from the surface is calculated to be 0.85–1.68 eV, and Ag migration into bulk SiC through equilibrium diffusion process is not favorable. Experimentally, Ag ions are implanted into SiC to produce Ag profiles buried in the bulk and peaked at the surface. High-temperature annealing leads to Ag release from the surface region instead of diffusion into the interior of SiC. It is suggested that surface diffusion through mechanical structural imperfection, such as vapor transport through cracks in SiC coatings, may be a dominating mechanism accounting for Ag release from the SiC in the nuclear reactor.

  1. Selective electrochemical gold deposition onto p-Si (1 0 0) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Santinacci, L; Etcheberry, A [Institut Lavoisier de Versailles (UMR CNRS 8180), University of Versailles-Saint-Quentin, 45 avenue des Etats-Unis, F-78035 Versailles cedex (France); Djenizian, T [Laboratoire Chimie Provence (UMR CNRS 6264), University of Aix-Marseille I-II-III, Centre Saint-Jerome, F-13397 Marseille Cedex 20 (France); Schwaller, P [Laboratory for Mechanics of Materials and Nanostructures, Swiss Federal Laboratory for Materials Testing and Research, Feuerwerkstr. 39, CH-3602 Thun (Switzerland); Suter, T [Laboratory for Corrosion and Materials Integrity, Swiss Federal Laboratory for Materials Testing and Research, Ueberlandstr. 129, CH-8600 Duebendorf (Switzerland); Schmuki, P [Department of Materials Science, LKO-WW4, Friedrich-Alexander-University Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen (Germany)], E-mail: lionel.santinacci@uvsq.fr

    2008-09-07

    In this paper, we report selective electrochemical gold deposition onto p-type Si (1 0 0) into nanoscratches produced through a thin oxide layer using an atomic force microscope. A detailed description of the substrate engraving process is presented. The influence of the main scratching parameters such as the normal applied force, the number of scans and the scanning velocity are investigated as well as the mechanical properties of the substrate. Gold deposition is carried out in a KAu(CN){sub 2} + KCN solution by applying cathodic voltages for various durations. The gold deposition process is investigated by cyclic voltammetry. Reactivity enhancement at the scratched locations was studied by comparing the electrochemical behaviour of intact and engraved surfaces using a micro-electrochemical setup. Selective electrochemical gold deposition is achieved: metallic patterns with a sub-500 nm lateral resolution are obtained demonstrating, therefore, the bearing potential of this patterning technique.

  2. Surface morphology of erbium silicide

    International Nuclear Information System (INIS)

    Lau, S.S.; Pai, C.S.; Wu, C.S.; Kuech, T.F.; Liu, B.X.

    1982-01-01

    The surface of rare-earth silicides (Er, Tb, etc.), formed by the reaction of thin-film metal layers with a silicon substrate, is typically dominated by deep penetrating, regularly shaped pits. These pits may have a detrimental effect on the electronic performance of low Schottky barrier height diodes utilizing such silicides on n-type Si. This study suggests that contamination at the metal-Si or silicide-Si interface is the primary cause of surface pitting. Surface pits may be reduced in density or eliminated entirely through either the use of Si substrate surfaces prepared under ultrahigh vacuum conditions prior to metal deposition and silicide formation or by means of ion irradiation techniques. Silicide layers formed by these techniques possess an almost planar morphology

  3. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  5. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    Science.gov (United States)

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  6. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  7. Cyclic Thermal Stress-Induced Degradation of Cu Metallization on Si3N4 Substrate at -40°C to 300°C

    Science.gov (United States)

    Lang, Fengqun; Yamaguchi, Hiroshi; Nakagawa, Hiroshi; Sato, Hiroshi

    2015-01-01

    The high-temperature reliability of active metal brazed copper (AMC) on Si3N4 ceramic substrates used for fabricating SiC high-temperature power modules was investigated under harsh environments. The AMC substrate underwent isothermal storage at 300°C for up to 3000 h and a thermal cycling test at -40°C to 300°C for up to 3000 cycles. During isothermal storage at 300°C, the AMC substrate exhibited high reliability, characterized by very little deformation of the copper (Cu) layer, low crack growth, and low oxidation rate of the Cu layer. Under thermal cycling conditions at -40°C to 300°C, no detachment of the Cu layer was observed even after the maximum 3000 cycles of the experiment. However, serious deformation of the Cu layer occurred and progressed as the number of thermal cycles increased, thus significantly roughening the surface of the Cu metallized layer. The cyclic thermal stress led to a significant increase in the crack growth and oxidation of the Cu layer. The maximum depth of the copper oxides reached up to 5/6 of the Cu thickness. The deformation of the Cu layer was the main cause of the decrease of the bond strength under thermal cycling conditions. The shear strength of the SiC chips bonded on the AMC substrate with a Au-12 wt.%Ge solder decreased from the original 83 MPa to 14 MPa after 3000 cycles. Therefore, the cyclic thermal stress destroyed the Cu oxides and enhanced the oxidation of the Cu layer.

  8. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.

    2017-04-11

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  9. Impact of organic overlayers on a-Si:H/c-Si surface potential

    KAUST Repository

    Seif, Johannes P.; Niesen, Bjoern; Tomasi, Andrea; Ballif, Christophe; De Wolf, Stefaan

    2017-01-01

    Bilayers of intrinsic and doped hydrogenated amorphous silicon, deposited on crystalline silicon (c-Si) surfaces, simultaneously provide contact passivation and carrier collection in silicon heterojunction solar cells. Recently, we have shown that the presence of overlaying transparent conductive oxides can significantly affect the c-Si surface potential induced by these amorphous silicon stacks. Specifically, deposition on the hole-collecting bilayers can result in an undesired weakening of contact passivation, thereby lowering the achievable fill factor in a finished device. We test here a variety of organic semiconductors of different doping levels, overlaying hydrogenated amorphous silicon layers and silicon-based hole collectors, to mitigate this effect. We find that these materials enhance the c-Si surface potential, leading to increased implied fill factors. This opens opportunities for improved device performance.

  10. Enhanced printability of thermoplastic polyurethane substrates by silica particles surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Cruz, S., E-mail: s.cruz@dep.uminho.pt [IPC/I3N – Institute of Polymers and Composites/Inst. of Nanostructures, Nanomodelling and Nanofabrication, Department Polymer Engineering, University of Minho, 4804-533 Guimarães (Portugal); Rocha, L.A. [CMEMS, University of Minho, 4804-533 Guimarães (Portugal); Viana, J.C. [IPC/I3N – Institute of Polymers and Composites/Inst. of Nanostructures, Nanomodelling and Nanofabrication, Department Polymer Engineering, University of Minho, 4804-533 Guimarães (Portugal)

    2016-01-01

    Graphical abstract: - Highlights: • A new method development for surface treatment of thermoplastic polyurethane (TPU) substrates. • The proposed method increases TPU surface energy (by 45%) and consequently the TPU wettability. • Great increase of the TPU surface roughness (by 621%). • Inkjet printed conductive ink was applied to the surface treated TPU substrate and significant improvements on the printability were obtained. - Abstract: A new method developed for the surface treatment of thermoplastic polymer substrates that increases their surface energies is introduced in this paper. The method is environmental friendly and low cost. In the proposed surface treatment method, nanoparticles are spread over the thermoplastic polyurethane (TPU) flexible substrate surface and then thermally fixed. This latter step allows the nanoparticles sinking-in on the polymer surface, resulting in a higher polymer–particle interaction at their interfacial region. The addition of nanoparticles onto the polymer surface increases surface roughness. The extent of the nanoparticles dispersion and sink-in in the substrate was evaluated through microscopy analysis (SEM). The roughness of the surface treated polymeric substrate was evaluated by AFM analysis. Substrate critical surface tension (ST) was measured by contact angle. In general, a homogeneous roughness form is achieved to a certain level. Great increase of the TPU surface roughness (by 621%) was induced by the propose method. The proposed surface treatment method increased significantly the substrate ST (by 45%) and consequently the TPU wettability. This novel surface treatment of thermoplastic polymers was applied to the inkjet printing of TPU substrates with conductive inks, and significant improvements on the printability were obtained.

  11. Thin-Film layers with Interfaces that reduce RF Losses on High-Resistivity Silicon Substrates

    NARCIS (Netherlands)

    Evseev, S. B.; Milosavljevic, S.; Nanver, L. K.

    2017-01-01

    Radio-Frequency (RF) losses on High-Resistivity Silicon (HRS) substrates were studied for several different surface passivation layers comprising thin-films of SiC, SiN and SiO2 In many combinations, losses from conductive surface channels were reduced and increasing the number of interfaces between

  12. Formation of Me–O–Si covalent bonds at the interface between polysilazane and stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Amouzou, Dodji, E-mail: adodji@gmail.com [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium); Fourdrinier, Lionel; Maseri, Fabrizio [CRM-Group, Boulevard de Colonster, B 57, 4000 Liège (Belgium); Sporken, Robert [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium)

    2014-11-30

    Highlights: • Natural metal-oxides, hydroxides are detected on the top surface of steel substrates we tested. • Polysilazane reacts with hydroxide functional groups on steel substrates to form Cr–O–Si and Fe–O–Si covalent bonds. • Covalent bonding between steel and polysilazane at the interface was probed using spectroscopic techniques. - Abstract: In earlier works, we demonstrated the potential of polysilazane (PSZ) coatings for a use as insulating layers in Cu(In,Ga)Se{sub 2} (CIGS) solar cells prepared on steels substrates and showed a good adhesion between PSZ coatings and both AISI316 and AISI430 steels. In the present paper, spectroscopic techniques are used to elucidate the reason of such adhesion. X-ray Photoelectron Spectroscopy (XPS) was used to investigate surfaces for the two steel substrates and showed the presence of metal oxides and metal hydroxides at the top surface. XPS has been also used to probe interfaces between substrates and PSZ, and metallosiloxane (Me–O–Si) covalent bonds have been detected. These results were confirmed by Infra-Red Reflection Absorption Spectroscopy (IRRAS) analyses since vibrations related to Cr–O–Si and Fe–O–Si compounds were detected. Thus, the good adhesion between steel substrates and PSZ coatings was explained by covalent bonding through chemical reactions between PSZ precursors and hydroxide functional groups present on top surface of the two types of steel. Based on these results, an adhesion mechanism between steel substrates and PSZ coatings is proposed.

  13. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  14. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  15. Electrospinning onto Insulating Substrates by Controlling Surface Wettability and Humidity

    Science.gov (United States)

    Choi, WooSeok; Kim, Geon Hwee; Shin, Jung Hwal; Lim, Geunbae; An, Taechang

    2017-11-01

    We report a simple method for electrospinning polymers onto flexible, insulating substrates by controlling the wettability of the substrate surface. Water molecules were adsorbed onto the surface of a hydrophilic polymer substrate by increasing the local humidity around the substrate. The adsorbed water was used as the ground electrode for electrospinning. The electrospun fibers were deposited only onto hydrophilic areas of the substrate, allowing for patterning through wettability control. Direct writing of polymer fiber was also possible through near-field electrospinning onto a hydrophilic surface.

  16. Periodically structured Si pillars for high-performing heterojunction photodetectors

    Science.gov (United States)

    Melvin David Kumar, M.; Yun, Ju-Hyung; Kim, Joondong

    2015-03-01

    A periodical array of silicon (Si) micro pillar structures was fabricated on Si substrates using PR etching process. Indium tin oxide (ITO) layer of 80 nm thickness was deposited over patterned Si substrates so as to make ITO/n-Si heterojunction devices. The influences of width and period of pillars on the optical and electrical properties of prepared devices were investigated. The surface morphology of the Si substrates revealed the uniform array of pillar structures. The 5/10 (width/period) Si pillar pattern reduced the optical reflectance to 6.5% from 17% which is of 5/7 pillar pattern. The current rectifying ratio was found higher for the device in which the pillars are situated in optimum periods. At both visible (600 nm) and near infrared (900 nm) range of wavelengths, the 5/7 and 5/10 pillar patterned device exhibited the better photoresponses which are suitable for making advanced photodetectors. This highly transmittance and photoresponsive pillar patterned Si substrates with an ITO layer would be a promising device for various photoelectric applications.

  17. Substrate considerations for graphene synthesis on thin copper films

    International Nuclear Information System (INIS)

    Howsare, Casey A; Robinson, Joshua A; Weng Xiaojun; Bojan, Vince; Snyder, David

    2012-01-01

    Chemical vapor deposition on copper substrates is a primary technique for synthesis of high quality graphene films over large areas. While well-developed processes are in place for catalytic growth of graphene on bulk copper substrates, chemical vapor deposition of graphene on thin films could provide a means for simplified device processing through the elimination of the layer transfer process. Recently, it was demonstrated that transfer-free growth and processing is possible on SiO 2 . However, the Cu/SiO 2 /Si material system must be stable at high temperatures for high quality transfer-free graphene. This study identifies the presence of interdiffusion at the Cu/SiO 2 interface and investigates the influence of metal (Ni, Cr, W) and insulating (Si 3 N 4 , Al 2 O 3 , HfO 2 ) diffusion barrier layers on Cu–SiO 2 interdiffusion, as well as graphene structural quality. Regardless of barrier choice, we find the presence of Cu diffusion into the silicon substrate as well as the presence of Cu–Si–O domains on the surface of the copper film. As a result, we investigate the choice of a sapphire substrate and present evidence that it is a robust substrate for synthesis and processing of high quality, transfer-free graphene. (paper)

  18. Direct observation for atomically flat and ordered vertical {111} side-surfaces on three-dimensionally figured Si(110) substrate using scanning tunneling microscopy

    Science.gov (United States)

    Yang, Haoyu; Hattori, Azusa N.; Ohata, Akinori; Takemoto, Shohei; Hattori, Ken; Daimon, Hiroshi; Tanaka, Hidekazu

    2017-11-01

    A three-dimensional Si{111} vertical side-surface structure on a Si(110) wafer was fabricated by reactive ion etching (RIE) followed by wet-etching and flash-annealing treatments. The side-surface was studied with scanning tunneling microscopy (STM) in atomic scale for the first time, in addition to atomic force microscopy (AFM), scanning electron microscopy (SEM), and low-energy electron diffraction (LEED). AFM and SEM showed flat and smooth vertical side-surfaces without scallops, and STM proved the realization of an atomically-flat 7 × 7-reconstructed structure, under optimized RIE and wet-etching conditions. STM also showed that a step-bunching occurred on the produced {111} side-surface corresponding to a reversely taped side-surface with a tilt angle of a few degrees, but did not show disordered structures. Characteristic LEED patterns from both side- and top-reconstructed surfaces were also demonstrated.

  19. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  20. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  1. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  2. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  3. Analysis of the dimerized Sb/Si(001)-(2x1) surface by x-ray standing waves

    International Nuclear Information System (INIS)

    Lyman, P.F.; Qian, Y.; Bedzyk, M.J.

    1994-12-01

    X-ray standing wave measurements were undertaken to study the bonding position of Sb adatoms on the Sb-saturated Si(001)-(2x1) surface. Using the (004) and (022) Bragg reflections, the authors find that the Sb atoms form dimers, and that the center of the Sb ad-dimers lies 1.64 angstrom above the bulk-like Si(004) surface atomic plane. These in-plane results are compared to two structural models consisting of dimers whose bonds are parallel to the surface plane and whose centers are either shifted or unshifted (parallel to the dimer bond direction) relative to the underlying substrate planes. The authors thus find two special cases consistent with these data: one with symmetric (unshifted) dimers having a dimer bond length of 2.81 angstrom, and the other with midpoint-shifted dimers, having a bond length of 2.88 angstrom and a lateral shift of 0.21 angstrom

  4. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  5. Monolithically integrated InGaAs/GaAs/AlGaAs quantum well laser grown by MOCVD on exact Ge/Si(001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Aleshkin, V. Ya.; Dubinov, A. A.; Krasilnik, Z. F.; Kudryavtsev, K. E.; Novikov, A. V.; Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Baidus, N. V.; Samartsev, I. V. [Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Fefelov, A. G. [FGUE “Salut,” 603950 Nizhny Novgorod (Russian Federation); Nekorkin, S. M. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Pavlov, D. A.; Sushkov, A. A. [Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yablonskiy, A. N.; Yunin, P. A. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation)

    2016-08-08

    We report on realization of the InGaAs/GaAs/AlGaAs quantum well laser grown by metallorganic chemical vapor deposition on a virtual Ge-on-Si(001) substrate. The Ge buffer layer has been grown on a nominal Si(001) substrate by solid-source molecular beam epitaxy. Such Ge buffer possessed rather good crystalline quality and smooth surface and so provided the subsequent growth of the high-quality A{sub 3}B{sub 5} laser structure. The laser operation has been demonstrated under electrical pumping at 77 K in the continuous wave mode and at room temperature in the pulsed mode. The emission wavelengths of 941 nm and 992 nm have been obtained at 77 K and 300 K, respectively. The corresponding threshold current densities were estimated as 463 A/cm{sup 2} at 77 K and 5.5 kA/cm{sup 2} at 300 K.

  6. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  7. Silver endotaxy in silicon under various ambient conditions and their use as surface enhanced Raman spectroscopy substrates

    International Nuclear Information System (INIS)

    Juluri, R.R.; Ghosh, A.; Bhukta, A.; Sathyavathi, R.; Satyam, P.V.

    2015-01-01

    Search for reliable, robust and efficient substrates for surface enhanced Raman spectroscopy (SERS) leads to the growth of various shapes and nanostructures of noble metals, and in particular, Ag nanostructures for this purpose. Coherently embedded (also known as endotaxial) Ag nanostructures in silicon substrates can be made robust and reusable SERS substrates. In this paper, we show the possibility of the growth of Ag endotaxial structures in Si crystal during Ar and low-vacuum annealing conditions while this is absent in O 2 and ultra high vacuum (UHV) annealing conditions and along with their respective use as SERS substrates. Systems annealed under air-annealing and low-vacuum conditions were found to show larger enhancement factors (typically ≈ 5 × 10 5 in SERS measurement for 0.5 nM Crystal Violet (CV) molecule) while the systems prepared under UHV-annealing conditions (where no endotaxial Ag structures were formed) were found to be not effective as SERS substrates. Extensive electron microscopy, synchrotron X-ray diffraction and Rutherford backscattering spectrometry techniques were used to understand the structural aspects. - Highlights: • Various aspects on the growth of endotaxial Ag nanostructures are presented. • Optimum amount of oxygen is necessary for the growth of endotaxial structures. • Reaction of oxygen with GeOx and SiOx plays a crucial role. • Ag nanostructures prepared under UHV conditions show low SERS activity • SERS enhancement is better for low-vacuum and argon annealing conditions

  8. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    Energy Technology Data Exchange (ETDEWEB)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O. [UMR FOTON, CNRS, INSA Rennes, Rennes F-35708 (France); Stodolna, J.; Ponchet, A. [CEMES-CNRS, Université de Toulouse, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 04 (France); Bahri, M.; Largeau, L.; Patriarche, G. [Laboratoire de Photonique et Nanostructures, CNRS UPR 20, Route de Nozay, Marcoussis 91460 (France); Magen, C. [LMA, INA-ARAID, and Departamento de Física de la Materia Condensada, Universidad de Zaragoza, 50018 Zaragoza (Spain)

    2015-11-09

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.

  9. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    International Nuclear Information System (INIS)

    Ping Wang, Y.; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O.; Stodolna, J.; Ponchet, A.; Bahri, M.; Largeau, L.; Patriarche, G.; Magen, C.

    2015-01-01

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth

  10. Effect of substrate properties and thermal annealing on the resistivity of molybdenum thin films

    International Nuclear Information System (INIS)

    Schmid, U.; Seidel, H.

    2005-01-01

    In this study, the influence of substrate properties (e.g. roughness characteristics and chemical composition) on the electrical resistivity of evaporated molybdenum thin films is investigated as a function of varying parameters, such as film thickness (25-115 nm) and post-deposition annealing with temperatures up to T PDA = 900 deg. C. A thermally oxidized silicon wafer with very low surface roughness was used as one substrate type. In contrast, a low temperature co-fired ceramics substrate with a glass encapsulant printed in thick film technology is the representative for rough surface morphology. The electrical resistivity follows the prediction of the size effect up to T PDA = 600 deg. C independent of substrate nature. On the silicon-based substrate, the thickness-independent portion of the film resistivity ρ g in the 'as deposited' state is about 29 times higher than the corresponding bulk value for a mono-crystalline sample. Thin films of this refractory metal on the SiO 2 /Si substrate exhibit an average grain size of 4.9 nm and a negative temperature coefficient of resistivity (TCR). On the glass/ceramic-based substrate, however, ρ g is half the value as compared to that obtained on the SiO 2 /Si substrate and the TCR is positive

  11. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  12. The interaction of C60 on Si(111 7x7 studied by Supersonic Molecular Beams: interplay between precursor kinetic energy and substrate temperature in surface activated processes.

    Directory of Open Access Journals (Sweden)

    Lucrezia eAversa

    2015-06-01

    Full Text Available Buckminsterfullerene (C60 is a molecule fully formed of carbon that can be used, owing to its electronic and mechanical properties, as clean precursor for the growth of carbon-based materials, ranging from -conjugated systems (graphenes to synthesized species, e.g. carbides such as silicon carbide (SiC. To this goal, C60 cage rupture is the main physical process that triggers material growth. Cage breaking can be obtained either thermally by heating up the substrate to high temperatures (630°C, after C60 physisorption, or kinetically by using Supersonic Molecular Beam Epitaxy (SuMBE techniques. In this work, aiming at demonstrating the growth of SiC thin films by C60 supersonic beams, we present the experimental investigation of C60 impacts on Si(111 7x7 kept at 500°C for translational kinetic energies ranging from 18 to 30 eV. The attained kinetically activated synthesis of SiC submonolayer films is probed by in-situ surface electron spectroscopies (XPS and UPS. Furthermore, in these experimental conditions the C60-Si(111 7×7 collision has been studied by computer simulations based on a tight-binding approximation to Density Functional Theory, DFT. Our theoretical and experimental findings point towards a kinetically driven growth of SiC on Si, where C60 precursor kinetic energy plays a crucial role, while temperature is relevant only after cage rupture to enhance Si and carbon reactivity. In particular, we observe a counterintuitive effect in which for low kinetic energy (below 22 eV, C60 bounces back without breaking more effectively at high temperature due to energy transfer from excited phonons. At higher kinetic energy (22 < K < 30 eV, for which cage rupture occurs, temperature enhances reactivity without playing a major role in the cage break. These results are in good agreement with ab-initio molecular dynamics simulations. SuMBE is thus a technique able to drive materials growth at low temperature regime.

  13. Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111)

    Science.gov (United States)

    Jeon, Hyeongtag; Jung, Bokhee; Kim, Young Do; Yang, Woochul; Nemanich, R. J.

    2000-09-01

    This study examines the effects of a thin Ta interlayer on the formation of TiSi2 on Si(111) substrate. The Ta interlayer was introduced by depositing Ta and Ti films sequentially on an atomically clean Si(111) substrate in an ultrahigh vacuum (UHV) system. Samples of 100 Å Ti with 5 and 10 Å Ta interlayers were compared to similar structures without an interlayer. After deposition, the substrates were annealed for 10 min, in situ, at temperatures between 500 and 750 °C in 50 °C increments. The TiSi2 formation with and without the Ta interlayer was analyzed with an X-ray diffractometer, Auger electron spectroscopy (AES), Scanning electron microscopy (SEM), transmission electron microscopy (TEM), and a four-point probe. The AES analysis data showed a 1:2 ratio of Ti:Si in the Ti-silicide layer and indicated that the Ta layer remained at the interface between TiSi2 and the Si(111) substrate. The C 49-C 54 TiSi2 phase transition temperature was lowered by ˜200 °C. The C 49-C 54 TiSi2 phase transition temperature was 550 °C for the samples with a Ta interlayer and was 750 °C for the samples with no Ta interlayer. The sheet resistance of the Ta interlayered Ti silicide showed lower values of resistivity at low temperatures which indicated the change in phase transition temperature. The C 54 TiSi2 displayed different crystal orientation when the Ta interlayer was employed. The SEM and TEM micrographs showed that the TiSi2 with a Ta interlayer significantly suppressed the tendency to islanding and surface agglomeration.

  14. Deconvoluting the effects of surface chemistry and nanoscale topography: Pseudomonas aeruginosa biofilm nucleation on Si-based substrates.

    Science.gov (United States)

    Zhang, Jing; Huang, Jinglin; Say, Carmen; Dorit, Robert L; Queeney, K T

    2018-06-01

    The nucleation of biofilms is known to be affected by both the chemistry and topography of the underlying substrate, particularly when topography includes nanoscale (topography vs. chemistry is complicated by concomitant variation in both as a result of typical surface modification techniques. Analyzing the behavior of biofilm-forming bacteria exposed to surfaces with systematic, independent variation of both topography and surface chemistry should allow differentiation of the two effects. Silicon surfaces with reproducible nanotopography were created by anisotropic etching in deoxygenated water. Surface chemistry was varied independently to create hydrophilic (OH-terminated) and hydrophobic (alkyl-terminated) surfaces. The attachment and proliferation of Psuedomonas aeruginosa to these surfaces was characterized over a period of 12 h using fluorescence and confocal microscopy. The number of attached bacteria as well as the structural characteristics of the nucleating biofilm were influenced by both surface nanotopography and surface chemistry. In general terms, the presence of both nanoscale features and hydrophobic surface chemistry enhance bacterial attachment and colonization. However, the structural details of the resulting biofilms suggest that surface chemistry and topography interact differently on each of the four surface types we studied. Copyright © 2018 Elsevier Inc. All rights reserved.

  15. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  16. Surface Effects and Challenges for Application of Piezoelectric Langasite Substrates in Surface Acoustic Wave Devices Caused by High Temperature Annealing under High Vacuum.

    Science.gov (United States)

    Seifert, Marietta; Rane, Gayatri K; Kirbus, Benjamin; Menzel, Siegfried B; Gemming, Thomas

    2015-12-19

    Substrate materials that are high-temperature stable are essential for sensor devices which are applied at high temperatures. Although langasite is suggested as such a material, severe O and Ga diffusion into an O-affine deposited film was observed during annealing at high temperatures under vacuum conditions, leading to a damage of the metallization as well as a change of the properties of the substrate and finally to a failure of the device. Therefore, annealing of bare LGS (La 3 Ga 5 SiO 14 ) substrates at 800 ∘ C under high vacuum conditions is performed to analyze whether this pretreatment improves the suitability and stability of this material for high temperature applications in vacuum. To reveal the influence of the pretreatment on the subsequently deposited metallization, RuAl thin films are used as they are known to oxidize on LGS at high temperatures. A local study of the pretreated and metallized substrates using transmission electron microscopy reveals strong modification of the substrate surface. Micro cracks are visible. The composition of the substrate is strongly altered at those regions. Severe challenges for the application of LGS substrates under high-temperature vacuum conditions arise from these substrate damages, revealing that the pretreatment does not improve the applicability.

  17. Surface Effects and Challenges for Application of Piezoelectric Langasite Substrates in Surface Acoustic Wave Devices Caused by High Temperature Annealing under High Vacuum

    Directory of Open Access Journals (Sweden)

    Marietta Seifert

    2015-12-01

    Full Text Available Substrate materials that are high-temperature stable are essential for sensor devices which are applied at high temperatures. Although langasite is suggested as such a material, severe O and Ga diffusion into an O-affine deposited film was observed during annealing at high temperatures under vacuum conditions, leading to a damage of the metallization as well as a change of the properties of the substrate and finally to a failure of the device. Therefore, annealing of bare LGS (La 3 Ga 5 SiO 14 substrates at 800 ∘ C under high vacuum conditions is performed to analyze whether this pretreatment improves the suitability and stability of this material for high temperature applications in vacuum. To reveal the influence of the pretreatment on the subsequently deposited metallization, RuAl thin films are used as they are known to oxidize on LGS at high temperatures. A local study of the pretreated and metallized substrates using transmission electron microscopy reveals strong modification of the substrate surface. Micro cracks are visible. The composition of the substrate is strongly altered at those regions. Severe challenges for the application of LGS substrates under high-temperature vacuum conditions arise from these substrate damages, revealing that the pretreatment does not improve the applicability.

  18. Durable superhydrophobic surfaces made by intensely connecting a bipolar top layer to the substrate with a middle connecting layer.

    Science.gov (United States)

    Zhi, Jinghui; Zhang, Li-Zhi

    2017-08-30

    This study reported a simple fabrication method for a durable superhydrophobic surface. The superhydrophobic top layer of the durable superhydrophobic surface was connected intensely to the substrate through a middle connecting layer. Glycidoxypropyltrimethoxysilane (KH-560) after hydrolysis was used to obtain a hydrophilic middle connecting layer. It could be adhered to the hydrophilic substrate by covalent bonds. Ring-open reaction with octadecylamine let the KH-560 middle layer form a net-like structure. The net-like sturcture would then encompass and station the silica particles that were used to form the coarse micro structures, intensely to increase the durability. The top hydrophobic layer with nano-structures was formed on the KH-560 middle layer. It was obtained by a bipolar nano-silica solution modified by hexamethyldisilazane (HMDS). This layer was connected to the middle layer intensely by the polar Si hydroxy groups, while the non-polar methyl groups on the surface, accompanied by the micro and nano structures, made the surface rather hydrophobic. The covalently interfacial interactions between the substrate and the middle layer, and between the middle layer and the top layer, strengthened the durability of the superhydrophobic surface. The abrasion test results showed that the superhydrophobic surface could bear 180 abrasion cycles on 1200 CW sandpaper under 2 kPa applied pressure.

  19. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  20. Surface-site-selective study of valence electronic states of a clean Si(111)-7x7 surface using Si L23VV Auger electron and Si 2p photoelectron coincidence measurements

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Tahara, Masashi; Nagaoka, Shin-ichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2011-01-01

    Valence electronic states of a clean Si(111)-7x7 surface are investigated in a surface-site-selective way using high-resolution coincidence measurements of Si pVV Auger electrons and Si 2p photoelectrons. The Si L 23 VV Auger electron spectra measured in coincidence with energy-selected Si 2p photoelectrons show that the valence band at the highest density of states in the vicinity of the rest atoms is shifted by ∼0.95 eV toward the Fermi level (E F ) relative to that in the vicinity of the pedestal atoms (atoms directly bonded to the adatoms). The valence-band maximum in the vicinity of the rest atoms, on the other hand, is shown to be shifted by ∼0.53 eV toward E F relative to that in the vicinity of the pedestal atoms. The Si 2p photoelectron spectra of Si(111)-7x7 measured in coincidence with energy-selected Si L 23 VV Auger electrons identify the topmost surface components, and suggest that the dimers and the rest atoms are negatively charged while the pedestal atoms are positively charged. Furthermore, the Si 2p-Si L 23 VV photoelectron Auger coincidence spectroscopy directly verifies that the adatom Si 2p component (usually denoted by C 3 ) is correlated with the surface state just below E F (usually denoted by S 1 ), as has been observed in previous angle-resolved photoelectron spectroscopy studies.

  1. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  2. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  3. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    Science.gov (United States)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  4. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Janzén, E.; Henry, A.; Rooyen, I.J. van

    2014-01-01

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  5. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Janzén, E.; Henry, A. [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Rooyen, I.J. van [Fuel Performance and Design Department, Idaho National Laboratory, PO Box 1625, Idaho Falls, ID 83415-6188 (United States)

    2014-04-15

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  6. Wear of tin coating and Al-Si alloy substrate against carburized steel under mixed lubrication

    Science.gov (United States)

    Wang, Q.; Cheng, H. S.; Fine, M. E.

    1994-04-01

    Tin coatings on Al-Si alloys are widely used in the automotive industries. The soft tin coating and the harder substrate alloy form a tribological system with the advantages of low friction and reasonably high load-bearing capacity. Wear tests of tin coated Al-Si Z332 alloy in conformal contact against carburized 1016 steel have been carried out under mixed lubrications with SAE 10W30 oil to study the wear mechanisms. Two major wear mechanisms, uniform wear of the tin coating due to micro-plowing and spall pitting related to the substrate are found to contribute to the bearing material loss when the fluid lubrication film is relatively thick (Lambda about 1.6). Under conditions of thinner films (Lambda approximately = 0.8), some local coating debonding occurs. The pitting and local coating debounding are closely related to fracture in the substrate. The bonding between silicon and tin seems to be weaker than between aluminum and tin. During wear, oxidation occurs.

  7. Nano-structural properties of ZnO films for Si based heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Breivik, T.H. [University of Oslo, Department of Physics, P.O. Box 1048, Blindern, NO-0316, Oslo (Norway)], E-mail: t.h.breivik@fys.uio.no; Diplas, S. [University of Oslo, Department of Physics, P.O. Box 1048, Blindern, NO-0316, Oslo (Norway); University of Oslo, Center for Material Science and Nanotechnology, P.O. Box 1126, Blindern, NO-0318 Oslo (Norway); Ulyashin, A.G. [Section for Renewable Energy, Institute for Energy Technology, P.O. Box 40, NO-2027 Kjeller (Norway); Gunnaes, A.E. [University of Oslo, Department of Physics, P.O. Box 1048, Blindern, NO-0316, Oslo (Norway); Olaisen, B.R.; Wright, D.N.; Holt, A. [Section for Renewable Energy, Institute for Energy Technology, P.O. Box 40, NO-2027 Kjeller (Norway); Olsen, A. [University of Oslo, Department of Physics, P.O. Box 1048, Blindern, NO-0316, Oslo (Norway)

    2007-10-15

    Properties and structure of ZnO and ZnO:Al films deposited on c-Si, a-Si:H/Si and glass substrates are studied by various methods. The transmittance of the ZnO:Al was found to be higher when compared to ZnO, and the refractive index lower. X-ray photoelectron spectroscopy (XPS) shows that the screening efficiency in the presence of core holes is enhanced in the Al doped ZnO. The roughness of the ZnO:Al surfaces is strongly substrate dependent. With transmission electron microscopy (TEM) a 2-3 nm thick amorphous interfacial layer was observed independently of substrate and doping. Deposition of ZnO on a-Si:H substrate results in crystallization of the a-Si:H layer independently of Al doping.

  8. Nano-structural properties of ZnO films for Si based heterojunction solar cells

    International Nuclear Information System (INIS)

    Breivik, T.H.; Diplas, S.; Ulyashin, A.G.; Gunnaes, A.E.; Olaisen, B.R.; Wright, D.N.; Holt, A.; Olsen, A.

    2007-01-01

    Properties and structure of ZnO and ZnO:Al films deposited on c-Si, a-Si:H/Si and glass substrates are studied by various methods. The transmittance of the ZnO:Al was found to be higher when compared to ZnO, and the refractive index lower. X-ray photoelectron spectroscopy (XPS) shows that the screening efficiency in the presence of core holes is enhanced in the Al doped ZnO. The roughness of the ZnO:Al surfaces is strongly substrate dependent. With transmission electron microscopy (TEM) a 2-3 nm thick amorphous interfacial layer was observed independently of substrate and doping. Deposition of ZnO on a-Si:H substrate results in crystallization of the a-Si:H layer independently of Al doping

  9. AlSiTiN and AlSiCrN multilayer coatings: Effects of structure and surface composition on tribological behavior under dry and lubricated conditions

    International Nuclear Information System (INIS)

    Faga, Maria Giulia; Gautier, Giovanna; Cartasegna, Federico; Priarone, Paolo C.; Settineri, Luca

    2016-01-01

    Graphical abstract: - Highlights: • The demand for high performance nanostructured coatings has been increasing. • AlSiTiN and AlSiCrN nanocomposite coatings were deposited by PVD technique. • Coatings were analyzed in terms of structure, hardness and adhesion. • Tribological properties under dry and lubricated conditions were studied. • The effects of surface and bulk properties on friction evolution were assessed. - Abstract: Nanocomposite coatings have been widely studied over the last years because of their high potential in several applications. The increased interest for these coatings prompted the authors to study the tribological properties of two nanocomposites under dry and lubricated conditions (applying typical MQL media), in order to assess the influence of the surface and bulk properties on friction evolution. To this purpose, multilayer and nanocomposite AlSiTiN and AlSiCrN coatings were deposited onto tungsten carbide-cobalt (WC-Co) samples. Uncoated WC-Co materials were used as reference. Coatings were analyzed in terms of hardness and adhesion. The structure of the samples was assessed by X-ray diffraction (XRD), while the surface composition was studied by XPS analysis. Friction tests were carried out under both dry and lubricated conditions using an inox ball as counterpart. Both coatings showed high hardness and good adhesion to the substrate. As far as the friction properties are concerned, in dry conditions the surface properties affect the sliding contact at the early beginning, while bulk structure and tribolayer formation determine the main behavior. Only AlSiTiN coating shows a low and stable coefficient of friction (COF) under dry condition, while the use of MQL media results in a rapid stabilization of the COF for all the materials.

  10. Fabrication of GaAs quantum dots by droplet epitaxy on Si/Ge virtual substrate

    International Nuclear Information System (INIS)

    Bietti, S; Sanguinetti, S; Somaschini, C; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2009-01-01

    We present here the fabrication, via droplet epitaxy, of GaAs/AlGaAs quantum dots with high optical efficiency on Si. The growth substrate lattice parameter was adapted to that of (Al)GaAs via Ge virtual substrates (GeVS). The samples clearly show the presence of quantum dot self-assembly, with the designed shape and density. Photoluminescence measurements, performed at low temperature, show an intense emission band from the quantum dots.

  11. Characterization of Ni-P-SiO_2-Al_2O_3 nanocomposite coatings on aluminum substrate

    International Nuclear Information System (INIS)

    Rahemi Ardakani, S.; Afshar, A.; Sadreddini, S.; Ghanbari, A.A.

    2017-01-01

    In the present work, nano-composites of Ni-P-SiO_2-Al_2O_3 were coated on a 6061 aluminum substrate. The surface morphology of the nano-composite coating was studied by field emission scanning electron microscopy (FESEM). The amount of SiO_2 in the coating was determined by Energy Dispersive Analysis of X-Ray (EDX) and the crystalline structure of the coating was examined by X-ray diffractometer (XRD). All the experiments concerning the corrosion behavior of the coating carried out in 3.5%wt NaCl solution and evaluated by electrochemical impedance spectroscopy (EIS) and polarization technique. The results showed that an incorporation of SiO_2 and Al_2O_3 in Ni-P coating at the SiO_2 concentration of 10 g/L and 14 g/L Al_2O_3 led to the lowest corrosion rate (i_c_o_r_r = 0.88 μA/cm"2), the most positive E_c_o_r_r and maximum microhardness (537 μHV). Furthermore, increasing the amount of nanoparticles in the coating was found to decrease CPE_d_l and improve porosity. - Highlights: • The maximum content of Al_2O_3 and SiO_2 in the coating was increased to 14.02%wt and 4.54%wt, respectively. • By enhancing the amount of nanoparticles in the coating, there was higher corrosion resistance. • Increasing the nanoparticles content in the coating improved microhardness of coating. • The maximum of microhardness of Ni-P-SiO_2-Al_2O_3 was measured to be 537 μHV.

  12. Surface control alloy substrates and methods of manufacture therefor

    Energy Technology Data Exchange (ETDEWEB)

    Fritzemeier, Leslie G. (Mendon, MA); Li, Qi (Marlborough, MA); Rupich, Martin W. (Framingham, MA); Thompson, Elliott D. (Coventry, RI); Siegal, Edward J. (Malden, MA); Thieme, Cornelis Leo Hans (Westborough, MA); Annavarapu, Suresh (Brookline, MA); Arendt, Paul N. (Los Alamos, NM); Foltyn, Stephen R. (Los Alamos, NM)

    2004-05-04

    Methods and articles for controlling the surface of an alloy substrate for deposition of an epitaxial layer. The invention includes the use of an intermediate layer to stabilize the substrate surface against oxidation for subsequent deposition of an epitaxial layer.

  13. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  14. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  15. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  16. Synthesis and properties of crystalline thin film of antimony trioxide on the Si(1 0 0) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yasir, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kuzmin, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Ioffe Physical-Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Punkkinen, M.P.J.; Mäkelä, J.; Tuominen, M.; Dahl, J. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Laukkanen, P., E-mail: pekka.laukkanen@utu.fi [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kokko, K. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland)

    2015-09-15

    Highlights: • Formation of crystalline phase of Sb{sub 2}O{sub 3} on Si(1 0 0) is demonstrated. • STM and STS characterizations of the grown Sb{sub 2}O{sub 3} film are presented. • STS results elucidate the band gap of Sb{sub 2}O{sub 3}. • Ab initio calculations reveal energetically favored Sb{sub 2}O{sub 3} surface structures. - Abstract: Atomic-scale understanding and processing of the surface and interface properties of antimony trioxide (Sb{sub 2}O{sub 3}) are essential to the development of nanoscale Sb{sub 2}O{sub 3} materials for various applications, such as photocatalysts, transparent conducting oxides, optical coatings, dielectric films, and fire retardants. Lack of atomically well-defined, crystalline Sb{sub 2}O{sub 3} templates has however hindered atomic resolution characterization of the Sb{sub 2}O{sub 3} properties. We report the preparation of crystalline Sb{sub 2}O{sub 3} thin films on the Si(1 0 0) substrate with a simple process by oxidizing Sb-covered Si(1 0 0) in proper conditions. Physical properties of the synthesized films have been elucidated by low-energy electron diffraction, scanning tunneling microscopy and spectroscopy, and ab initio calculations. The spectroscopic results show that the band gap of Sb{sub 2}O{sub 3} is 3.6 eV around the gamma point (i.e. Γ). Calculations reveal energetically favored Sb{sub 2}O{sub 3}(1 0 0) surface structures. The findings open a new path for the atomic-scale research of Sb{sub 2}O{sub 3}.

  17. Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-12-01

    Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.

  18. Self-assembly of nanosize coordination cages on si(100) surfaces.

    Science.gov (United States)

    Busi, Marco; Laurenti, Marco; Condorelli, Guglielmo G; Motta, Alessandro; Favazza, Maria; Fragalà, Ignazio L; Montalti, Marco; Prodi, Luca; Dalcanale, Enrico

    2007-01-01

    Bottom-up fabrication of 3D organic nanostructures on Si(100) surfaces has been achieved by a two-step procedure. Tetradentate cavitand 1 was grafted on the Si surface together with 1-octene (Oct) as a spatial spectator by photochemical hydrosilylation. Ligand exchange between grafted cavitand 1 and self-assembled homocage 2, derived from cavitand 5 bearing a fluorescence marker, led to the formation of coordination cages on Si(100). Formation, quantification, and distribution of the nanoscale molecular containers on a silicon surface was assessed by using three complementary analytical techniques (AFM, XPS, and fluorescence) and validated by control experiments on cavitand-free silicon surfaces. Interestingly, the fluorescence of pyrene at approximately 4 nm above the Si(100) surface can be clearly observed.

  19. Lowering the density of electronic defects on organic-functionalized Si(100) surfaces

    International Nuclear Information System (INIS)

    Peng, Weina; DeBenedetti, William J. I.; Kim, Seonjae; Chabal, Yves J.; Hines, Melissa A.

    2014-01-01

    The electrical quality of functionalized, oxide-free silicon surfaces is critical for chemical sensing, photovoltaics, and molecular electronics applications. In contrast to Si/SiO 2 interfaces, the density of interface states (D it ) cannot be reduced by high temperature annealing because organic layers decompose above 300 °C. While a reasonable D it is achieved on functionalized atomically flat Si(111) surfaces, it has been challenging to develop successful chemical treatments for the technologically relevant Si(100) surfaces. We demonstrate here that recent advances in the chemical preparation of quasi-atomically-flat, H-terminated Si(100) surfaces lead to a marked suppression of electronic states of functionalized surfaces. Using a non-invasive conductance-voltage method to study functionalized Si(100) surfaces with varying roughness, a D it as low as 2.5 × 10 11  cm −2 eV −1 is obtained for the quasi-atomically-flat surfaces, in contrast to >7 × 10 11  cm −2 eV −1 on atomically rough Si(100) surfaces. The interfacial quality of the organic/quasi-atomically-flat Si(100) interface is very close to that obtained on organic/atomically flat Si(111) surfaces, opening the door to applications previously thought to be restricted to Si(111)

  20. Realization of hexagonal barium ferrite thick films on Si substrates using a screen printing technique

    International Nuclear Information System (INIS)

    Chen Yajie; Smith, Ian; Geiler, Anton L; Vittoria, Carmine; Harris, Vincent G; Zagorodnii, Volodymyr; Celinski, Zbigniew

    2008-01-01

    Hexagonal barium ferrite thick films (50-200 μm) have been deposited on Si and Al 2 O 3 /Si substrates using a screen printing technique. X-ray diffractometry, scanning electron microscopy and magnetometry were used to characterize and correlate the ferrite films' microstructure and magnetic properties. The experiments indicated that an Al 2 O 3 underlayer was effective in preventing silicon diffusion into the barium ferrite films during a final sintering treatment at temperatures above 1100 deg. C. A two-stage sintering process allowed a reasonable tradeoff between mechanical and magnetic properties. This work reveals the feasibility of fabrication of thick ferrite films on large substrates (up to 25 mm in diameter) for future planar microwave devices compatible with semiconductor integrated circuits processing

  1. Atom-scale covalent electrochemical modification of single-layer graphene on SiC substrates by diaryliodonium salts

    International Nuclear Information System (INIS)

    Gearba, Raluca I.; Mueller, Kory M.; Veneman, Peter A.; Holliday, Bradley J.; Chan, Calvin K.; Stevenson, Keith J.

    2015-01-01

    Owing to its high conductivity, graphene holds promise as an electrode for energy devices such as batteries and photovoltaics. However, to this end, the work function and doping levels in graphene need to be precisely tuned. One promising route for modifying graphene's electronic properties is via controlled covalent electrochemical grafting of molecules. We show that by employing diaryliodonium salts instead of the commonly used diazonium salts, spontaneous functionalization is avoided. This then allows for precise tuning of the grafting density. Moreover, by employing bis(4-nitrophenyl)iodonium(III) tetrafluoroborate (DNP) salt calibration curves, the surface functionalization density (coverage) of glassy carbon was controlled using cyclic voltammetry in varying salt concentrations. These electro-grafting conditions and calibration curves translated directly over to modifying single layer epitaxial graphene substrates (grown on insulating 6H-SiC (0 0 0 1)). In addition to quantifying the functionalization densities using electrochemical methods, samples with low grafting densities were characterized by low-temperature scanning tunneling microscopy (LT-STM). We show that the use of buffer-layer free graphene substrates is required for clear observation of the nitrophenyl modifications. Furthermore, atomically-resolved STM images of single site modifications were obtained, showing no preferential grafting at defect sites or SiC step edges as supposed previously in the literature. Most of the grafts exhibit threefold symmetry, but occasional extended modifications (larger than 4 nm) were observed as well

  2. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  3. Graphene on insulating crystalline substrates

    International Nuclear Information System (INIS)

    Akcoeltekin, S; El Kharrazi, M; Koehler, B; Lorke, A; Schleberger, M

    2009-01-01

    We show that it is possible to prepare and identify ultra-thin sheets of graphene on crystalline substrates such as SrTiO 3 , TiO 2 , Al 2 O 3 and CaF 2 by standard techniques (mechanical exfoliation, optical and atomic force microscopy). On the substrates under consideration we find a similar distribution of single layer, bilayer and few-layer graphene and graphite flakes as with conventional SiO 2 substrates. The optical contrast C of a single graphene layer on any of those substrates is determined by calculating the optical properties of a two-dimensional metallic sheet on the surface of a dielectric, which yields values between C = -1.5% (G/TiO 2 ) and C = -8.8% (G/CaF 2 ). This contrast is in reasonable agreement with experimental data and is sufficient to make identification by an optical microscope possible. The graphene layers cover the crystalline substrate in a carpet-like mode and the height of single layer graphene on any of the crystalline substrates as determined by atomic force microscopy is d SLG = 0.34 nm and thus much smaller than on SiO 2 .

  4. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  5. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  6. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  7. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  8. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  9. Surface tension and density of Si-Ge melts

    Science.gov (United States)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  10. Experimental surface charge density of the Si (100)-2x1H surface

    DEFF Research Database (Denmark)

    Ciston, J.; Marks, L.D.; Feidenhans'l, R.

    2006-01-01

    We report a three-dimensional charge density refinement from x-ray diffraction intensities of the Si (100) 2x1H surface. By paying careful attention to parameterizing the bulk Si bonding, we are able to locate the hydrogen atoms at the surface, which could not be done previously. In addition, we...

  11. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  12. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si{sub 3}N{sub 4}/DLC substrate

    Energy Technology Data Exchange (ETDEWEB)

    Roman, W S; Riascos, H [Grupo Plasma, Laser y Aplicaciones, Universidad Tecnologica de Pereira (Colombia); Caicedo, J C [Grupo de PelIculas Delgadas, Universidad del Valle, Cali (Colombia); Ospina, R [Laboratorio de Plasma, Universidad Nacional de Colombia, sede Manizales (Colombia); Tirado-MejIa, L, E-mail: hriascos@utp.edu.c [Laboratorio de Optoelectronica, Universidad del Quindio (Colombia)

    2009-05-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si{sub 3}N{sub 4} substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm{sup -2}, 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm{sup -1} for B - N bonding and bands around 1700 cm{sup -1} associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), alpha-Si{sub 3}N{sub 4} (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si{sub 3}N{sub 4}/DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  13. A substrate independent approach for generation of surface gradients

    Energy Technology Data Exchange (ETDEWEB)

    Goreham, Renee V. [Mawson Institute, University of South Australia, Mawson Lakes 5095 (Australia); Mierczynska, Agnieszka; Pierce, Madelene [Ian Wark Research Institute, University of South Australia, Mawson Lakes 5095 (Australia); Short, Robert D.; Taheri, Shima; Bachhuka, Akash; Cavallaro, Alex; Smith, Louise E. [Mawson Institute, University of South Australia, Mawson Lakes 5095 (Australia); Vasilev, Krasimir, E-mail: krasimir.vasilev@unisa.edu.au [Mawson Institute, University of South Australia, Mawson Lakes 5095 (Australia)

    2013-01-01

    Recently, surface gradients have attracted significant interest for various research and technological applications. In this paper, we report a facile and versatile method for generating surface gradients of immobilized nanoparticles, nanotopography and ligands that is independent from the substrate material. The method consists of first depositing a functional polymer layer on a substrate and subsequent time controlled immersion of this functionalized substrate in solution gold nanoparticles (AuNPs), silver nanoparticles (AgNPs) or poly (styrenesulfonate) (PSS). Chemical characterization by X-ray Photoelectron Spectroscopy (XPS) and morphological analysis by Atomic Force Microscopy (AFM) show that the density of nanoparticles and the concentration of PSS across the surface increases in a gradient manner. As expected, time of immersion determines the concentration of surface bound species. We also demonstrate the generation of surface gradients of pure nanotopography. This is achieved by depositing a 5 nm thick plasma polymer layer on top of the number density gradient of nanoparticles to achieve a homogeneous surface chemistry. The surface independent approach for generation of surface gradients presented in this paper may open opportunities for a wider use of surface gradient in research and in various technologies. - Highlights: ► We present a substrate independent approach for generation of surface gradients. ► We demonstrate well-defined density gradients of gold and silver nanoparticles. ► We provide an example of pure surface nanotopography gradients. ► We demonstrate concentration gradients of bound ligands.

  14. A substrate independent approach for generation of surface gradients

    International Nuclear Information System (INIS)

    Goreham, Renee V.; Mierczynska, Agnieszka; Pierce, Madelene; Short, Robert D.; Taheri, Shima; Bachhuka, Akash; Cavallaro, Alex; Smith, Louise E.; Vasilev, Krasimir

    2013-01-01

    Recently, surface gradients have attracted significant interest for various research and technological applications. In this paper, we report a facile and versatile method for generating surface gradients of immobilized nanoparticles, nanotopography and ligands that is independent from the substrate material. The method consists of first depositing a functional polymer layer on a substrate and subsequent time controlled immersion of this functionalized substrate in solution gold nanoparticles (AuNPs), silver nanoparticles (AgNPs) or poly (styrenesulfonate) (PSS). Chemical characterization by X-ray Photoelectron Spectroscopy (XPS) and morphological analysis by Atomic Force Microscopy (AFM) show that the density of nanoparticles and the concentration of PSS across the surface increases in a gradient manner. As expected, time of immersion determines the concentration of surface bound species. We also demonstrate the generation of surface gradients of pure nanotopography. This is achieved by depositing a 5 nm thick plasma polymer layer on top of the number density gradient of nanoparticles to achieve a homogeneous surface chemistry. The surface independent approach for generation of surface gradients presented in this paper may open opportunities for a wider use of surface gradient in research and in various technologies. - Highlights: ► We present a substrate independent approach for generation of surface gradients. ► We demonstrate well-defined density gradients of gold and silver nanoparticles. ► We provide an example of pure surface nanotopography gradients. ► We demonstrate concentration gradients of bound ligands

  15. X-ray diffraction and surface acoustic wave analysis of BST/Pt/TiO{sub 2}/SiO{sub 2}/Si thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mseddi, Souhir; Hedi Ben Ghozlen, Mohamed [Laboratoire de Physique des Materiaux, Faculte des Sciences de Sfax, Universite de Sfax, 3018 Sfax (Tunisia); Njeh, Anouar [Unite de Physique, Informatique et Matematiques, Faculte des Sciences de Gafsa, Universite de Gafsa, 2112 Gafsa (Tunisia); Schneider, Dieter [Fraunhofer-Institut fuer Material- und Strahltechnologie, Winterbergstrasse 28, 1277 Dresden (Germany); Fuess, Hartmut [Institute of Materials Science, University of Technology, Petersenstr.23, 64287 Darmstadt (Germany)

    2011-11-15

    High dielectric constant and electrostriction property of (Ba, Sr)Ti0{sub 3} (BST) thin films result in an increasing interest for dielectric devices and microwave acoustic resonator. Barium strontium titanate (Ba{sub 0.645}Sr{sub 0.355}TiO{sub 3}) films of about 300 nm thickness are grown on Pt(111)/TiO{sub 2}/SiO{sub 2}/Si(001) substrates by rf magnetron sputtering deposition techniques. X-ray diffraction is applied for the microstructural characterization. The BST films exhibit a cubic perovskite structure with a dense and smooth surface. A laser acoustic waves (LA-waves) technique is used to generate surface acoustic waves (SAW) propagating in the BST films. Young's modulus E and the Poisson ratio {nu} of TiO{sub 2,} Pt and BST films in different propagation directions are derived from the measured dispersion curves. Estimation of BST elastics constants are served in SAW studies. Impact of stratification process on SAW, propagating along [100] and [110] directions of silicon substrate, has been interpreted on the basis of ordinary differential equation (ODE) and stiffness matrix method (SMM). A good agreement is observed between experimental and calculated dispersion curves. The performed calculations are strongly related to the implemented crystallographic data of each layer. Dispersion curves are found to be sensitive to the SAW propagation direction and the stratification process for the explored frequency ranges 50-250 MHz, even though it corresponds to a wave length clearly higher than the whole films thickness.

  16. Direct comparison of graphene devices before and after transfer to different substrates

    International Nuclear Information System (INIS)

    Sachs, Raymond; Lin, Zhisheng; Odenthal, Patrick; Kawakami, Roland; Shi, Jing

    2014-01-01

    The entire graphene field-effect-transistor devices first fabricated on SiO 2 /Si are peeled from the surface and placed on a different wafer. Both longitudinal and transverse resistivity measurements of the devices before and after the transfer are measured to calculate the mobility for a direct comparison. After transferred to different SiO 2 /Si wafers, the mobility, generally, is comparable, and the defect density does not show any significant increase, which indicates the degradation due to the transfer process itself is minimal. The same method can be applied to transfer graphene devices to any arbitrary substrates (e.g., SrTiO 3 or STO). The transfer method developed here not only eliminates the need to locate single-layer graphene on non-SiO 2 /Si substrates for patterning but also provides a convenient way to study the effects of various substrates on graphene electronic properties

  17. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  18. Crack-free AlGaN-based UV LED on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, P.; Dadgar, A.; Blaesing, J.; Witte, H.; Mueller, M.; Guenther, K.M.; Fey, T.; Bastek, B.; Bertram, F.; Kurnatowski, M. von; Wieneke, M.; Hempel, T.; Veit, P.; Clos, R.; Christen, J.; Krost, A. [FNW/IEP/AHE Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2010-07-01

    To achieve low-cost UV LEDs on large-diameter substrates it is a very interesting approach to grow AlGaN on low-cost Si substrates. Here, AlGaN layers and AlGaN LED structures grown on Si(111) were additionally monitored by in-situ curvature measurements. They show that with the insertion of AlN-based SL buffer layers and LT-AlN interlayers, the AlGaN layers are under compressive stress during growth enabling to compensate tensile stress after cooling. To characterize the crystalline quality, HR-XRD measurements were performed. Cross-sectional TEM to investigate dislocation propagation and annihilation. n- and p- conductivities were achieved by Si and Mg doping of the layers, respectively. By C-V and Hall-effect measurements, the maximum free-electron concentration of 2.6{sup +18} cm{sup -3} and free-hole concentration of 2.4{sup +17} cm{sup -3} by using a structure of Mg-doped GaN/Al{sub 0.1}Ga{sub 0.9}N multilayers for the latter were determined. A GaN/Al{sub 0.1}Ga{sub 0.9}N MQW structure showed near UV-luminescence around 350-360 nm. The optical and electrical properties of AlGaN-based LED samples were further characterized by I-V, EL, PL and CL measurements. The I-V measurements show forward-diode characteristics with turn-on voltage about 2.6-3.1 V.

  19. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  20. Study of radiation damage restoration and antimony ions redistribution in Si(1 0 0) and Si(1 1 1) crystals

    CERN Document Server

    Labbani, R; Chafi, Z

    2002-01-01

    In this work, we study the radiation damage restoration and antimony ions redistribution into and oriented silicon substrates. The samples are implanted with antimony to a dose of 5x10 sup 1 sup 4 Sb sup + cm sup - sup 2 at 60 keV energy, then annealed under oxygen atmosphere at 900 deg. C, 30 min. The thin layer of SiO sub 2 (which is formed on Si surface by dry oxidation and expected to prevent any loss of Sb sup + dopant during Si recovery) is removed by a 10% HF solution. The specimens are analyzed by H sup + Rutherford Backscattering Spectrometry operating at 0.3 MeV energy in both random and channelling modes. The values of the projected range, R sub p , the standard deviation, DELTA R sub p , and the dose of antimony ions, which are estimated with a simple program, are in agreement with tabulated ones. It is also shown that the surface damage restoration is better for Si(1 0 0) samples than for Si(1 1 1) ones, in other words, the radiation damage is more significant in Si(1 1 1) substrates. Moreover,...

  1. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  2. First-principle study of Mg adsorption on Si(111) surfaces

    International Nuclear Information System (INIS)

    Min-Ju, Ying; Ping, Zhang; Xiao-Long, Du

    2009-01-01

    We have carried out first-principle calculations of Mg adsorption on Si(111) surfaces. Different adsorption sites and coverage effects have been considered. We found that the threefold hollow adsorption is energy-favoured in each coverage considered, while for the clean Si(111) surface of metallic feature, we found that 0.25 and 0.5 ML Mg adsorption leads to a semiconducting surface. The results for the electronic behaviour suggest a polarized covalent bonding between the Mg adatom and Si(111) surface. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Effects of annealing temperatures on the morphological, mechanical, surface chemical bonding, and solar selectivity properties of sputtered TiAlSiN thin films

    International Nuclear Information System (INIS)

    Rahman, M. Mahbubur; Jiang, Zhong-Tao; Zhou, Zhi-feng; Xie, Zonghan; Yin, Chun Yang; Kabir, Humayun; Haque, Md. Mahbubul; Amri, Amun; Mondinos, Nicholas; Altarawneh, Mohammednoor

    2016-01-01

    Quaternary sputtered TiAlSiN coatings were investigated for their high temperature structural stability, surface morphology, mechanical behaviors, surface chemical bonding states, solar absorptance and thermal emittance for possible solar selective surface applications. The TiAlSiN films were synthesized, via unbalanced magnetron sputtered technology, on AISI M2 steel substrate and annealed at 500 °C - 800 °C temperature range. SEM micrographs show nanocomposite-like structure with amorphous grain boundaries. Nanoindentation analyses indicate a decrease of hardness, plastic deformation and constant yield strength for the coatings. XPS analysis show mixed Ti, Al and Si nitride and oxide as main coating components but at 800 °C the top layer of the coatings is clearly composed of only Ti and Al oxides. Synchrotron radiation XRD (SR-XRD) results indicate various Ti, Al and Si nitride and oxide phases, for the above annealing temperature range with a phase change occurring with the Fe component of the substrate. UV–Vis spectroscopy, FTIR spectroscopy studies determined a high solar selectivity, s of 24.6 for the sample annealed at 600 °C. Overall results show good structural and morphological stability of these coatings at temperatures up to 800 °C with a very good solar selectivity for real world applications. - Highlights: • TiAlSiN sputtered coatings were characterized for solar selective applications. • In situ synchrotron radiation XRD were studies show the occurrence of multiple stable phases. • A high selectivity of 24.63 has been achieved for the coatings annealed at 700 °C. • Existence of XRD phases were also confirmed by XPS measurements. • At high temperature annealing the mechanical properties of films were governed by the utmost surfaces of the films.

  4. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  5. Surface reconstruction switching induced by tensile stress of DB steps: From Ba/Si(0 0 1)- 2 × 3 to Ba/Si(0 0 1)-4° off- 3 × 2

    Science.gov (United States)

    Kim, Hidong; Lkhagvasuren, Altaibaatar; Zhang, Rui; Seo, Jae M.

    2018-05-01

    The alkaline-earth metal adsorption on Si(0 0 1) has attracted much interest for finding a proper template in the growth of high- κ and crystalline films. Up to now on the flat Si(0 0 1) surface with double domains and single-layer steps, the adsorbed Ba atoms are known to induce the 2 × 3 structure through removing two Si dimers and adding a Ba atom per unit cell in each domain. In the present investigation, the Si(0 0 1)-4° off surface with DB steps and single domains has been employed as a substrate and the reconstruction at the initial stage of Ba adsorption has been investigated by scanning tunneling microscopy and synchrotron photoemission spectroscopy. On this vicinal and single domain terrace, a novel 3 × 2 structure rotated by 90° from the 2 × 3 structure has been found. Such a 3 × 2 structure turns out to be formed by adding a Ba atom and a Si dimer per unit cell. This results from the fact that the adsorbed Ba2+ ions with a larger ionic radius relieve tensile stress on the original Si dimers exerted by the rebonded atoms at the DB step.

  6. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  7. Evaluation of Surface Cleaning Procedures for CTGS Substrates for SAW Technology with XPS

    Directory of Open Access Journals (Sweden)

    Erik Brachmann

    2017-11-01

    Full Text Available A highly efficient and reproducible cleaning procedure of piezoelectric substrates is essential in surface acoustic waves (SAW technology to fabricate high-quality SAW devices, especially for new applications such SAW sensors wherein new materials for piezoelectric substrates and interdigital transducers are used. Therefore, the development and critical evaluation of cleaning procedures for each material system that is under consideration becomes crucial. Contaminants like particles or the presence of organic/inorganic material on the substrate can dramatically influence and alter the properties of the thin film substrate composite, such as wettability, film adhesion, film texture, and so on. In this article, focus is given to different cleaning processes like SC-1 and SC-2, UV-ozone treatment, as well as cleaning by first-contact polymer Opticlean, which are applied for removal of contaminants from the piezoelectric substrate Ca 3 TaGa 3 Si 2 O 14 . By means of X-ray photoelectron spectroscopy, the presence of the most critical contaminants such as carbon, sodium, and iron removed through different cleaning procedures were studied and significant differences were observed between the outcomes of these procedures. Based on these results, a two-step cleaning process, combining SC-1 at a reduced temperature at 30 ∘ C instead of 80 ∘ C and a subsequent UV-ozone cleaning directly prior to deposition of the metallization, is suggested to achieve the lowest residual contamination level.

  8. Surface correlation behaviors of metal-organic Langmuir-Blodgett films on differently passivated Si(001) surfaces

    Science.gov (United States)

    Bal, J. K.; Kundu, Sarathi

    2013-03-01

    Langmuir-Blodgett films of standard amphiphilic molecules like nickel arachidate and cadmium arachidate are grown on wet chemically passivated hydrophilic (OH-Si), hydrophobic (H-Si), and hydrophilic plus hydrophobic (Br-Si) Si(001) surfaces. Top surface morphologies and height-difference correlation functions g(r) with in-plane separation (r) are obtained from the atomic force microscopy studies. Our studies show that deposited bilayer and trilayer films have self-affine correlation behavior irrespective of different passivations and different types of amphiphilic molecules, however, liquid like correlation coexists only for a small part of r, which is located near the cutoff length (1/κ) or little below the correlation length ξ obtained from the liquid like and self-affine fitting, respectively. Thus, length scale dependent surface correlation behavior is observed for both types of Langmuir-Blodgett films. Metal ion specific interactions (ionic, covalent, etc.,) in the headgroup and the nature of the terminated bond (polar, nonpolar, etc.,) of Si surface are mainly responsible for having different correlation parameters.

  9. Fabrication of the heterojunction diode from Y-doped ZnO thin films on p-Si substrates by sol-gel method

    Science.gov (United States)

    Sharma, Sanjeev K.; Singh, Satendra Pal; Kim, Deuk Young

    2018-02-01

    The heterojunction diode of yttrium-doped ZnO (YZO) thin films was fabricated on p-Si(100) substrates by sol-gel method. The post-annealing process was performed at 600 °C in vacuum for a short time (3 min) to prevent inter-diffusion of Zn, Y, and Si atoms. X-ray diffraction (XRD) pattern of as-grown and annealed (600 °C in vacuum) films showed the preferred orientation along the c-axis (002) regardless of dopant concentrations. The uniform surface microstructure and the absence of other metal/oxide peaks in XRD pattern confirmed the excellence of films. The increasing bandgap and carrier concentration of YZO thin films were interpreted by the BM shift, that is, the Fermi level moves towards the conduction band edge. The current-voltage characteristics of the heterojunction diode, In/n-ZnO/p-Si/Al, showed a rectification behavior. The turn-on voltage and ideality factor of n-ZnO/p-Si and n-YZO/p-Si were observed to be 3.47 V, 2.61 V, and 1.97, 1.89, respectively. Y-dopant in ZnO thin films provided more donor electrons caused the shifting of Fermi-energy level towards the conduction band and strengthen the interest for heterojunction diodes.

  10. 4H-SiC surface energy tuning by nitrogen up-take

    Energy Technology Data Exchange (ETDEWEB)

    Pitthan, E., E-mail: eduardo.pitthan@ufrgs.br [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Amarasinghe, V.P. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Xu, C.; Gustafsson, T. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States); Stedile, F.C. [PGMICRO, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Instituto de Química, UFRGS, 91509-900, Porto Alegre, RS (Brazil); Feldman, L.C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, NJ 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, NJ 08854 (United States)

    2017-04-30

    Highlights: • Wettability modification of 4H-SiC as a function of nitrogen adsorption is reported. • SiC surface energy was significantly reduced as nitrogen was incorporated. • Modifications obtained were proved to be inert to etching and stable against time. • Variable control of SiC surface provides new opportunities for biomedical applications. - Abstract: Surface energy modification and surface wettability of 4H silicon carbide (0001) as a function of nitrogen adsorption is reported. The surface wettability is shown to go from primarily hydrophilic to hydrophobic and the surface energy was significantly reduced with increasing nitrogen incorporation. These changes are investigated by x-ray photoelectron spectroscopy and contact angle measurements. The surface energy was quantitatively determined by the Fowkes model and interpreted primarily in terms of the variation of the surface chemistry with nitrogen coverage. Variable control of SiC surface energies with a simple and controllable atomic additive such as nitrogen that is inert to etching, stable against time, and also effective in electrical passivation, can provide new opportunities for SiC biomedical applications, where surface wetting plays an important role in the interaction with the biological interfaces.

  11. Functional BaTiO{sub 3} nanostructures immobilized onto si-based substrates using sol–gel and reverse micelle techniques

    Energy Technology Data Exchange (ETDEWEB)

    Mamana, Nadia, E-mail: nadia@fceia.unr.edu.ar; Pellegri, Nora [CONICET, FCEIyA, UNR, Laboratorio de Materiales Cerámicos IFIR (Argentina)

    2015-03-15

    The current tendency toward miniaturization of electronic devices has driven the interest in developing ferroelectric materials in low dimensions. In this work, for the preparation of lead-free BaTiO{sub 3} nanoparticles, we used a combination of the sol–gel method with the reverse micelles techniques. Moreover, previously to the thermal treatment, it was necessary to remove the surfactant. For this stage, oleic acid was used to stabilize the nanoparticles in the solution. Then, nanometer-sized particles were deposited on different substrates (Si, Pt/TiO{sub 2}/SiO{sub 2}/Si). The influence of different modes of deposition on particle size, degree of agglomeration, was analyzed. The mean particle size was 10 nm. Finally, the deposition of BaTiO{sub 3} particles on a conductive substrate such as the wafer of platinum (Pt/TiO{sub 2}/SiO{sub 2}/Si) was confirmed by several AFM techniques.

  12. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  13. Comparison between thermal annealing and ion mixing of multilayered Ni-W films on Si. II

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between bilayered Ni/W films and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by electron-beam sequential deposition of Ni and W onto the Si substrates and following by either furnace annealing (approx. 200--900 0 C) or ion mixing (approx. 2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). Thermal annealing of both W/Ni/Si and Ni/W/Si samples led to the formation of Ni silicide next to the Si substrate and W silicide on the sample surface (layer reversal between Ni and W in the Ni/W/Si case). Ion mixing of W/Ni/Si samples led to the formation of Ni silicide with a thin layer of Ni-W-Si mixture located at the sample surface. For Ni/W/Si samples a ternary amorphous mixture of Ni-W-Si was obtained with ion mixing. These reactions were rationalized in terms of the mobilities of various atoms and the intermixings between layers

  14. Constructing Fluorine-Free and Cost-Effective Superhydrophobic Surface with Normal-Alcohol-Modified Hydrophobic SiO2 Nanoparticles.

    Science.gov (United States)

    Ye, Hui; Zhu, Liqun; Li, Weiping; Liu, Huicong; Chen, Haining

    2017-01-11

    Superhydrophobic coatings have drawn much attention in recent years for their wide potential applications. However, a simple, cost-effective, and environmentally friendly approach is still lacked. Herein, a promising approach using nonhazardous chemicals was proposed, in which multiple hydrophobic functionalized silica nanoparticles (SiO 2 NPs) were first prepared as core component, through the efficient reaction between amino group containing SiO 2 NPs and the isocyanate containing hydrophobic surface modifiers synthesized by normal alcohols, followed by simply spraying onto various substrates for superhydrophobic functionalization. Furthermore, to further improve the mechanical durability, an organic-inorganic composite superhydrophobic coating was fabricated by incorporating cross-linking agent (polyisocyanate) into the mixture of hydrophobic-functionalized SiO 2 NPs and hydroxyl acrylic resin. The hybrid coating with cross-linked network structures is very stable with excellent mechanical durability, self-cleaning property and corrosion resistance.

  15. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  16. Annealing Heat Treatment of ZnO Nanoparticles Grown on Porous Si Substrate Using Spin-Coating Method

    Directory of Open Access Journals (Sweden)

    K. A. Eswar

    2014-01-01

    Full Text Available ZnO nanoparticles were successfully deposited on porous silicon (PSi substrate using spin-coating method. In order to prepare PSi, electrochemical etching was employed to modify the Si surface. Zinc acetate dihydrate was used as a starting material in ZnO sol-gel solution preparation. The postannealing treatments were investigated on morphologies and photoluminescence (PL properties of the ZnO thin films. Field emission scanning electron microscopy (FESEM results indicate that the thin films composed by ZnO nanoparticles were distributed uniformly on PSi. The average sizes of ZnO nanoparticle increase with increasing annealing temperature. Atomic force microscopic (AFM analysis reveals that ZnO thin films annealed at 500°C had the smoothest surface. PL spectra show two peaks that completely correspond to nanostructured ZnO and PSi. These findings indicate that the ZnO nanostructures grown on PSi are promising for application as light emitting devices.

  17. Coatings of titanium substrates with xCaO·(1 − x)SiO{sub 2} sol–gel materials: characterization, bioactivity and biocompatibility evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Catauro, M., E-mail: michelina.catauro@unina2.it; Papale, F.; Bollino, F.

    2016-01-01

    The objective of this study has been to develop low temperature sol–gel coatings to modify the surface of commercially pure titanium grade 4 (a material generally used in dental application) and to evaluate their bioactivity and biocompatibility on the substrate. Glasses of composition expressed by the following general formula xCaO·(1 − x)SiO{sub 2} (0.0 < x < 0.60) have been prepared by means of the sol–gel route starting from tetraethyl orthosilicate and calcium nitrate tetrahydrate. Those materials, still in the sol phase, have been used to coat titanium substrates by means of the dip-coating technique. Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD) allowed the materials to be characterized and a microstructural analysis of the coatings obtained was performed using scanning electron microscopy (SEM). The potential applications of the coatings in the biomedical field were evaluated by bioactivity and biocompatibility tests. The coated titanium was immersed in simulated body fluid (SBF) for 21 days and the hydroxyapatite deposition on its surface was subsequently evaluated via SEM–EDXS analysis, as an index of bone-bonding capability. To investigate cell-material interactions, mouse embryonic fibroblast cells (3 T3) were seeded onto the specimens and the cell viability was evaluated by a WST-8 assay. - Highlights: • CaO/SiO{sub 2} biomaterials synthesized by sol–gel method at various molar ratio • Coating of titanium substrate with dip-coating technology • Chemical and morphological characterization of materials and coating • Biocompatibility and bioactivity improvement of coated titanium.

  18. Contact Angles and Surface Tension of Germanium-Silicon Melts

    Science.gov (United States)

    Croell, A.; Kaiser, N.; Cobb, S.; Szofran, F. R.; Volz, M.; Rose, M. Franklin (Technical Monitor)

    2001-01-01

    Precise knowledge of material parameters is more and more important for improving crystal growth processes. Two important parameters are the contact (wetting) angle and the surface tension, determining meniscus shapes and surface-tension driven flows in a variety of methods (Czochralski, EFG, floating-zone, detached Bridgman growth). The sessile drop technique allows the measurement of both parameters simultaneously and has been used to measure the contact angles and the surface tension of Ge(1-x)Si(x) (0 less than or equal to x less than or equal to 1.3) alloys on various substrate materials. Fused quartz, Sapphire, glassy carbon, graphite, SiC, carbon-based aerogel, pyrolytic boron nitride (pBN), AIN, Si3N4, and polycrystalline CVD diamond were used as substrate materials. In addition, the effect of different cleaning procedures and surface treatments on the wetting behavior were investigated. Measurements were performed both under dynamic vacuum and gas atmospheres (argon or forming gas), with temperatures up to 1100 C. In some experiments, the sample was processed for longer times, up to a week, to investigate any changes of the contact angle and/or surface tension due to slow reactions with the substrate. For pure Ge, stable contact angles were found for carbon-based substrates and for pBN, for Ge(1-x)Si(x) only for pBN. The highest wetting angles were found for pBN substrates with angles around 170deg. For the surface tension of Ge, the most reliable values resulted in gamma(T) = (591- 0.077 (T-T(sub m)) 10(exp -3)N/m. The temperature dependence of the surface tension showed similar values for Ge(1-x)Si(x), around -0.08 x 10(exp -3)N/m K, and a compositional dependence of 2.2 x 10(exp -3)N/m at%Si.

  19. Hydrogen generation due to water splitting on Si - terminated 4H-Sic(0001) surfaces

    Science.gov (United States)

    Li, Qingfang; Li, Qiqi; Yang, Cuihong; Rao, Weifeng

    2018-02-01

    The chemical reactions of hydrogen gas generation via water splitting on Si-terminated 4H-SiC surfaces with or without C/Si vacancies were studied by using first-principles. We studied the reaction mechanisms of hydrogen generation on the 4H-SiC(0001) surface. Our calculations demonstrate that there are major rearrangements in surface when H2O approaches the SiC(0001) surface. The first H splitting from water can occur with ground-state electronic structures. The second H splitting involves an energy barrier of 0.65 eV. However, the energy barrier for two H atoms desorbing from the Si-face and forming H2 gas is 3.04 eV. In addition, it is found that C and Si vacancies can form easier in SiC(0001)surfaces than in SiC bulk and nanoribbons. The C/Si vacancies introduced can enhance photocatalytic activities. It is easier to split OH on SiC(0001) surface with vacancies compared to the case of clean SiC surface. H2 can form on the 4H-SiC(0001) surface with C and Si vacancies if the energy barriers of 1.02 and 2.28 eV are surmounted, respectively. Therefore, SiC(0001) surface with C vacancy has potential applications in photocatalytic water-splitting.

  20. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.