WorldWideScience

Sample records for si nitride si

  1. Nitridation of si using mechano-fusion method

    International Nuclear Information System (INIS)

    Li, Z. L.; Calka, A.; Williams, J. S.

    1996-01-01

    Full text: It has been found that silicon nitride (Si 3 N 4 ) can be formed by ball milling of Si in ammonia. However only small fraction of Si can be transformed into 1 Si 3 N 4 . The major milling effect is the formation of poly/nanocrystalline silicon. At this stage of research it is difficult to answer the question why ball milling causes only limited formation of Si 3 N 4 . It is due to little understanding of 1 processes occurring during milling. Therefore, the purpose of this work was to study nitridation reaction during milling of Si in ammonia. In particular the 1 effect of milling conditions such as milling energies, atmosphere and a form of starting material was studied. The micro/macrostructural development during milling and subsequent annealing was studied using x-ray diffractometry, thermal analysis, elemental analysis measurement. It was found that the transformed fraction of Si 3 N 4 compound is strongly dependent on milling energies and milling atmosphere

  2. Prospects of III-nitride optoelectronics grown on Si

    International Nuclear Information System (INIS)

    Zhu, D; Wallis, D J; Humphreys, C J

    2013-01-01

    The use of III-nitride-based light-emitting diodes (LEDs) is now widespread in applications such as indicator lamps, display panels, backlighting for liquid-crystal display TVs and computer screens, traffic lights, etc. To meet the huge market demand and lower the manufacturing cost, the LED industry is moving fast from 2 inch to 4 inch and recently to 6 inch wafer sizes. Although Al 2 O 3 (sapphire) and SiC remain the dominant substrate materials for the epitaxy of nitride LEDs, the use of large Si substrates attracts great interest because Si wafers are readily available in large diameters at low cost. In addition, such wafers are compatible with existing processing lines for 6 inch and larger wafers commonly used in the electronics industry. During the last decade, much exciting progress has been achieved in improving the performance of GaN-on-Si devices. In this contribution, the status and prospects of III-nitride optoelectronics grown on Si substrates are reviewed. The issues involved in the growth of GaN-based LED structures on Si and possible solutions are outlined, together with a brief introduction to some novel in situ and ex situ monitoring/characterization tools, which are especially useful for the growth of GaN-on-Si structures. (review article)

  3. Back scattering involving embedded silicon nitride (SiN) nanoparticles for c-Si solar cells

    Science.gov (United States)

    Ghosh, Hemanta; Mitra, Suchismita; Siddiqui, M. S.; Saxena, A. K.; Chaudhuri, Partha; Saha, Hiranmay; Banerjee, Chandan

    2018-04-01

    A novel material, structure and method of synthesis for dielectric light trapping have been presented in this paper. First, the light scattering behaviour of silicon nitride nanoparticles have been theoretically studied in order to find the optimized size for dielectric back scattering by FDTD simulations from Lumerical Inc. The optical results have been used in electrical analysis and thereby, estimate the effect of nanoparticles on efficiency of the solar cells depending on substrate thickness. Experimentally, silicon nitride (SiN) nanoparticles have been formed using hydrogen plasma treatment on SiN layer deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD). The size and area coverage of the nanoparticles were controlled by varying the working pressure, power density and treatment duration. The nanoparticles were integrated with partial rear contact c-Si solar cells as dielectric back reflector structures for the light trapping in thin silicon solar cells. Experimental results revealed the increases of current density by 2.7% in presence of SiN nanoparticles.

  4. Characteristics of Au/PZT/TiO2/Nitride/Si structure capacitors with ICP nitride treatments

    International Nuclear Information System (INIS)

    Min, Hyung Seob; Kim, Tae Ho; Jeon, Chang Bae; Lee, Jae Gab; Kim, Ji Young

    2002-01-01

    In this study, the characteristics of PZT/TiO 2 ferroelectric gate stack capacitors with Inductively Coupled Plasma (ICP) nitridation were investigated for field effect transistor (FET)-type Ferroelectric Random Access Memory (FeRAM) applications. If a high accumulation capacitance is to be had, the ICP nitridation time needs to be optimized. While a short ICP treatment time results in thermal oxide growth due to lack of nitrogen, a long nitridation time causes a nitride layer which is too thick. Au/PZT(200 nm)/TiO 2 (40 nm)/Nitride/Si (MeFINS) structure capacitors show a memory window (ΔV) of 1.6 V under ±3-V operation while Au/PZT(200 nm)/TiO 2 (40 nm)/Si (MeFIS) capacitors without nitride treatment exhibit a small memory window of 0.6 V. At the same time, the capacitance of the MeFINS device is almost twice that of the MeFIS capacitor. This result implies that the ICP nitride treatment suppresses the formation of a low dielectric constant interfacial SiO x layer and alleviates the series capacitance problem

  5. Nitrogen ion induced nitridation of Si(111) surface: Energy and fluence dependence

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India); ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Kumar, Mahesh [Physics and Energy Harvesting Group, National Physical Laboratory, New Delhi 110012 (India); Nötzel, R. [ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Shivaprasad, S.M., E-mail: smsprasad@jncasr.ac.in [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India)

    2014-06-01

    We present the surface modification of Si(111) into silicon nitride by exposure to energetic N{sub 2}{sup +} ions. In-situ UHV experiments have been performed to optimize the energy and fluence of the N{sub 2}{sup +} ions to form silicon nitride at room temperature (RT) and characterized in-situ by X-ray photoelectron spectroscopy. We have used N{sub 2}{sup +} ion beams in the energy range of 0.2–5.0 keV of different fluence to induce surface reactions, which lead to the formation of Si{sub x}N{sub y} on the Si(111) surface. The XPS core level spectra of Si(2p) and N(1s) have been deconvoluted into different oxidation states to extract qualitative information, while survey scans have been used for quantifying of the silicon nitride formation, valence band spectra show that as the N{sub 2}{sup +} ion fluence increases, there is an increase in the band gap. The secondary electron emission spectra region of photoemission is used to evaluate the change in the work function during the nitridation process. The results show that surface nitridation initially increases rapidly with ion fluence and then saturates. - Highlights: • A systematic study for the formation of silicon nitride on Si(111). • Investigation of optimal energy and fluence for energetic N{sub 2}{sup +} ions. • Silicon nitride formation at room temperature on Si(111)

  6. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  7. Nitride passivation of the interface between high-k dielectrics and SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, California 92093-0411 (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, California 92093-0411 (United States); Tang, Kechao; McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States); Madisetti, Shailesh; Oktyabrsky, Serge [Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York 12222 (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES US, Inc., Albany, New York 12203 (United States); Yoshida, Naomi; Kachian, Jessica; Dong, Lin [Applied Materials, Inc., Santa Clara, California 95054 (United States); Fruhberger, Bernd [California Institute for Telecommunications and Information Technology, University of California San Diego, La Jolla, California 92093-0436 (United States); Kummel, Andrew C., E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States)

    2016-01-04

    In-situ direct ammonia (NH{sub 3}) plasma nitridation has been used to passivate the Al{sub 2}O{sub 3}/SiGe interfaces with Si nitride and oxynitride. X-ray photoelectron spectroscopy of the buried Al{sub 2}O{sub 3}/SiGe interface shows that NH{sub 3} plasma pre-treatment should be performed at high temperatures (300 °C) to fully prevent Ge nitride and oxynitride formation at the interface and Ge out-diffusion into the oxide. C-V and I-V spectroscopy results show a lower density of interface traps and smaller gate leakage for samples with plasma nitridation at 300 °C.

  8. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  9. Argon plasma treatment of silicon nitride (SiN) for improved antireflection coating on c-Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Hemanta; Mitra, Suchismita; Saha, Hiranmay; Datta, Swapan Kumar; Banerjee, Chandan, E-mail: chandanbanerjee74@gmail.com

    2017-01-15

    Highlights: • Antireflection properties of argon plasma treated silicon nitride layer and its effect on crystalline silicon solar cell. • The reduction in reflection due to the formation of a silicon oxynitride/silicon nitride double layer. • EQE reveals a relative increase of 2.72% in J{sub sc} and 4.46% in conversion efficiency. - Abstract: Antireflection properties of argon plasma treated silicon nitride layer and its effect on crystalline silicon solar cell is presented here. Hydrogenated silicon nitride (a-SiN:H) layer has been deposited on a silicon substrate by Plasma Enhanced Chemical Vapour Deposition (PECVD) using a mixture of silane (SiH{sub 4}), ammonia (NH{sub 3}) and hydrogen (H{sub 2}) gases followed by a argon plasma treatment. Optical analysis reveals a significant reduction in reflectance after argon plasma treatment of silicon nitride layer. While FESEM shows nanostructures on the surface of the silicon nitride film, FTIR reveals a change in Si−N, Si−O and N−H bonds. On the other hand, ellipsometry shows the variation of refractive index and formation of double layer. Finally, a c-Si solar cell has been fabricated with the said anti-reflection coating. External quantum efficiency reveals a relative increase of 2.72% in the short circuit current density and 4.46% in conversion efficiency over a baseline efficiency of 16.58%.

  10. Discrete Charge Storage Nonvolatile Memory Based on Si Nanocrystals with Nitridation Treatment

    International Nuclear Information System (INIS)

    Xian-Gao, Zhang; Kun-Ji, Chen; Zhong-Hui, Fang; Xin-Ye, Qian; Guang-Yuan, Liu; Xiao-Fan, Jiang; Zhong-Yuan, Ma; Jun, Xu; Xin-Fan, Huang; Jian-Xin, Ji; Fei, He; Kuang-Bao, Song; Jun, Zhang; Hui, Wan; Rong-Hua, Wang

    2010-01-01

    A nonvolatile memory device with nitrided Si nanocrystals embedded in a Boating gate was fabricated. The uniform Si nanocrystals with high density (3 × 10 11 cm −2 ) were deposited on ultra-thin tunnel oxide layer (∼ 3 nm) and followed by a nitridation treatment in ammonia to form a thin silicon nitride layer on the surface of nanocrystals. A memory window of 2.4 V was obtained and it would be larger than 1.3 V after ten years from the extrapolated retention data. The results can be explained by the nitrogen passivation of the surface traps of Si nanocrystals, which slows the charge loss rate. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  11. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  12. Synthesis of boron nitride nanotubes with SiC nanowire as template

    International Nuclear Information System (INIS)

    Zhong, B.; Song, L.; Huang, X.X.; Wen, G.W.; Xia, L.

    2011-01-01

    Highlights: → Boron nitride nanotubes (BNNTs) have been fabricated using SiC nanowires as template. → SiC nanowires could be effectively etched out by the vapors decomposed from ammonia borane, leading to the formation of BNNTs. → A template self-sacrificing mechanism is responsible for the formation of BNNTs. -- Abstract: A novel template method for the preparation of boron nitride nanotubes (BNNTs) using SiC nanowire as template and ammonia borane as precursor is reported. We find out that the SiC nanowires could be effectively etched out by the vapors decomposed from ammonia borane, leading to the formation of BNNTs. The as-prepared products are well characterized by means of complementary analytical techniques. A possible formation mechanism is disclosed. The method developed here paves the way for large scale production of BNNTs.

  13. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si{sub 3}N{sub 4}/DLC substrate

    Energy Technology Data Exchange (ETDEWEB)

    Roman, W S; Riascos, H [Grupo Plasma, Laser y Aplicaciones, Universidad Tecnologica de Pereira (Colombia); Caicedo, J C [Grupo de PelIculas Delgadas, Universidad del Valle, Cali (Colombia); Ospina, R [Laboratorio de Plasma, Universidad Nacional de Colombia, sede Manizales (Colombia); Tirado-MejIa, L, E-mail: hriascos@utp.edu.c [Laboratorio de Optoelectronica, Universidad del Quindio (Colombia)

    2009-05-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si{sub 3}N{sub 4} substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm{sup -2}, 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm{sup -1} for B - N bonding and bands around 1700 cm{sup -1} associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), alpha-Si{sub 3}N{sub 4} (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si{sub 3}N{sub 4}/DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  14. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  15. Microstructure characterization of fluidized bed nitrided Fe–Si and ...

    Indian Academy of Sciences (India)

    Unknown

    The investi- gations on the nitrided samples were carried out by optical and SEM microscopic observations, X-ray ... Many studies have been carried out in the past to improve ... Experimental. The Fe .... The same is true for the FeSiAl sample.

  16. Superstructure of self-aligned hexagonal GaN nanorods formed on nitrided Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen; Tuteja, Mohit; Kesaria, Manoj; Waghmare, U. V.; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur, Bangalore 560 064 (India)

    2012-09-24

    We present here the spontaneous formation of catalyst-free, self-aligned crystalline (wurtzite) nanorods on Si(111) surfaces modified by surface nitridation. Nanorods grown by molecular beam epitaxy on bare Si(111) and non-stoichiometric silicon nitride interface are found to be single crystalline but disoriented. Those grown on single crystalline Si{sub 3}N{sub 4} intermediate layer are highly dense c-oriented hexagonal shaped nanorods. The morphology and the self-assembly of the nanorods shows an ordered epitaxial hexagonal superstructure, suggesting that they are nucleated at screw dislocations at the interface and grow spirally in the c-direction. The aligned nanorod assembly shows high-quality structural and optical emission properties.

  17. Effects of Interface Coating and Nitride Enhancing Additive on Properties of Hi-Nicalon SiC Fiber Reinforced Reaction-Bonded Silicon Nitride Composites

    Science.gov (United States)

    Bhatt, Ramakrishana T.; Hull, David R.; Eldridge, Jeffrey I.; Babuder, Raymond

    2000-01-01

    Strong and tough Hi-Nicalon SiC fiber reinforced reaction-bonded silicon nitride matrix composites (SiC/ RBSN) have been fabricated by the fiber lay-up approach. Commercially available uncoated and PBN, PBN/Si-rich PBN, and BN/SiC coated SiC Hi-Nicalon fiber tows were used as reinforcement. The composites contained approximately 24 vol % of aligned 14 micron diameter SiC fibers in a porous RBSN matrix. Both one- and two-dimensional composites were characterized. The effects of interface coating composition, and the nitridation enhancing additive, NiO, on the room temperature physical, tensile, and interfacial shear strength properties of SiC/RBSN matrix composites were evaluated. Results indicate that for all three coated fibers, the thickness of the coatings decreased from the outer periphery to the interior of the tows, and that from 10 to 30 percent of the fibers were not covered with the interface coating. In the uncoated regions, chemical reaction between the NiO additive and the SiC fiber occurs causing degradation of tensile properties of the composites. Among the three interface coating combinations investigated, the BN/SiC coated Hi-Nicalon SiC fiber reinforced RBSN matrix composite showed the least amount of uncoated regions and reasonably uniform interface coating thickness. The matrix cracking stress in SiC/RBSN composites was predicted using a fracture mechanics based crack bridging model.

  18. Radiation-induced interface state generation in MOS devices with reoxidised nitrided SiO2 gate dielectrics

    International Nuclear Information System (INIS)

    Lo, G.Q.; Shih, D.K.; Ting, W.; Kwong, D.L.

    1989-01-01

    In this letter, the radiation-induced interface state generation ΔD it in MOS devices with reoxidised nitrided gate oxides has been studied. The reoxidised nitrided oxides were fabricated by rapid thermal reoxidation (RTO) of rapidly thermal nitrided (RTN) SiO 2 . The devices were irradiated by exposure to X-rays at doses of 0.5-5.0 Mrad (Si). It is found that the RTO process improves the radiation hardness of RTN oxides in terms of interface state generation. The enhanced interface ''hardness'' of reoxidised nitrided oxides is attributed to the strainless interfacial oxide regrowth or reduction of hydrogen concentration during RTO of RTN oxides. (author)

  19. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  20. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    International Nuclear Information System (INIS)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-01-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN x /SiN y multilayers with high on/off ratio of 10 9 . High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  1. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    Science.gov (United States)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-09-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiNx/SiNy multilayers with high on/off ratio of 109. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  2. Preparation of Si3N4 Form Diatomite via a Carbothermal Reduction-Nitridation Process

    Science.gov (United States)

    Ma, Bin; Huang, Zhaohui; Mei, Lefu; Fang, Minghao; Liu, Yangai; Wu, Xiaowen; Hu, Xiaozhi

    2016-05-01

    Si3N4 was produced using diatomite and sucrose as silicon and carbon sources, respectively. The effect of the C/SiO2 molar ratio, heating temperature and soaking time on the morphology and phase compositions of the final products was investigated by scanning electron microscopy, x-ray diffraction analysis and energy dispersive spectroscopy. The phase equilibrium relationships of the system at different heating temperatures were also investigated based on the thermodynamic analysis. The results indicate that the phase compositions depended on the C/SiO2 molar ratio, heating temperature and soaking time. Fabrication of Si3N4 from the precursor via carbothermal reduction nitridation was achieved at 1550°C for 1-8 h using a C/SiO2 molar ratio of 3.0. The as-prepared Si3N4 contained a low amount of Fe3Si (<1 wt.%).

  3. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiN{sub x}/SiN{sub y} multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaofan; Ma, Zhongyuan, E-mail: zyma@nju.edu.cn; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan [National Laboratory of Solid State Microstructures, Jiangsu Provincial Key Laboratory of Photonic Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China)

    2014-09-28

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN{sub x}/SiN{sub y} multilayers with high on/off ratio of 10{sup 9}. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  4. NMR and NQR study of Si-doped (6,0) zigzag single-walled aluminum nitride nanotube as n or P-semiconductors.

    Science.gov (United States)

    Baei, Mohammad T; Peyghan, Ali Ahmadi; Tavakoli, Khadijeh; Babaheydari, Ali Kazemi; Moghimi, Masoumeh

    2012-09-01

    Density functional theory (DFT) calculations were performed to investigate the electronic structure properties of pristine and Si-doped aluminum nitride nanotubes as n or P-semiconductors at the B3LYP/6-31G* level of theory in order to evaluate the influence of Si-doped in the (6,0) zigzag AlNNTs. We extended the DFT calculation to predict the electronic structure properties of Si-doped aluminum nitride nanotubes, which are very important for production of solid-state devices and other applications. To this aim, pristine and Si-doped AlNNT structures in two models (Si(N) and Si(Al)) were optimized, and then the electronic properties, the isotropic (CS(I)) and anisotropic (CS(A)) chemical shielding parameters for the sites of various (27)Al and (14)N atoms, NQR parameters for the sites of various of (27)Al and (14)N atoms, and quantum molecular descriptors were calculated in the optimized structures. The optimized structures, the electronic properties, NMR and NQR parameters, and quantum molecular descriptors for the Si(N) and Si(Al) models show that the Si(N) model is a more reactive material than the pristine or Si(Al) model.

  5. X-ray photoelectron spectroscopy studies of nitridation on 4H-SiC (0001) surface by direct nitrogen atomic source

    International Nuclear Information System (INIS)

    Chai, J. W.; Pan, J. S.; Zhang, Z.; Wang, S. J.; Chen, Q.; Huan, C. H. A.

    2008-01-01

    A Si 3 N 4 passivation layer has been successfully grown on the 4H-SiC (0001) surface by direct atomic source nitridation at various substrate temperatures. In situ x-ray photoelectron spectroscopy measurements show that higher substrate temperature leads to higher nitridation rate and good crystallinity of the passivation layer. A thin oxynitride layer on the top of the Si 3 N 4 was observed due to the residual O in the vacuum system, but was decomposed during annealing. In the meantime, excess C was found to be effectively removed by the reactive atomic N source

  6. Study of aluminum nitride precipitation in Fe- 3%Si steel

    Directory of Open Access Journals (Sweden)

    F.L. Alcântara

    2013-01-01

    Full Text Available For good performance of electrical steels it is necessary a high magnetic induction and a low power loss when submitted to cyclic magnetization. A fine dispersion of precipitates is a key requirement in the manufacturing process of Fe- 3%Si grain oriented electrical steel. In the production of high permeability grain oriented steel precipitate particles of copper and manganese sulphides and aluminium nitride delay normal grain growth during primary recrystallization, causing preferential growth of grains with Goss orientation during secondary recrystallization. The sulphides precipitate during the hot rolling process. The aluminium nitride particles are formed during hot rolling and the hot band annealing process. In this work AlN precipitation during hot deformation of a high permeability grain oriented 3%Si steel is examined. In the study, transfer bar samples were submitted to controlled heating, compression and cooling treatments in order to simulate a reversible hot rolling finishing. The samples were analyzed using the transmission electron microscope (TEM in order to identify the precipitates and characterize size distribution. Precipitate extraction by dissolution method and analyses by inductively coupled plasma optical emission spectrometry (ICP-OES were used to quantify the precipitation. The results allowed to describe the precipitation kinetics by a precipitation-time-temperature (PTT diagram for AlN formation during hot rolling.

  7. Matrix density effects on the mechanical properties of SiC fiber-reinforced silicon nitride matrix properties

    Science.gov (United States)

    Bhatt, Ramakrishna T.; Kiser, Lames D.

    1990-01-01

    The room temperature mechanical properties were measured for SiC fiber reinforced reaction-bonded silicon nitride composites (SiC/RBSN) of different densities. The composites consisted of approx. 30 vol percent uniaxially aligned 142 micron diameter SiC fibers (Textron SCS-6) in a reaction-bonded Si3N4 matrix. The composite density was varied by changing the consolidation pressure during RBSN processing and by hot isostatically pressing the SiC/RBSN composites. Results indicate that as the consolidation pressure was increased from 27 to 138 MPa, the average pore size of the nitrided composites decreased from 0.04 to 0.02 microns and the composite density increased from 2.07 to 2.45 gm/cc. Nonetheless, these improvements resulted in only small increases in the first matrix cracking stress, primary elastic modulus, and ultimate tensile strength values of the composites. In contrast, HIP consolidation of SiC/RBSN resulted in a fully dense material whose first matrix cracking stress and elastic modulus were approx. 15 and 50 percent higher, respectively, and ultimate tensile strength values were approx. 40 percent lower than those for unHIPed SiC/RBSN composites. The modulus behavior for all specimens can be explained by simple rule-of-mixture theory. Also, the loss in ultimate strength for the HIPed composites appears to be related to a degradation in fiber strength at the HIP temperature. However, the density effect on matrix fracture strength was much less than would be expected based on typical monolithic Si3N4 behavior, suggesting that composite theory is indeed operating. Possible practical implications of these observations are discussed.

  8. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  9. Synthesis and structure of Na-Li-Si-Al-P-O-N glasses prepared by melt nitridation using NH3

    International Nuclear Information System (INIS)

    Kidar, A.; Pomeroy, M.J.; Hampshire, S.; Mercier, C.; Leriche, A.; Revel, B.

    2012-01-01

    Na-Li-Si-Al-P-O-N glasses have been prepared by nitridation of a pre-synthesized Na 2 O-Li 2 O-SiO 2 -P 2 O 5 -Al 2 O 3 glass under anhydrous ammonia. Nitrogen for oxygen substitution increases the network connectivity leading to increases in microhardness and glass transition temperature. Raman and 31 P MAS-NMR spectroscopy indicate sequential nitridation reactions forming PO 3 N and PO 2 N 2 species. The data collected so far show no evidence of N/O substitutions in the silicate sub-network. (authors)

  10. Single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides as promising photocatalysts.

    Science.gov (United States)

    Bai, Yujie; Luo, Gaixia; Meng, Lijuan; Zhang, Qinfang; Xu, Ning; Zhang, Haiyang; Wu, Xiuqiang; Kong, Fanjie; Wang, Baolin

    2018-05-30

    Searching for two-dimensional semiconductor materials that are suitable for visible-light photocatalytic water splitting provides a sustainable solution to deal with the future energy crisis and environmental problems. Herein, based on first-principles calculations, single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides are proposed as efficient photocatalysts for water splitting. Stability analyses show that the single-layer ZnMN2 zinc nitrides exhibit energetic and dynamical stability. The electronic properties reveal that all of the single-layer ZnMN2 zinc nitrides are semiconductors. Interestingly, single-layer ZnSnN2 is a direct band gap semiconductor with a desirable band gap (1.74 eV), and the optical adsorption spectrum confirms its optical absorption in the visible light region. The hydrogen evolution reaction (HER) calculations show that the catalytic activity for single-layer ZnMN2 (M = Ge, Sn) is better than that of single-layer ZnSiN2. Furthermore, the band gaps and band edge positions for the single-layer ZnMN2 zinc nitrides can be effectively tuned by biaxial strain. Especially, single-layer ZnGeN2 can be effectively tuned to match better with the redox potentials of water and enhance the light absorption in the visible light region at a tensile strain of 5%, which is confirmed by the corresponding optical absorption spectrum. Our results provide guidance for experimental synthesis efforts and future searches for single-layer materials suitable for photocatalytic water splitting.

  11. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  12. Photoemission and low energy electron microscopy study on the formation and nitridation of indium droplets on Si (111)7 × 7 surfaces

    International Nuclear Information System (INIS)

    Qi, B.; Ólafsson, S.; Göthelid, M.; Gislason, H.P.; Agnarsson, B.

    2013-01-01

    The formation and nitridation of indium (In) droplets on Si (111)7 × 7, with regard to In droplet epitaxy growth of InN nanostructures, were studied using a spectroscopic photoemission and low energy electron microscopy, for the In coverages from 0.07 to 2.3 monolayer (ML). The results reveal that the In adatoms formed well-ordered clusters while keeping the Si (111)7 × 7 surface periodicity at 0.07 ML and a single √(3)×√(3) phase at 0.3 ML around 440–470 °C. At 0.82 ML, owing to the presence of structurally defect areas beside the 7 × 7 domains, 3-D In droplets evolved concomitantly with the formation of 4 × 1-In cluster chains, accompanied by a transition in surface electric property from semiconducting to metallic. Further increasing the In to 2.3 ML led to a moderate increase in number density and an appreciable lateral growth of the droplets, as well as the multi-domain In phases. Upon nitridation with NH 3 at ∼ 480 °C, besides the nitridation of the In droplets, the N radicals also dissociated the In-Si bonds to form Si-N. This caused a partial disintegration of the ordered In phase and removal of the In adatoms between the In droplets. - Highlights: ► Formation and nitridation of indium (In) droplets on Si (111) were studied. ► In droplets evolved with the 4 × 1-In cluster chains at 0.82 monolayer (ML). ► In droplets grow in density and lateral size with In coverage increased to 2.3 ML. ► The multi-domain In phases were formed at 2.3 ML. ► Nitridation of In droplets is accompanied by a disintegration of layering In phase

  13. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  14. Characterization of rare-earth doped Si 3 N4 /SiC micro/nanocomposites

    Directory of Open Access Journals (Sweden)

    Peter Tatarko

    2010-03-01

    Full Text Available Influence of various rare-earth oxide additives (La2O3, Nd2O3, Sm2O3, Y2O3, Yb2O3 and Lu2O3 on the mechanical properties of hot-pressed silicon nitride and silicon nitride/silicon carbide micro/nano-composites has been investigated. The bimodal character of microstructures was observed in all studied materials where elongated β-Si3N4 grains were embedded in the matrix of much finer Si3N4 grains. The fracture toughness values increased with decreasing ionic radius of rare-earth elements. The fracture toughness of composites was always lower than that of monoliths due to their finer Si3N4/SiC microstructures. Similarly, the hardness and bending strength values increased with decreasing ionic radius of rare-earth elements either in monoliths or composites. On the other hand, the positive influence of finer microstructure of the composites on strength was not observed due to the present defects in the form of SiC clusters and non-reacted carbon zones. Wear resistance at room temperature also increased with decreasing ionic radius of rare-earth element. Significantly improved creep resistance was observed in case either of composite materials or materials with smaller radius of RE3+.

  15. Preparation and property of β-SiAlON:Eu{sup 2+} luminescent fibers by an electrospinning method combined with carbothermal reduction nitridation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Qian, E-mail: qianliu@sunm.shcnc.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Materials Genome, Shanghai 200444 (China); Lu, Qi [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Materials Genome, Shanghai 200444 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Liu, Guanghui [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Materials Genome, Shanghai 200444 (China); Wei, Qinhua [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Materials Genome, Shanghai 200444 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China)

    2016-01-15

    β-SiAlON:Eu{sup 2+} phosphors synthesis usually requires higher temperatures and higher nitrogen pressure conditions. In the present research, a low temperature technique has been developed to synthesize both β-SiAlON and Eu-doped β-SiAlON fibers by electrospinning combined with carbothermal reduction nitridation (CRN). The carbon sources used as reductant in CRN procedure have been optimized to effectively produce a well-crystallized β-SiAlON phase at lower temperatures of 1370–1500 °C. Additionally, through adding sucrose and covering activated carbon powders on the top of fiber precursor layers, the highly-pure β-SiAlON and β-SiAlON:Eu{sup 2+} fibers could be obtained. The pyrolysis behavior of fiber precursors, crystalline phase, morphology, and UV excited luminescence properties of the produced ceramic fibers were also studied by using TG–DSC measurement, XRD analysis, SEM observation, and spectrometer method. The resultant fibers exhibit a smooth surface and an uniforme morphology with a substantial length. Moreover, the β-SiAlON:Eu{sup 2+} fibers thus prepared show a blue light emission peaked at 470 nm under UV excitation. - Highlights: • Eu{sup 2+} doped b-SiAlON blue luminescent fibers were successfully prepared. • Use of electrospinning with carbothermal reduction nitridation. • Sucrose was utilized as carbon source to effectively form β-SiAlON:Eu{sup 2+}. • Active carbon powders were covered on fiber precursor layers during processing. • Produced fibers own smooth surface and uniform morphology.

  16. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Science.gov (United States)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  17. Compositional analysis of silicon nitride films on Si and GaAs by backscattering spectrometry and nuclear resonance reaction analysis

    International Nuclear Information System (INIS)

    Kumar, Sanjiv; Raju, V.S.

    2004-01-01

    This paper describes the application of proton and α-backscattering spectrometry for the determination of atomic ratio of Si to N in 1100-5000 A silicon nitride films on Si and GaAs. The conventional α-Rutherford backscattering spectrometry is suitable for the analysis of films on Si; it is rather inadequate for films on GaAs due to higher background from the substrate. It is shown that these films can be analysed by 14 N(α,α) 14 N scattering with 3.5 MeV α-particles. Proton elastic scattering with enhanced cross sections for 28 Si(p,p) 28 Si and 14 N(p,p) 14 N scatterings, is also suitable for analysing films on GaAs. However, the analysis of films on Si by this technique is difficult due to interferences between the signals of Si from the film and the substrate. In addition, the hydrogen content in films is determined by 1 H( 19 F,αγ) 16 O nuclear reaction analysis using the resonance at 6.4 MeV. The combination of backscattering spectrometry with nuclear reaction analysis provides compositional analysis of ternary Si 1-(x+y) N x H y films

  18. Quality improvement of ZnO thin layers overgrown on Si(100 substrates at room temperature by nitridation pretreatment

    Directory of Open Access Journals (Sweden)

    Peng Wang

    2012-06-01

    Full Text Available To improve the quality of ZnO thin film overgrown on Si(100 substrate at RT (room temperature, the Si(100 surface was pretreated with different methods. The influence of interface on the overgrown ZnO layers was investigated by atomic force microscopy, photoluminescence and X-ray diffraction. We found that the nitridation pretreatment could significantly improve the quality of RT ZnO thin film through two-fold effects: one was to buffer the big lattice mismatch and ease the stress resulted from heterojunction growth; the other was to balance the interface charge, block the symmetric inheritance from the cubic Si (100 substrate and thus restrain the formation of zincblende phase.

  19. Single layer and multilayer vacuum-arc coatings based on the nitride TiAlSiYN: composition, structure, properties

    International Nuclear Information System (INIS)

    Beresnev, V.M.; Litovchenko, S.V.; Nemchenko, U.S.; Srebnyuk, P.A.; Mazilin, B.A.; Sobol, O.V.; Mejlekhov, A.A.; Barmin, A.E.; Serenko, TA.; Pogrebnyak, A.D.; Ivanov, O.N.; Kritsyna, E.V.; Stolbovoj, V.A.; Novikov, V.Yu.; Malikov, L.V.

    2017-01-01

    Using high-technological vacuum-arc evaporation in the atmosphere of nitrogen with ion bombardment, single- and multilayer coatings based on TiAlSiYN with high mechanical characteristics were obtained: hardness of the coatings reached 49.5 GPa, resistance to wear, with the value of the critical point L_C_5 reaching 184.92 N. The peculiarities of radiation-induced effect at applying bias potential U_b were found: formation of nitride coatings based on fcc metallic lattice with the preferred orientation of crystallites with the texture axis [111], as well as simultaneous growth of hardness. Hardness of both single- and multilayer coatings increases by 40...50% at the increase of U_b from 50 to 200 V. Formation of silicon-containing layers of TiAlSiYN during the deposition contributes to reaching increased hardness, which, in the case of single-layer coating obtained at U_b = -200 V is 49.5 GPa, which corresponds to superhard state. The mechanisms of structure formation, defining the resulting mechanical characteristics of single- and multi-layer coatings based on TiAlSiYN nitride have been discussed.

  20. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  1. Preparation of Mg-α SiAlON powder by carbothermal reduction-nitridation of talc and halloysite

    International Nuclear Information System (INIS)

    Qui, J.Y.; Zhang, C.; Komeya, K.; Meguro, T.; Tatami, J.; Cheng, Y.-B.

    2001-01-01

    Carbothermal reduction-nitridation (CRN) of talc (Mg 3 (Si 2 O 5 ) 2 (OH) 2 ) and halloysite (Al 2 Si 2 O 5 (OH) 4 ) clay provides a useful route for preparing low-cost Mg-α sialon powder. In this study, the chosen molecular ratios of talc to halloysite were 0.1:1, 0.2:1, 1.5:1.0 and 2.0:1. The CRN reaction was conducted at 1450 to 1520 deg C and 2 to 6h holding time using carbon black as a reducing agent in flowing N 2 (gas). The results showed the synthesized powder was composed of α-sialon, β-sialon and small amounts of SiC, 15R and AlN phases that greatly depended on the ratio of talc to halloysite, the reaction temperature and holding time. The highest content of Mg-α sialon, as much as 90wt%, was achieved at 1480 deg C for a holding time of 4h at a talc to halloysite ratio of 1.5:1.0. SiC was considered as an intermediate compound. We also discuss the evaporation loss of Mg caused by talc decomposing into MgSiN 2 intermediate phase in the CRN reaction. Copyright (2001) The Australian Ceramic Society

  2. Luminescence properties of Ce3+-activated alkaline earth silicon nitride M2Si5N8 (M = Ca, Sr, Ba) materials

    NARCIS (Netherlands)

    Li, Y.Q.; With, de G.; Hintzen, H.T.J.M.

    2006-01-01

    The luminescence properties of Ce3+, Li+ or Na+ co-doped alkaline-earth silicon nitride M2Si5N8 (M=Ca, Sr, Ba) are reported. The solubility of Ce3+ and optical properties of M2-2xCexLixSi5N8 (x0.1) materials have been investigated as function of the cerium concentration by X-ray powder diffraction

  3. Designing the fiber volume ratio in SiC fiber-reinforced SiC ceramic composites under Hertzian stress

    International Nuclear Information System (INIS)

    Lee, Kee Sung; Jang, Kyung Soon; Park, Jae Hong; Kim, Tae Woo; Han, In Sub; Woo, Sang Kuk

    2011-01-01

    Highlights: → Optimum fiber volume ratios in the SiC/SiC composite layers were designed under Hertzian stress. → FEM analysis and spherical indentation experiments were undertaken. → Boron nitride-pyrocarbon double coatings on the SiC fiber were effective. → Fiber volume ratio should be designed against flexural stress. -- Abstract: Finite element method (FEM) analysis and experimental studies are undertaken on the design of the fiber volume ratio in silicon carbide (SiC) fiber-reinforced SiC composites under indentation contact stresses. Boron nitride (BN)/Pyrocarbon (PyC) are selected as the coating materials for the SiC fiber. Various SiC matrix/coating/fiber/coating/matrix structures are modeled by introducing a woven fiber layer in the SiC matrix. Especially, this study attempts to find the optimum fiber volume ratio in SiC fiber-reinforced SiC ceramics under Hertzian stress. The analysis is performed by changing the fiber type, fiber volume ratio, coating material, number of coating layers, and stacking sequence of the coating layers. The variation in the stress for composites in relation to the fiber volume ratio in the contact axial or radial direction is also analyzed. The same structures are fabricated experimentally by a hot process, and the mechanical behaviors regarding the load-displacement are evaluated using the Hertzian indentation method. Various SiC matrix/coating/fiber/coating/matrix structures are fabricated, and mechanical characterization is performed by changing the coating layer, according to the introduction (or omission) of the coating layer, and the number of woven fiber mats. The results show that the damage mode changes from Hertzian stress to flexural stress as the fiber volume ratio increases in composites because of the decreased matrix volume fraction, which intensifies the radial crack damage. The result significantly indicates that the optimum fiber volume ratio in SiC fiber-reinforced SiC ceramics should be designed for

  4. Fundamentals of Passive Oxidation In SiC and Si3N4

    Science.gov (United States)

    Thomas-Ogbuji, Linus U.

    1998-01-01

    The very slow oxidation kinetics of silicon carbide and silicon nitride, which derive from their adherent and passivating oxide films, has been explored at length in a broad series of studies utilizing thermogravimetric analysis, electron and optical micrography, energy dispersive spectrometry, x-ray diffractometry, micro-analytical depth profiling, etc. Some interesting microstructural phenomena accompanying the process of oxidation in the two materials will be presented. In Si3N4 the oxide is stratified, with an SiO2 topscale (which is relatively impervious to O2)underlain by a coherent subscale of silicon oxynitride which is even less permeable to O2- Such "defence in depth" endows Si3N4 with what is perhaps the highest oxidation resistance of any material, and results in a unique set of oxidation processes. In SiC the oxidation reactions are much simpler, yet new issues still emerge; for instance, studies involving controlled devitrification of the amorphous silica scale confirmed that the oxidation rate of SiC drops by more than an order of magnitude when the oxide scale fully crystallizes.

  5. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  6. Rear-Sided Passivation by SiNx:H Dielectric Layer for Improved Si/PEDOT:PSS Hybrid Heterojunction Solar Cells.

    Science.gov (United States)

    Sun, Yiling; Gao, Pingqi; He, Jian; Zhou, Suqiong; Ying, Zhiqin; Yang, Xi; Xiang, Yong; Ye, Jichun

    2016-12-01

    Silicon/organic hybrid solar cells have recently attracted great attention because they combine the advantages of silicon (Si) and the organic cells. In this study, we added a patterned passivation layer of silicon nitride (SiNx:H) onto the rear surface of the Si substrate in a Si/poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) ( PSS) hybrid solar cell, enabling an improvement of 0.6 % in the power conversion efficiency (PCE). The addition of the SiNx:H layer boosted the open circuit voltage (V oc) from 0.523 to 0.557 V, suggesting the well-passivation property of the patterned SiNx:H thin layer that was created by plasma-enhanced chemical vapor deposition and lithography processes. The passivation properties that stemmed from front PSS, rear-SiNx:H, front PSS/rear-SiNx:H, etc. are thoroughly investigated, in consideration of the process-related variations.

  7. Oxidation effects on the mechanical properties of SiC fiber-reinforced reaction-bonded silicon nitride matrix composites

    Science.gov (United States)

    Bhatt, Ramakrishna T.

    1989-01-01

    The room temperature mechanical properties of SiC fiber reinforced reaction bonded silicon nitride composites were measured after 100 hrs exposure at temperatures to 1400 C in nitrogen and oxygen environments. The composites consisted of approx. 30 vol percent uniaxially aligned 142 micron diameter SiC fibers in a reaction bonded Si3N4 matrix. The results indicate that composites heat treated in a nitrogen environment at temperatures to 1400 C showed deformation and fracture behavior equivalent to that of the as-fabricated composites. Also, the composites heat treated in an oxidizing environment beyond 400 C yielded significantly lower tensile strength values. Specifically in the temperature range from 600 to 1000 C, composites retained approx. 40 percent of their as-fabricated strength, and those heat treated in the temperatures from 1200 to 1400 C retained 70 percent. Nonetheless, for all oxygen heat treatment conditions, composite specimens displayed strain capability beyond the matrix fracture stress; a typical behavior of a tough composite.

  8. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  9. Deposition of titanium nitride on Si(1 0 0) wafers using plasma focus

    International Nuclear Information System (INIS)

    Hussain, Tousif; Ahmad, R.; Khan, I.A.; Siddiqui, Jamil; Khalid, Nida; Bhatti, Arshad Saleem; Naseem, Shahzad

    2009-01-01

    Titanium nitride thin films were deposited on Si(1 0 0) substrates by using a low energy (2.3 KJ) Mather-type plasma focus device. The composition of the deposited films was characterized by X-ray diffraction (XRD). The crystallite size has strong dependence on the numbers of focus shots. The crystallinity of TiN thin films is found to increase with increasing the number of focus shots. The effect of different number of focus shots on micro structural changes of thin films was characterized by Scanning Electron Microscope (SEM) and Atomic Force Microscope (AFM). SEM results showed net-like structure for film deposited for 15 numbers of shots, which are elongated grains of Si 3 N 4 in amorphous form embedded into TiN crystals. The average surface roughness was calculated from AFM images of the thin films. These results indicated that the average surface roughness increased for films deposited with increased number of focus shots. The least crystallite size and roughness are observed for film deposited with 25 focus shots.

  10. Observation of apparent MOS regimes on Al/PECVD grown boron nitride/p-c-Si/Al MIS structure, investigated through admittance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Oezdemir, Orhan [Yildiz Technical University, Department of Physics, Esenler, istanbul (Turkey)

    2009-02-15

    PECVD grown boron nitride (BN) on crystalline silicon (c-Si) semiconductor was investigated by admittance measurement in the form of metal/insulator/semiconductor (MIS) structure. Apart from well-known regimes of traditional MOS structure, gradual bypassing of depletion layer was observed once ambient temperature (frequency) increased (decreased). Such an anomalous behavior was interpreted through modulations of charges located within BN film and/or at the interfacial layer of BN film/c-Si junction in terms of weighted average concept. (author)

  11. Development and cytotoxicity evaluation of SiAlONs ceramics

    International Nuclear Information System (INIS)

    Santos, C.; Ribeiro, S.; Daguano, J.K.M.F.; Rogero, S.O.; Strecker, K.; Silva, C.R.M.

    2007-01-01

    SiAlONs are ceramics with high potential as biomaterials due to their chemical stability, associated with suitable mechanical properties, such as high fracture toughness and fracture resistance. The objective of this work was to investigate the mechanical properties and the cytotoxicity of these ceramic materials. Three different compositions were prepared, using silicon nitride, aluminum nitride and a rare earth oxide mixture as starting powders, yielding Si 3 N 4 -SiAlON composites or pure SiAlON ceramics, after hot-pressing at 1750 deg. C, for 30 min. The sintered samples were characterized by X-ray diffraction analysis (XRD) and scanning electron microscopy (SEM). Furthermore, hardness and fracture toughness were determined using the Vicker's indentation method. The biological compatibility was evaluated by in vitro cytotoxicity tests. Ceramic with elevated hardness, ranging between 17 and 21 GPa, and high fracture toughness of 5 to 6 MPa m 1/2 were obtained. Since a nontoxic behavior was observed in the cytotoxicity tests, it may be assumed that SiAlON-based ceramics are viable materials for clinical applications

  12. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H-SiC in diluted N{sub 2}O ambient

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Energy Efficient and Sustainable Semiconductor Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300, Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2012-10-15

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N{sub 2}O ambient (10-100%) at 500 Degree-Sign C for 15 min to form Zr-oxynitride on 4H-SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N{sub 2}O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr-O-N and/or Zr-N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: Black-Right-Pointing-Pointer Zr-oxynitride as the gate oxide deposited on 4H-SiC substrate. Black-Right-Pointing-Pointer Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H-SiC using various concentrations of N{sub 2}O gas. Black-Right-Pointing-Pointer Presence of interfacial layer comprised of mixed compounds related to Zr-O, Zr-N, Zr-O-N, Si-N, and/or C-N. Black-Right-Pointing-Pointer The highest electrical breakdown and highest reliability at diluted N{sub 2}O of 10%.

  13. Small sensitivity to temperature variations of Si-photonic Mach-Zehnder interferometer using Si and SiN waveguides

    Science.gov (United States)

    Hiraki, Tatsurou; Fukuda, Hiroshi; Yamada, Koji; Yamamoto, Tsuyoshi

    2015-03-01

    We demonstrated a small sensitivity to temperature variations of delay-line Mach-Zehnder interferometer (DL MZI) on a Si photonics platform. The key technique is to balance a thermo-optic effect in the two arms by using waveguide made of different materials. With silicon and silicon nitride waveguides, the fabricated DL MZI with a free-spectrum range of ~40 GHz showed a wavelength shift of -2.8 pm/K with temperature variations, which is 24 times smaller than that of the conventional Si-waveguide DL MZI. We also demonstrated the decoding of the 40-Gbit/s differential phase-shift keying signals to on-off keying signals with various temperatures. The tolerable temperature variation for the acceptable power penalty was significantly improved due to the small wavelength shifts.

  14. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  15. Preparation of aluminum nitride-silicon carbide nanocomposite powder by the nitridation of aluminum silicon carbide

    NARCIS (Netherlands)

    Itatani, K.; Tsukamoto, R.; Delsing, A.C.A.; Hintzen, H.T.J.M.; Okada, I.

    2002-01-01

    Aluminum nitride (AlN)-silicon carbide (SiC) nanocomposite powders were prepared by the nitridation of aluminum-silicon carbide (Al4SiC4) with the specific surface area of 15.5 m2·g-1. The powders nitrided at and above 1400°C for 3 h contained the 2H-phases which consisted of AlN-rich and SiC-rich

  16. Influence of PECVD deposited SiNx passivation layer thickness on In0.18Al0.82N/GaN/Si HEMT

    International Nuclear Information System (INIS)

    Singh, Sarab Preet; Liu, Yi; Ngoo, Yi Jie; Kyaw, Lwin Min; Bera, Milan Kumar; Chor, Eng Fong; Dolmanan, S B; Tripathy, Sudhiranjan

    2015-01-01

    The influence of plasma enhanced chemical vapour deposited (PECVD) silicon nitride (SiN x ) passivation film thickness on In 0.18 Al 0.82 N/GaN/Si heterostructures and HEMTs has been investigated. The formation of Si 3 N 4 was confirmed by x-ray photoelectron spectroscopy (XPS) measurements. X-ray reflectivity (XRR) measurements reveal that both the density and roughness of the SiN x film increase with increasing film thickness. With an increase in SiN x film thickness, a significant increase in two-dimensional electron gas (2DEG) density, drain current, extrinsic transconductance and negative threshold voltage shift of the In 0.18 Al 0.82 /GaN/Si HEMTs are observed. An optimal thickness of SiN x is ∼100 nm and it yields a substantial increase in 2DEG density (∼30%) with a minimum sheet resistance for In 0.18 Al 0.82 N/GaN/Si heterostructures. Furthermore, we correlate the observed SiN x film thickness-dependent electrical characteristics of In 0.18 Al 0.82 /GaN/Si HEMTs with the density of the SiN x film. (paper)

  17. Si{sub 3}N{sub 4} materials and applications; Si{sub 3}N{sub 4}-Werkstoffe und deren Anwendung

    Energy Technology Data Exchange (ETDEWEB)

    Woetting, G.; Leimer, G.; Gugel, E. [Cremer Forschungsinstitut GmbH und Co. KG, Roedental (Germany)

    2000-07-01

    Silicon nitrides, or silicon nitride-based materials, offer a very wide range of high-duty applications due to their high mechanical strength and good resistance to thermal, corrosive, and/or abrasive wear. Variation and optimization of their properties in response to intended applications is described in detail, also referring to potential new applications. (orig./CB) [German] Siliciumnitrid(Si3N4)- bzw. SN-Werkstoffe finden aufgrund ihrer hohen mechanischen Festigkeit sowie guten thermischen, korrosiven und/oder abrasiven Bestaendigkeit bereits vielfaeltige Anwendungen als hochbelastete Bauteile. Um fuer die jeweiligen Einsatzbedingungen optimale Werkstoffe einzusetzen, sind spezifische Modifizierungen moeglich und sinnvoll. Diesbezueglich kommerziell verfuegbare Si3N4-Werkstoffqualitaeten werden vorgestellt und derzeitige sowie potentielle Anwendungen ausgefuehrt. Die haeufig als Hemmnis fuer einen breiten Einsatz angefuehrten Bauteilkosten werden diskutiert. (orig.)

  18. Enhanced field emission from Si doped nanocrystalline AlN thin films

    International Nuclear Information System (INIS)

    Thapa, R.; Saha, B.; Chattopadhyay, K.K.

    2009-01-01

    Si doped and undoped nanocrystalline aluminum nitride thin films were deposited on various substrates by direct current sputtering technique. X-ray diffraction analysis confirmed the formation of phase pure hexagonal aluminum nitride with a single peak corresponding to (1 0 0) reflection of AlN with lattice constants, a = 0.3114 nm and c = 0.4986 nm. Energy dispersive analysis of X-rays confirmed the presence of Si in the doped AlN films. Atomic force microscopic studies showed that the average particle size of the film prepared at substrate temperature 200 deg. C was 9.5 nm, but when 5 at.% Si was incorporated the average particle size increased to ∼21 nm. Field emission study indicated that, with increasing Si doping concentration, the emission characteristics have been improved. The turn-on field (E to ) was 15.0 (±0.7) V/μm, 8.0 (±0.4) V/μm and 7.8 (±0.5) V/μm for undoped, 3 at.% and 5 at.% Si doped AlN films respectively and the maximum current density of 0.27 μA/cm 2 has been observed for 5 at.% Si doped nanocrystalline AlN film. It was also found that the dielectric properties were highly dependent on Si doping.

  19. On a two-layer Si_3N_4/SiO_2 dielectric mask for low-resistance ohmic contacts to AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Arutyunyan, S. S.; Pavlov, A. Yu.; Pavlov, B. Yu.; Tomosh, K. N.; Fedorov, Yu. V.

    2016-01-01

    The fabrication of a two-layer Si_3N_4/SiO_2 dielectric mask and features of its application in the technology of non-fired epitaxially grown ohmic contacts for high-power HEMTs on AlGaN/GaN heterostructures are described. The proposed Si_3N_4/SiO_2 mask allows the selective epitaxial growth of heavily doped ohmic contacts by nitride molecular-beam epitaxy and the fabrication of non-fired ohmic contacts with a resistance of 0.15–0.2 Ω mm and a smooth surface and edge morphology.

  20. Surface texturing of Si3N4–SiC ceramic tool components by pulsed laser machining

    CSIR Research Space (South Africa)

    Tshabalala, LC

    2016-03-01

    Full Text Available Traditional abrasive techniques such as grinding and lapping have long been used in the surface conditioning of engineering materials. However, in the processing of hard and brittle materials like silicon nitride (Si(sub3)N(sub4)), machining...

  1. MOCVD of hexagonal boron nitride thin films on Si(100) using new single source precursors

    CERN Document Server

    Boo, J H; Yu, K S; Kim, Y S; Kim, Y S; Park, J T

    1999-01-01

    We have been carried out the growth of hexagonal boron nitride (h-BN) thin films on Si(100) substrates by low pressure metal-organic chemical vapor deposition (LPMOCVD) method using triethylborane tert-butylamine complex (TEBTBA), Et sub 3 BNH sub 2 ( sup t Bu), and triethylborane isopropylamine complex (TEBIPA), Et sub 3 BNH sub 2 ( sup t Pr) as a new single molecular precursors in the temperature range of 850 approx 1000 .deg. C. polycrystalline, crack-free h-BN film was successfully grown on Si(100) substrate at 850 .deg. C using TEBTBA. This growth temperature is very lower than those in previous reports. Carbon-rich polycrystalline BN was also obtained at 900 .deg. C from TEBIPA. With increasing substrate temperature to 1000 .deg. C, however, BC sub 4 N-like species are strongly formed along with h-BN and the BN films obtained from both TEBTBA and TEBIPA but almost polycrystalline. To our best knowledge, this is the first report of the growth of h-BN films formed with the new single source precursors of ...

  2. Physical and dispersive optical characteristics of ZrON/Si thin-film system

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [University of Malaya, Centre of Advanced Materials, Department of Mechanical Engineering, Faculty of Engineering, Kuala Lumpur (Malaysia); University of Malaya, Centre of Advanced Manufacturing and Material Processing, Kuala Lumpur (Malaysia); Atuchin, V.V. [Institute of Semiconductor Physics, SB RAS, Laboratory of Optical Materials and Structures, Novosibirsk (Russian Federation); Kruchinin, V.N. [Institute of Semiconductor Physics, SB RAS, Laboratory for Ellipsometry of Semiconductor Materials and Structures, Novosibirsk (Russian Federation); Cheong, Kuan Yew [Universiti Sains Malaysia, Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Seberang Perai Selatan, Penang (Malaysia)

    2014-06-15

    To date, the complex evaluation of physical and dispersive optical characteristics of the ZrON/Si film system has yet been reported. Hence, ZrON thin films have been formed on Si(100) substrates through oxidation/nitridation of sputtered metallic Zr in N{sub 2}O environment at 500, 700, and 900 C. Physical properties of the deposited films have been characterized by X-ray diffractometry (XRD), Fourier transform infrared (FTIR) spectroscopy, reflection high-energy electron diffraction (RHEED), and spectroscopic ellipsometry (SE). It has been shown that ZrON/Si thin films without optical absorption can be prepared by oxidation/nitridation reaction in N{sub 2}O environment at 700-900 C. (orig.)

  3. Large resistive-switching phenomena observed in Ag/Si3N4/Al memory cells

    International Nuclear Information System (INIS)

    Kim, Hee-Dong; An, Ho-Myoung; Kim, Kyoung Chan; Seo, Yujeong; Kim, Tae Geun; Nam, Ki-Hyun; Chung, Hong-Bay; Lee, Eui Bok

    2010-01-01

    An effective resistive-switching effect has been observed in silicon nitride (Si 3 N 4 ) dielectrics in Ag/Si 3 N 4 /Al memory cells. The ratio of the low resistance to high resistance state was larger than 10 7 at ±1.2 V for a 10 nm thick Si 3 N 4 layer. This switching behavior is attributed to a change in the conductivity of the Si 3 N 4 dielectrics, depending on whether nitride-related traps are filled with electrons under positive biases or unfilled under negative biases. This assertion is experimentally confirmed from the relationship between the amount of charges trapped in the Si 3 N 4 layer and the corresponding changes in its resistance with respect to bias voltages. In addition, the formation or dissolution of the conductive path is confirmed by conductive atomic force microscopy current images

  4. The reduction of the change of secondary ions yield in the thin SiON/Si system

    International Nuclear Information System (INIS)

    Sameshima, J.; Yamamoto, H.; Hasegawa, T.; Nishina, T.; Nishitani, T.; Yoshikawa, K.; Karen, A.

    2006-01-01

    For the analyses of gate insulating materials of thin silicon oxy-nitride (SiON) and dielectric films, SIMS is one of the available tool along with TEM and ESCA, etc. Especially, to investigate the distribution of dopant in the thin films, SIMS is appreciably effective in these techniques because of its depth profiling capability and high sensitivity. One of the problem occurring in this SIMS measurement is the change of secondary ion yield at the interface as well as in the layers with different chemical composition. To solve this problem, some groups have researched the phenomenon for SiO 2 /Si interface [W. Vandervorst, T. Janssens, R. Loo, M. Caymax, I. Peytier, R. Lindsay, J. Fruhauf, A. Bergmaier, G. Dollinger, Appl. Surf. Sci. 203-204 (2003) 371-376; S. Hayashi, K.Yanagihara, Appl. Surf. Sci. 203-204 (2003) 339-342; M. Barozzi, D. Giubertoni, M.Anderle, M. Bersani, Appl. Surf. Sci. 231-232 (2004) 632-635; T.H. Buyuklimanli, J.W. Marino, S.W. Novak, Appl. Surf. Sci. 231-232 (2004) 636-639]. In the present study, profiles of boron and matrix elements in the Si/SiON layers on Si substrate have been investigated. The sensitivity change of Si and B profiles in SiON layer become smaller by using oxygen flood than those without oxygen flood for both O 2 + and Cs + beam. At the range of 0-25 at.% of N composition, 11 B dosimetry in SiON layer implanted through amorphous Si depends on N composition. This trend could be caused by the sensitivity change of 11 B, or it indicates real 11 B concentration change in SiON lyaer. N areal density determined by Cs + SIMS with oxygen flooding also shows linear relationship with N composition estimated by XPS

  5. Properties of thermally oxidized and nitrided Zr-oxynitride thin film on 4H–SiC in diluted N2O ambient

    International Nuclear Information System (INIS)

    Wong, Yew Hoong; Cheong, Kuan Yew

    2012-01-01

    A systematic investigation on the structural, chemical, and electrical properties of thermally oxidized and nitrided sputtered Zr thin film in various N 2 O ambient (10–100%) at 500 °C for 15 min to form Zr-oxynitride on 4H–SiC substrate has been carried out. The chemical composition, depth profile analysis, and energy band alignment have been evaluated by X-ray photoelectron spectrometer. Zr-oxynitride layer and its interfacial layer comprised of compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N were identified. A model related to the oxidation and nitridation mechanism has been suggested. Supportive results related to the model were obtained by energy filtered transmission electron microscopy, X-ray diffraction, and Raman analyses. A proposed crystal structure was employed to elucidate the surface roughness and topographies of the samples, which were characterized by atomic force microscopy. The electrical results revealed that 10% N 2 O sample has possessed the highest breakdown field and reliability. This was owing to the confinement of nitrogen-related compounds of Zr–O–N and/or Zr–N at or near interfacial layer region, smaller grain with finer structure on the surface, the lowest interface trap density, total interface trap density, and effective oxide charge, and highest barrier height between conduction band edge of oxide and semiconductor. -- Highlights: ► Zr-oxynitride as the gate oxide deposited on 4H–SiC substrate. ► Simultaneous oxidation and nitridation of sputtered Zr thin film on 4H–SiC using various concentrations of N 2 O gas. ► Presence of interfacial layer comprised of mixed compounds related to Zr–O, Zr–N, Zr–O–N, Si–N, and/or C–N. ► The highest electrical breakdown and highest reliability at diluted N 2 O of 10%.

  6. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  7. Buffer-eliminated, charge-neutral epitaxial graphene on oxidized 4H-SiC (0001) surface

    International Nuclear Information System (INIS)

    Sirikumara, Hansika I.; Jayasekera, Thushari

    2016-01-01

    Buffer-eliminated, charge-neutral epitaxial graphene (EG) is important to enhance its potential in device applications. Using the first principles Density Functional Theory calculations, we investigated the effect of oxidation on the electronic and structural properties of EG on 4H-SiC (0001) surface. Our investigation reveals that the buffer layer decouples from the substrate in the presence of both silicate and silicon oxy-nitride at the interface, and the resultant monolayer EG is charge-neutral in both cases. The interface at 4H-SiC/silicate/EG is characterized by surface dangling electrons, which opens up another route for further engineering EG on 4H-SiC. Dangling electron-free 4H-SiC/silicon oxy-nitride/EG is ideal for achieving charge-neutral EG.

  8. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  10. Spectroscopic and calorimetric investigation of short and intermediate-range structures and energetics of amorphous SiCO, SiCN, and SiBCN polymer-derived ceramics

    Science.gov (United States)

    Widgeon, Scarlett J.

    transport properties such as electrical conductivity and viscosity may show power-law dependence on composition near and above the percolation threshold of the SiOC network or that of the free-carbon phase. Si(B)CN PDCs with different carbon contents were synthesized by pyrolysis of poly(boro)silylcarbodiimides and poly(boro)silazane precursors and their structure and energetics were studied using multi-nuclear, one- and two- dimensional NMR spectroscopy and oxide melt solution calorimetry. The structure of the polysilylcarbodiimide-derived SiCN PDCs at lower carbon content and pyrolysis temperatures (800 oC) consists of amorphous nanodomains of sp2 carbon and silicon nitride with an interfacial bonding between N, C and Si atoms that is stabilized by the presence of hydrogen. The interfacial Si-C and N-C bonds are destroyed with concomitant hydrogen loss upon increasing the pyrolysis temperature to 1100 oC. Calorimetry results demonstrate that the mixed bonding in the interfacial regions play a key role in the thermodynamic stabilization of these PDCs. The size of the carbon domains increases with increasing carbon content until a continuous amorphous carbon matrix is formed with 55-60 wt % C. The polyborosilylcarbodiimide-derived SiBCN ceramics contain carbon and silicon nitride nanodomains with the BN domains being present predominantly at the interface. In contrast, the structure of the polyborosilazane-derived ceramics consists of significant amount of mixed bonding in the nearest-neighbor coordination environments of Si and B atoms leading to the formation of SiC xN4-x tetrahedral units and BCN2 triangular units. The interfacial region between the SiCN and C nanodomains is occupied by the BCN phase. These results demonstrate that the chemistry of the polymeric precursors exerts major influence on the microstructure and bonding in their derived ceramics.

  11. Sintering behaviour and phase relationships of Si[sub 3]N[sub 4] ceramics in the Si[sub 3]N[sub 4]-SiO[sub 2]-MgO-Y[sub 2]O[sub 3] system. Sinterverhalten und Phasenbeziehungen von Si[sub 3]N[sub 4]-Keramiken im System Si[sub 3]N[sub 4]-SiO[sub 2]-MgO-Y[sub 2]O[sub 3

    Energy Technology Data Exchange (ETDEWEB)

    Mahoney, F.M.

    1992-10-12

    The aim of this work is the investigation of the sintering or crystallisation behaviour of Si[sub 3]N[sub 4] ceramics depending on the additive composition in the Si[sub 3]N[sub 4]-SiO[sub 2]-MgO-Y[sub 2]O[sub 3] system. With regard to the complicated manufacturing process of sintered and heat-treated Si[sub 3]N[sub 4] ceramics, one should first determine which additive compositions make complete compression possible. The effect of the composition on the volume and the viscosity of the melting phase should be cleared up, where determining the Si[sub 3]N[sub 4] solubility relative to the additive composition is of special importance. The phase relationships between Si[sub 3]N[sub 4] and the possible crystalline secondary phases should be determined for the crystallisation behaviour. Due to the very fine distribution of only a 5-15% proportion of additive in conventional Si[sub 3]N[sub 4] samples, a characterisation of the secondary phases is difficult to carry out with X-ray or REM/EDX analysis. Therefore, experiments with oxy-nitridic model samples were carried out in this work, which have the same phase relationships as conventional Si[sub 3]N[sub 4] compositions, but with an appreciably higher proportion of additive. The possibility of transferring the results of the model samples were tested on examples of three Si[sub 3]N[sub 4] ceramics. (orig.)

  12. Electrical evaluation of crack generation in SiN_x and SiO_xN_y thin-film encapsulation layers for OLED displays

    International Nuclear Information System (INIS)

    Park, Eun Kil; Kim, Sungmin; Heo, Jaeyeong; Kim, Hyeong Joon

    2016-01-01

    Highlights: • Crack generation in encapsulation layers were detected by leakage current. • Atomic concentration of SiO_xN_y films affected the bending reliability. • The shapes of the crack tips were affected by the stoichiometry of the SiO_xN_y films. - Abstract: By measuring leakage current density, we detected crack generation in silicon nitride (SiN_x) and silicon oxynitride (SiO_xN_y) thin-film encapsulation layers, and correlated with the films’ water vapor permeability characteristics. After repeated bending cycles, both the changes in water vapor transmission rate and leakage current density were directly proportional to the crack density. Thick SiN_x films had better water vapor barrier characteristics in their pristine state, but cyclic loading led to fast failure. Varying the atomic concentration of the SiO_xN_y films affected their bending reliability. We attribute these differences to changes in the shape of the crack tip as the oxygen content varies.

  13. Emission Mechanisms of Si Nanocrystals and Defects in SiO2 Materials

    Directory of Open Access Journals (Sweden)

    José Antonio Rodríguez

    2014-01-01

    Full Text Available Motivated by the necessity to have all silicon optoelectronic circuits, researchers around the world are working with light emitting silicon materials. Such materials are silicon dielectric compounds with silicon content altered, such as silicon oxide or nitride, enriched in different ways with Silicon. Silicon Rich Oxide or silicon dioxide enriched with silicon, and silicon rich nitride are without a doubt the most promising materials to reach this goal. Even though they are subjected to countless studies, the light emission phenomenon has not been completely clarified. So, a review of different proposals presented to understand the light emission phenomenon including emissions related to nanocrystals and to point defects in SiO2 is presented.

  14. Influence of a-Si:H deposition power on surface passivation property and thermal stability of a-Si:H/SiNx:H stacks

    Directory of Open Access Journals (Sweden)

    Hua Li

    2012-06-01

    Full Text Available The effectiveness of hydrogenated amorphous silicon (a-Si:H layers for passivating crystalline silicon surfaces has been well documented in the literature for well over a decade. One limitation of such layers however has arisen from their inability to withstand temperatures much above their deposition temperature without significant degradation. This limitation is of importance particularly with multicrystalline silicon materials where temperatures of at least 400°C are needed for effective hydrogenation of the crystallographic defects such as grain boundaries. To address this limitation, in this work the surface passivation quality and thermal stability of a stack passivating system, combining a layer of intrinsic a-Si:H and a capping layer of silicon nitride (SiNx:H, on p-type crystalline silicon wafers is studied and optimized. In particular the sensitivity of different microwave (MW power levels for underlying a-Si:H layer deposition are examined. Both effective minority carrier lifetime (ζeff measurement and Fourier transform infrared (FTIR spectrometry were employed to study the bonding configurations, passivating quality and thermal stability of the a-Si:H/SiNx:H stacks. It is established that the higher MW power could result in increased as-deposited ζeff and implied Voc (iVoc values, indicating likely improved surface passivation quality, but that this combination degrades more quickly when exposed to prolonged thermal treatments. The more dihydride-rich film composition corresponding to the higher MW power appears to be beneficial for bond restructuring by hydrogen interchanges when exposed to short term annealing, however it also appears more susceptible to providing channels for hydrogen out-effusion which is the likely cause of the poorer thermal stability for prolonged high temperature exposure compared with stacks with underlying a-Si:H deposited with lower MW power.

  15. Thermodynamics of silicon nitridation - Effect of hydrogen

    Science.gov (United States)

    Shaw, N. J.; Zeleznik, F. J.

    1982-01-01

    Equilibrium compositions for the nitridization of Si were calculated to detect the effectiveness of H2 in removal of the oxide film and in increasing the concentration of SiO and reducing the proportions of O2. Gibbs free energy for the formation of SiN2O was computed above 1685 K, and at lower temperatures. The thermodynamic properties of SiN2O2 were then considered from 1000-3000 K, taking into account the known thermodynamic data for 39 molecular combinations of the Si, Ni, and O. The gases formed were assumed ideal mixtures with pure phase condensed species. The mole fractions were obtained for a system of SiO2 with each Si particle covered with a thin layer of SiO2 before nitridation, and a system in which the nitriding atmosphere had access to the Si. The presence of H2 was determined to enhance the removal of NiO2 in the first system, decrease the partial pressure of O2, increase the partial pressures of SiO, Si, H2O, NH3, and SiH4, while its effects were negligible in the Si system.

  16. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  17. Ordering at Si(111)/o-Si and Si(111)/SiO2 Interfaces

    DEFF Research Database (Denmark)

    Robinson, I. K.; Waskiewicz, W. K.; Tung, R. T.

    1986-01-01

    X-ray diffraction has been used to measure the intensity profile of the two-dimensional rods of scattering from a single interface buried inside a bulk material. In both Si(111)/a-Si and Si(111)/SiO2 examples there are features in the perpendicular-momentum-transfer dependence which are not expec...... are not expected from an ideal sharp interface. The diffraction profiles are explained by models with partially ordered layers extending into the amorphous region. In the Si(111)/a-Si case there is clear evidence of stacking faults which are attributed to residual 7×7 reconstruction....

  18. Silicon nitride and YMgSiAlON glass study by mechanical spectroscopy

    International Nuclear Information System (INIS)

    Doen, B.; Gadaud, P.

    1996-01-01

    Si 3 N 4 /TiN and YMgSiAlON/SiC composites have been studied by isothermal mechanical spectroscopy over a large frequency range. Internal friction curves obtained for the composite Si 3 N 4 -TiN(30% vol)-Al 2 O 3 , Y 2 O 3 (7.5% vol) exhibit a thermally activated pseudo peak superposed on a non purely exponential background. We can assume that this maximum is due to relaxations in the compressed intergranular glassy films. The apparent dynamical parameters deduced from its shift (11.2 eV and 3.10 -45 s) are unusual as observed in amorphous materials. YMgSiAlON glass has a composition very similar to the one of the intergranular phase of Si 3 N 4 /TiN composite. The damping curves obtained for YMgSiAlON/SiC composites above the glass transition temperature are pure exponential backgrounds. An original analysis of these curves allows to determine an activation energy of about 3.6 eV. This value is more realistic for an elementary diffusion mechanism in a glass near Tg. (orig.)

  19. On a two-layer Si{sub 3}N{sub 4}/SiO{sub 2} dielectric mask for low-resistance ohmic contacts to AlGaN/GaN HEMTs

    Energy Technology Data Exchange (ETDEWEB)

    Arutyunyan, S. S., E-mail: spartakmain@gmail.com; Pavlov, A. Yu.; Pavlov, B. Yu.; Tomosh, K. N.; Fedorov, Yu. V. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation)

    2016-08-15

    The fabrication of a two-layer Si{sub 3}N{sub 4}/SiO{sub 2} dielectric mask and features of its application in the technology of non-fired epitaxially grown ohmic contacts for high-power HEMTs on AlGaN/GaN heterostructures are described. The proposed Si{sub 3}N{sub 4}/SiO{sub 2} mask allows the selective epitaxial growth of heavily doped ohmic contacts by nitride molecular-beam epitaxy and the fabrication of non-fired ohmic contacts with a resistance of 0.15–0.2 Ω mm and a smooth surface and edge morphology.

  20. Study of Si/Si, Si/SiO2, and metal-oxide-semiconductor (MOS) using positrons

    International Nuclear Information System (INIS)

    Leung, To Chi.

    1991-01-01

    A variable-energy positron beam is used to study Si/Si, Si/SiO 2 , and metal-oxide-semiconductor (MOS) structures. The capability of depth resolution and the remarkable sensitivity to defects have made the positron annihilation technique a unique tool in detecting open-volume defects in the newly innovated low temperature (300C) molecular-beam-epitaxy (MBE) Si/Si. These two features of the positron beam have further shown its potential role in the study of the Si/SiO 2 . Distinct annihilation characteristics has been observed at the interface and has been studied as a function of the sample growth conditions, annealing (in vacuum), and hydrogen exposure. The MOS structure provides an effective way to study the electrical properties of the Si/SiO 2 interface as a function of applied bias voltage. The annihilation characteristics show a large change as the device condition is changed from accumulation to inversion. The effect of forming gas (FG) anneal is studied using positron annihilation and the result is compared with capacitance-voltage (C-V) measurements. The reduction in the number of interface states is found correlated with the changes in the positron spectra. The present study shows the importance of the positron annihilation technique as a non-contact, non-destructive, and depth-sensitive characterization tool to study the Si-related systems, in particular, the Si/SiO 2 interface which is of crucial importance in semiconductor technology, and fundamental understanding of the defects responsible for degradation of the electrical properties

  1. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  2. Analysis of the properties of silicon nitride based ceramic (Si_3N_4) cutting tool using different addictive

    International Nuclear Information System (INIS)

    Pereira, Joaquim Lopes; Souza, Jose Vitor Candido de; Raymundo, Emerson Augusto; Silva, Oliverio Macedo Moreira

    2013-01-01

    The constant search for new materials is part of the scientific and technological development of the industries. Ceramic been presenting important developments in terms of scientific and technological development, highlighting the predominance of covalent ceramics, which has important applications where abrasion resistance and hardness are required. Between covalent materials, several research papers in search of property improvements and cost reduction. However the production of ceramics of silicon nitride (Si_3N_4) with a reduced cost is possible only if used methods and different additives. The aim of this work is the development of compositions based on silicon nitride (Si_3N_4) using different additives such as Y_2O_3, CeO_2, Al_2O_3 , and CTR_2O_3 in varying amounts. For the development of ceramics, the mixtures were homogenized, dried, compacted and sintered using the sintering process of 1850°C for 1 hour, with a heating rate of 25°C/min. The characterizations were performed as a function of relative density by Archimedes method, the mass loss measured before and after sintering, phase analysis by X-ray diffraction, microstructure by scanning electron microscopy (SEM), and hardness and fracture toughness indentation method. The results showed relative density 97-98, Vickers hardness 17-19 GPa, fracture toughness from 5.6 to 6.8 MPa.m"1"/"2. The different phases were obtained depending on the types of additives used. The obtained results are promising for tribological applications. (author)

  3. Ion beam induces nitridation of silicon

    International Nuclear Information System (INIS)

    Petravic, M.; Williams, J.S.; Conway, M.

    1998-01-01

    High dose ion bombardment of silicon with reactive species, such as oxygen and nitrogen, has attracted considerable interest due to possible applications of beam-induced chemical compounds with silicon. For example, high energy oxygen bombardment of Si is now routinely used to form buried oxide layers for device purposes, the so called SIMOX structures. On the other hand, Si nitrides, formed by low energy ( 100 keV) nitrogen beam bombardment of Si, are attractive as oxidation barriers or gate insulators, primarily due to the low diffusivity of many species in Si nitrides. However, little data exists on silicon nitride formation during bombardment and its angle dependence, in particular for N 2 + bombardment in the 10 keV range, which is of interest for analytical techniques such as SIMS. In SIMS, low energy oxygen ions are more commonly used as bombarding species, as oxygen provides stable ion yields and enhances the positive secondary ion yield. Therefore, a large body of data can be found in the literature on oxide formation during low energy oxygen bombardment. Nitrogen bombardment of Si may cause similar effects to oxygen bombardment, as nitrogen and oxygen have similar masses and ranges in Si, show similar sputtering effects and both have the ability to form chemical compounds with Si. In this work we explore this possibility in some detail. We compare oxide and nitride formation during oxygen and nitrogen ion bombardment of Si under similar conditions. Despite the expected similar behaviour, some large differences in compound formation were found. These differences are explained in terms of different atomic diffusivities in oxides and nitrides, film structural differences and thermodynamic properties. (author)

  4. N{sub 2}O + SO{sub 2} reaction over Si- and C-doped boron nitride nanotubes: A comparative DFT study

    Energy Technology Data Exchange (ETDEWEB)

    Esrafili, Mehdi D., E-mail: esrafili@maragheh.ac.ir; Saeidi, Nasibeh

    2017-05-01

    Highlights: • The mechanisms of N{sub 2}O + SO{sub 2} reaction are investigated over Si- and C-doped BNNTs. • Both Si- and C-doped BNNTs can be used as an efficient catalyst for the N{sub 2}O + SO{sub 2} reaction. • The 2N{sub 2}O → O{sub 2} + N{sub 2} reaction needs a large activation energy over both surfaces. - Abstract: Density functional theory calculations are performed to investigate the mechanisms of N{sub 2}O reduction by SO{sub 2} over Si- and C-doped (6,0) boron nitride nanotubes (BNNTs). According to our results, the Si or C adatom can be strongly stabilized over the vacancy defect of the BNNT. The adsorption energy of Si and C atoms over defective BNNT is calculated to be −297.3 and −333.7 kcal/mol, respectively, indicating a strong interaction between these dopant atoms and the tube surface. The N{sub 2}O reduction reaction includes the decomposition of N{sub 2}O (i.e. N{sub 2}O → N{sub 2} + O*), followed by the reduction of O* by SO{sub 2} molecule (i.e. SO{sub 2} + O* → SO{sub 3}). The calculated energy barrier of the SO{sub 2} + O* → SO{sub 3} reaction on Si- and C-doped BNNTs is 2.4 and 5.4 kcal/mol, respectively. Moreover, the effects of tube diameter and length on the N{sub 2}O reduction are studied in detail. The disproportionation of N{sub 2}O molecules (2N{sub 2}O → 2N{sub 2} + O{sub 2}) over both surfaces needs a quite large activation energy, which indicates the impossibility of this reaction at ambient condition. The results show that both Si- and C-doped BNNTs can be viewed as an effective green catalyst for the reduction of N{sub 2}O.

  5. Self-activated, self-limiting reactions on Si surfaces

    DEFF Research Database (Denmark)

    Morgen, Per; Hvam, Jeanette; Bahari, Ali

    The direct thermally activated reactions of oxygen and ammonia with Si surfaces in furnaces have been used for a very long time in the semiconductor industry for the growth of thick oxides and nitride layers respectively. The oxidation mechanism was described in the Deal-Grove model as a diffusion...... mechanism for the direct growth of ultrathin films (0-3 nm) of oxides and nitrides under ultrahigh vacuum conditions. Neutral oxygen and a microwave excited nitrogen plasma interact directly with Si surfaces kept at different temperatures during the reaction. The gas pressures are around 10-6 Torr...... energy of an oxide system, which happened for an ordered structure, at a thickness of 0.7-0.8 nm. Thus this thin oxide structure has definite crystalline features. We have closely monitored the reaction kinetics with normal x-ray induced photoelectron spectroscopies, and also the structure, composition...

  6. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  7. Gibbs free energy of reactions involving SiC, Si3N4, H2, and H2O as a function of temperature and pressure

    Science.gov (United States)

    Isham, M. A.

    1992-01-01

    Silicon carbide and silicon nitride are considered for application as structural materials and coating in advanced propulsion systems including nuclear thermal. Three-dimensional Gibbs free energy were constructed for reactions involving these materials in H2 and H2/H2O. Free energy plots are functions of temperature and pressure. Calculations used the definition of Gibbs free energy where the spontaneity of reactions is calculated as a function of temperature and pressure. Silicon carbide decomposes to Si and CH4 in pure H2 and forms a SiO2 scale in a wet atmosphere. Silicon nitride remains stable under all conditions. There was no apparent difference in reaction thermodynamics between ideal and Van der Waals treatment of gaseous species.

  8. Solar-blind AlxGa1-xN/AlN/SiC photodiodes with a polarization-induced electron filter

    Science.gov (United States)

    Rodak, L. E.; Sampath, A. V.; Gallinat, C. S.; Chen, Y.; Zhou, Q.; Campbell, J. C.; Shen, H.; Wraback, M.

    2013-08-01

    Heterogeneous n-III-nitride/i-p silicon carbide (SiC) photodetectors have been demonstrated that enable the tailoring of the spectral response in the solar blind region below 280 nm. The negative polarization induced charge at the aluminum gallium nitride (AlxGa1-xN)/aluminum nitride (AlN) interface in conjunction with the positive polarization charge at the AlN/SiC interface creates a large barrier to carrier transport across the interface that results in the selective collection of electrons photoexcited to the Γ and L valleys of SiC while blocking the transport of electrons generated in the M valley. In addition, the AlxGa1-xN alloys act as transparent windows that enhance the collection of carriers generated by high energy photons in the fully depleted SiC absorption regions. These two factors combine to create a peak external quantum efficiency of 76% at 242 nm, along with a strong suppression of the long-wavelength response from 260 nm to 380 nm.

  9. Surface Defect Passivation and Reaction of c-Si in H2S.

    Science.gov (United States)

    Liu, Hsiang-Yu; Das, Ujjwal K; Birkmire, Robert W

    2017-12-26

    A unique passivation process of Si surface dangling bonds through reaction with hydrogen sulfide (H 2 S) is demonstrated in this paper. A high-level passivation quality with an effective minority carrier lifetime (τ eff ) of >2000 μs corresponding to a surface recombination velocity of passivation by monolayer coverage of S on the Si surface. However, S passivation of the Si surface is highly unstable because of thermodynamically favorable reaction with atmospheric H 2 O and O 2 . This instability can be eliminated by capping the S-passivated Si surface with a protective thin film such as low-temperature-deposited amorphous silicon nitride.

  10. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  11. Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride

    International Nuclear Information System (INIS)

    Choi, H.Y.; Wong, H.; Filip, V.; Sen, B.; Kok, C.W.; Chan, M.; Poon, M.C.

    2006-01-01

    It was recently found that the silicon oxynitride prepared by oxidation of silicon-rich silicon nitride (SRN) has several important features. The high nitrogen and extremely low hydrogen content of this material allows it to have a high dielectric constant and a low trap density. The present work investigates in further detail the electrical reliability of this kind of gate dielectric films by studying the charge trapping and interface state generation induced by constant current stressing. Capacitance-voltage (C-V) measurements indicate that for oxidation temperatures of 850 and 950 deg. C, the interface trap generation is minimal because of the high nitrogen content at the interface. At a higher oxidation temperature of 1050 deg. C, a large flatband shift is found for constant current stressing. This observation can be explained by the significant reduction of the nitrogen content and the phase separation effect at this temperature as found by X-ray photoelectron spectroscopy study. In addition to the high nitrogen content, the Si atoms at the interface exist in the form of random bonding to oxygen and nitrogen atoms for samples oxidized at 850 and 950 deg. C. This structure reduces the interface bonding constraint and results in the low interface trap density. For heavily oxidized samples the trace amount of interface nitrogen atoms exist in the form of a highly constraint SiN 4 phase and the interface oxynitride layer is a random mixture of SiO 4 and SiN 4 phases, which consequently reduces the reliability against high energy electron stressing

  12. Nonvolatile field effect transistors based on protons and Si/SiO2Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Vanheusden, K.; Fleetwood, D.M.; Schwank, J.R.; Winokur, P.S.; Knoll, M.G.; Devine, R.A.B.

    1997-01-01

    Recently, the authors have demonstrated that annealing Si/SiO 2 /Si structures in a hydrogen containing ambient introduces mobile H + ions into the buried SiO 2 layer. Changes in the H + spatial distribution within the SiO 2 layer were electrically monitored by current-voltage (I-V) measurements. The ability to directly probe reversible protonic motion in Si/SiO 2 /Si structures makes this an exemplar system to explore the physics and chemistry of hydrogen in the technologically relevant Si/SiO 2 structure. In this work, they illustrate that this effect can be used as the basis for a programmable nonvolatile field effect transistor (NVFET) memory that may compete with other Si-based memory devices. The power of this novel device is its simplicity; it is based upon standard Si/SiO 2 /Si technology and forming gas annealing, a common treatment used in integrated circuit processing. They also briefly discuss the effects of radiation on its retention properties

  13. Blue-emitting LaSi3N5:Ce3+ fine powder phosphor for UV-converting white light-emitting diodes

    Science.gov (United States)

    Suehiro, Takayuki; Hirosaki, Naoto; Xie, Rong-Jun; Sato, Tsugio

    2009-08-01

    We have synthesized the pure ternary nitride phosphor, LaSi3N5:Ce3+ from the multicomponent oxide system La2O3-CeO2-SiO2, by using the gas-reduction-nitridation method. Highly pure, single-phase LaSi3N5:Ce3+ powders possessing particle sizes of ˜0.4-0.6 μm were obtained with the processing temperature ≤1500 °C. The synthesized LaSi3N5:Ce3+ exhibits tunable blue broadband emission with the dominant wavelength of 464-475 nm and the external quantum efficiency of ˜34%-67% under excitation of 355-380 nm. A high thermal stability of LaSi3N5:Ce3+ compared to the existing La-Si-O-N hosts was demonstrated, indicating the promising applicability as a blue-emitting phosphor for UV-converting white light-emitting diodes.

  14. Fusion bonding of silicon nitride surfaces

    DEFF Research Database (Denmark)

    Reck, Kasper; Østergaard, Christian; Thomsen, Erik Vilain

    2011-01-01

    While silicon nitride surfaces are widely used in many micro electrical mechanical system devices, e.g. for chemical passivation, electrical isolation or environmental protection, studies on fusion bonding of two silicon nitride surfaces (Si3N4–Si3N4 bonding) are very few and highly application...

  15. Interaction in polysilazane/SiC powder systems

    Energy Technology Data Exchange (ETDEWEB)

    Boiteux, Y.P.

    1992-07-01

    Consolidation of ceramic precursor ceramic powder systems upon heating is investigated. A polysilazane (silicon nitride precursor) is chosen as ceramic precursor with a filler of a sub-micron SiC powder. A scheme to optimize the volume fraction of precursor is developed in order to maximize the density of the compacted samples in the green state. Different techniques are presented to improve the homogeneity of precursor distribution in the mixture. A microencapsulation technique is developed that leads to a uniform coating of precursor on individual SiC particles. Upon pyrolysis of systems with 20 wt% polysilazane, little shrinkage occurs. The SiC particles do not coarsen during the heat treatment. The precursor, upon pyrolysis, transforms into an amorphous ceramic phase that acts as a cement between SiC particles. This cement phase can remain amorphous up to 1500{degrees}C; and is best described as a siliconoxycarbide with or without traces of nitrogen. Elimination of nitrogen in the amorphous phase indicates that the filler material (SiC) has a strong influence on the pyrolysis behavior of the chosen polysilazane. The amorphous ceramic phase may crystallize between 1400 and 1500{degrees}C, and depending on the nature of the gas environment, the crystalline phases are SiC, Si or Si{sub 3}N{sub 4}. Mechanisms explaining the strength increase upon heat treatment are proposed. Redistribution of the precursor occurs by capillary forces or vapor phase diffusion and recondensation of volatile monomers. The confined pyrolysis of the precursor results in an increase of residual ceramic matter being decomposed inside the sample. Interfacial reaction between the native silica-rich surface layer on SiC particles and the precursor derived phase explains the high strength of the materials.

  16. Enhancement of optical absorption of Si (100) surfaces by low energy N+ ion beam irradiation

    Science.gov (United States)

    Bhowmik, Dipak; Karmakar, Prasanta

    2018-05-01

    The increase of optical absorption efficiency of Si (100) surface by 7 keV and 8 keV N+ ions bombardment has been reported here. A periodic ripple pattern on surface has been observed as well as silicon nitride is formed at the ion impact zones by these low energy N+ ion bombardment [P. Karmakar et al., J. Appl. Phys. 120, 025301 (2016)]. The light absorption efficiency increases due to the presence of silicon nitride compound as well as surface nanopatterns. The Atomic Force Microscopy (AFM) study shows the formation of periodic ripple pattern and increase of surface roughness with N+ ion energy. The enhancement of optical absorption by the ion bombarded Si, compared to the bare Si have been measured by UV - visible spectrophotometer.

  17. Reduced thermal conductivity due to scattering centers in p-type SiGe alloys

    International Nuclear Information System (INIS)

    Beaty, J.S.; Rolfe, J.L.; Vandersande, J.; Fleurial. J.P.

    1992-01-01

    This paper reports that a theoretical model has been developed that predicts that the addition of ultra-fine, inert, phonon-scattering centers to SiGe thermoelectric material will reduce its thermal conductivity and improve its figure-of-merit. To investigate this prediction, ultra-fine particulates (20 Angstrom to 200 Angstrom) of boron nitride have been added to boron doped, p-type, 80/20 SiGe. All previous SiGe samples produced from ultra-fine SiGe powder without additions had lower thermal conductivities than standard SiGe, but high temperature (1525 K) heat treatment increased their thermal conductivity back to the value for standard SiGe. Transmission Electron Microscopy has been used to confirm the presence of occluded particulates and X-ray diffraction has been used to determine the composition to be BN

  18. Sub-barrier fusion of Si+Si systems

    Science.gov (United States)

    Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Bourgin, D.; Čolović, P.; Corradi, L.; Courtin, S.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Urbani, M.; Szilner, S.; Zhang, G. L.

    2017-11-01

    The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC) calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3- excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.

  19. Sub-barrier fusion of Si+Si systems

    Directory of Open Access Journals (Sweden)

    Colucci G.

    2017-01-01

    Full Text Available The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3− excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.

  20. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  1. Electrical evaluation of crack generation in SiN{sub x} and SiO{sub x}N{sub y} thin-film encapsulation layers for OLED displays

    Energy Technology Data Exchange (ETDEWEB)

    Park, Eun Kil [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Display Research Center, Samsung Display Co., Ltd., Yongin-City, Gyeonggi-Do 446-711 (Korea, Republic of); Kim, Sungmin [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Heo, Jaeyeong, E-mail: jheo@jnu.ac.kr [Department of Materials Science and Engineering, and the Optoelectronics Convergence Research Center, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of)

    2016-05-01

    Highlights: • Crack generation in encapsulation layers were detected by leakage current. • Atomic concentration of SiO{sub x}N{sub y} films affected the bending reliability. • The shapes of the crack tips were affected by the stoichiometry of the SiO{sub x}N{sub y} films. - Abstract: By measuring leakage current density, we detected crack generation in silicon nitride (SiN{sub x}) and silicon oxynitride (SiO{sub x}N{sub y}) thin-film encapsulation layers, and correlated with the films’ water vapor permeability characteristics. After repeated bending cycles, both the changes in water vapor transmission rate and leakage current density were directly proportional to the crack density. Thick SiN{sub x} films had better water vapor barrier characteristics in their pristine state, but cyclic loading led to fast failure. Varying the atomic concentration of the SiO{sub x}N{sub y} films affected their bending reliability. We attribute these differences to changes in the shape of the crack tip as the oxygen content varies.

  2. Joining of SiC ceramics and SiC/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Rabin, B.H. [Idaho National Engineering Lab., Idaho Falls, ID (United States)

    1996-08-01

    This project has successfully developed a practical and reliable method for fabricating SiC ceramic-ceramic joints. This joining method will permit the use of SiC-based ceramics in a variety of elevated temperature fossil energy applications. The technique is based on a reaction bonding approach that provides joint interlayers compatible with SiC, and excellent joint mechanical properties at temperatures exceeding 1000{degrees}C. Recent emphasis has been given to technology transfer activities, and several collaborative research efforts are in progress. Investigations are focusing on applying the joining method to sintered {alpha}-SiC and fiber-reinforced SiC/SiC composites for use in applications such as heat exchangers, radiant burners and gas turbine components.

  3. A new material platform of Si photonics for implementing architecture of dense wavelength division multiplexing on Si bulk wafer

    Science.gov (United States)

    Zhang, Ziyi; Yako, Motoki; Ju, Kan; Kawai, Naoyuki; Chaisakul, Papichaya; Tsuchizawa, Tai; Hikita, Makoto; Yamada, Koji; Ishikawa, Yasuhiko; Wada, Kazumi

    2017-12-01

    A new materials group to implement dense wavelength division multiplexing (DWDM) in Si photonics is proposed. A large thermo-optic (TO) coefficient of Si malfunctions multiplexer/demultiplexer (MUX/DEMUX) on a chip under thermal fluctuation, and thus DWDM implementation, has been one of the most challenging targets in Si photonics. The present study specifies an optical materials group for DWDM by a systematic survey of their TO coefficients and refractive indices. The group is classified as mid-index contrast optics (MiDex) materials, and non-stoichiometric silicon nitride (SiNx) is chosen to demonstrate its significant thermal stability. The TO coefficient of non-stoichiometric SiNx is precisely measured in the temperature range 24-76 °C using the SiNx rings prepared by two methods: chemical vapor deposition (CVD) and physical vapor deposition (PVD). The CVD-SiNx ring reveals nearly the same TO coefficient reported for stoichiometric CVD-Si3N4, while the value for the PVD-SiNx ring is slightly higher. Both SiNx rings lock their resonance frequencies within 100 GHz in this temperature range. Since CVD-SiNx needs a high temperature annealing to reduce N-H bond absorption, it is concluded that PVD-SiNx is suited as a MiDex material introduced in the CMOS back-end-of-line. Further stabilization is required, considering the crosstalk between two channels; a 'silicone' polymer is employed to compensate for the temperature fluctuation using its negative TO coefficient, called athermalization. This demonstrates that the resonance of these SiNx rings is locked within 50 GHz at the same temperature range in the wavelength range 1460-1620 nm (the so-called S, C, and L bands in optical fiber communication networks). A further survey on the MiDex materials strongly suggests that Al2O3, Ga2O3 Ta2O5, HfO2 and their alloys should provide even more stable platforms for DWDM implementation in MiDex photonics. It is discussed that the MiDex photonics will find various applications

  4. A new material platform of Si photonics for implementing architecture of dense wavelength division multiplexing on Si bulk wafer.

    Science.gov (United States)

    Zhang, Ziyi; Yako, Motoki; Ju, Kan; Kawai, Naoyuki; Chaisakul, Papichaya; Tsuchizawa, Tai; Hikita, Makoto; Yamada, Koji; Ishikawa, Yasuhiko; Wada, Kazumi

    2017-01-01

    A new materials group to implement dense wavelength division multiplexing (DWDM) in Si photonics is proposed. A large thermo-optic (TO) coefficient of Si malfunctions multiplexer/demultiplexer (MUX/DEMUX) on a chip under thermal fluctuation, and thus DWDM implementation, has been one of the most challenging targets in Si photonics. The present study specifies an optical materials group for DWDM by a systematic survey of their TO coefficients and refractive indices. The group is classified as mid-index contrast optics (MiDex) materials, and non-stoichiometric silicon nitride (SiN x ) is chosen to demonstrate its significant thermal stability. The TO coefficient of non-stoichiometric SiN x is precisely measured in the temperature range 24-76 °C using the SiN x rings prepared by two methods: chemical vapor deposition (CVD) and physical vapor deposition (PVD). The CVD-SiN x ring reveals nearly the same TO coefficient reported for stoichiometric CVD-Si 3 N 4 , while the value for the PVD-SiN x ring is slightly higher. Both SiN x rings lock their resonance frequencies within 100 GHz in this temperature range. Since CVD-SiN x needs a high temperature annealing to reduce N-H bond absorption, it is concluded that PVD-SiN x is suited as a MiDex material introduced in the CMOS back-end-of-line. Further stabilization is required, considering the crosstalk between two channels; a 'silicone' polymer is employed to compensate for the temperature fluctuation using its negative TO coefficient, called athermalization. This demonstrates that the resonance of these SiN x rings is locked within 50 GHz at the same temperature range in the wavelength range 1460-1620 nm (the so-called S, C, and L bands in optical fiber communication networks). A further survey on the MiDex materials strongly suggests that Al 2 O 3 , Ga 2 O 3 Ta 2 O 5 , HfO 2 and their alloys should provide even more stable platforms for DWDM implementation in MiDex photonics. It is discussed that the MiDex photonics

  5. Microstructure and Mechanical Property of SiCf/SiC and Cf/SiC Composites

    International Nuclear Information System (INIS)

    Lee, S P; Cho, K S; Lee, H U; Lee, J K; Bae, D S; Byun, J H

    2011-01-01

    The mechanical properties of SiC based composites reinforced with different types of fabrics have been investigated, in conjunction with the detailed analyses of their microstructures. The thermal shock properties of SiC f /SiC composites were also examined. All composites showed a dense morphology in the matrix region. Carbon coated PW-SiC f /SiC composites had a good fracture energy, even if their strength was lower than that of PW-C f /SiC composites. SiC f /SiC composites represented a great reduction of flexural strength at the thermal shock temperature difference of 300 deg. C.

  6. Magnetron-sputter epitaxy of β-FeSi2(220)/Si(111) and β-FeSi2(431)/Si(001) thin films at elevated temperatures

    International Nuclear Information System (INIS)

    Liu Hongfei; Tan Chengcheh; Chi Dongzhi

    2012-01-01

    β-FeSi 2 thin films have been grown on Si(111) and Si(001) substrates by magnetron-sputter epitaxy at 700 °C. On Si(111), the growth is consistent with the commonly observed orientation of [001]β-FeSi 2 (220)//[1-10]Si(111) having three variants, in-plane rotated 120° with respect to one another. However, on Si(001), under the same growth conditions, the growth is dominated by [-111]β-FeSi 2 (431)//[110]Si(001) with four variants, which is hitherto unknown for growing β-FeSi 2 . Photoelectron spectra reveal negligible differences in the valance-band and Fe2p core-level between β-FeSi 2 grown on Si(111) and Si(001) but an apparent increased Si-oxidization on the surface of β-FeSi 2 /Si(001). This phenomenon is discussed and attributed to the Si-surface termination effect, which also suggests that the Si/Fe ratio on the surface of β-FeSi 2 (431)/Si(001) is larger than that on the surface of β-FeSi 2 (220)/Si(111).

  7. SiC Nanoparticles Toughened-SiC/MoSi2-SiC Multilayer Functionally Graded Oxidation Protective Coating for Carbon Materials at High Temperatures

    Science.gov (United States)

    Abdollahi, Alireza; Ehsani, Naser; Valefi, Zia; Khalifesoltani, Ali

    2017-05-01

    A SiC nanoparticle toughened-SiC/MoSi2-SiC functionally graded oxidation protective coating on graphite was prepared by reactive melt infiltration (RMI) at 1773 and 1873 K under argon atmosphere. The phase composition and anti-oxidation behavior of the coatings were investigated. The results show that the coating was composed of MoSi2, α-SiC and β-SiC. By the variations of Gibbs free energy (calculated by HSC Chemistry 6.0 software), it could be suggested that the SiC coating formed at low temperatures by solution-reprecipitation mechanism and at high temperatures by gas-phase reactions and solution-reprecipitation mechanisms simultaneously. SiC nanoparticles could improve the oxidation resistance of SiC/MoSi2-SiC multiphase coating. Addition of SiC nanoparticles increases toughness of the coating and prevents spreading of the oxygen diffusion channels in the coating during the oxidation test. The mass loss and oxidation rate of the SiC nanoparticle toughened-SiC/MoSi2-SiC-coated sample after 10-h oxidation at 1773 K were only 1.76% and 0.32 × 10-2 g/cm3/h, respectively.

  8. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  9. Fabrication and Mechanical Properties of SiCw(p/SiC-Si Composites by Liquid Si Infiltration using Pyrolysed Rice Husks and SiC Powders as Precursors

    Directory of Open Access Journals (Sweden)

    Dan Zhu

    2014-03-01

    Full Text Available Dense silicon carbide (SiC matrix composites with SiC whiskers and particles as reinforcement were prepared by infiltrating molten Si at 1550 °C into porous preforms composed of pyrolysed rice husks (RHs and extra added SiC powder in different ratios. The Vickers hardness of the composites showed an increase from 18.6 to 21.3 GPa when the amount of SiC added in the preforms was 20% (w/w, and then decreased to 17.3 GPa with the increase of SiC added in the preforms up to 80% (w/w. The values of flexural strength of the composites initially decreased when 20% (w/w SiC was added in the preform and then increased to 587 MPa when the SiC concentration reached 80% (w/w. The refinement of SiC particle sizes and the improvement of the microstructure in particle distribution of the composites due to the addition of external SiC played an effective role in improving the mechanical properties of the composites.

  10. Oxidation behavior of arc evaporated Al-Cr-Si-N thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tritremmel, Christian; Daniel, Rostislav; Mitterer, Christian; Mayrhofer, Paul H.; Lechthaler, Markus; Polcik, Peter [Christian Doppler Laboratory for Advanced Hard Coatings, Department of Physical Metallurgy and Materials Testing, Montanuniversitaet Leoben, Franz-Josef-Strasse 18, A-8700 Leoben (Austria); Christian Doppler Laboratory for Application Oriented Coating Development, Department of Physical Metallurgy and Materials Testing, Montanuniversitaet Leoben, Franz-Josef-Strasse 18, A-8700 Leoben (Austria); OC Oerlikon Balzers AG, Iramali 18, LI-9496 Balzers (Liechtenstein); PLANSEE Composite Materials GmbH, Siebenbuergerstrasse 23, D-86983 Lechbruck am See (Germany)

    2012-11-15

    The impact of Al and Si on the oxidation behavior of Al-Cr-(Si)-N thin films synthesized by arc evaporation of powder metallurgically prepared Al{sub x}Cr{sub 1-x} targets with x = Al/(Al + Cr) of 0.5, 0.6, and 0.7 and (Al{sub 0.5}Cr{sub 0.5}){sub 1-z}Si{sub z} targets with Si contents of z = 0.05, 0.1, and 0.2 in N{sub 2} atmosphere was studied in detail by means of differential scanning calorimetry, thermogravimetric analysis (TGA), x-ray diffraction, and Raman spectroscopy. Dynamical measurements in synthetic air (up to 1440 Degree-Sign C) revealed the highest onset temperature of pronounced oxidation for nitride coatings prepared from the Al{sub 0.4}Cr{sub 0.4}Si{sub 0.2} target. Isothermal TGA at 1100, 1200, 1250, and 1300 Degree-Sign C highlight the pronounced improvement of the oxidation resistance of Al{sub x}Cr{sub 1-x}N coatings by the addition of Si. The results show that Si promotes the formation of a dense coating morphology as well as a dense oxide scale when exposed to air.

  11. Oscillations in the fusion of the Si + Si systems; Oscilaciones en la fusion de sistemas de Si + Si

    Energy Technology Data Exchange (ETDEWEB)

    Aguilera R, E F; Kolata, J J; DeYoung, P A; Vega, J J [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    1986-02-15

    Excitation functions for the yields of all the residual nuclei from the {sup 28} Si + {sup 28,30} and {sup 30} Si + {sup 30} Si reactions have been measured via the {gamma}-ray technique for center of mass energies in the region within one and two times the Coulomb barrier.Thirteen elements were identified for the first reaction and ten for the other two. While no structure is shown by the data for the {sup 28} + {sup 28} Si reaction, we have found evidence for intermediate width structure in the 2{alpha} and the {alpha}pn channels in {sup 28} Si + {sup 30} Si and for broad structure in the total fusion cross sections for {sup 30} Si + {sup 30} Si. Calculations using a barrier penetration model with one free parameter reproduce the experimental results quite well. Evaporation model calculations indicate that the individual structure of the nuclei involved in the respective decay chains might have an important influence upon the deexcitation process at the energies relevant to our experiments. (Author)

  12. H{sub 2}-Ar dilution for improved c-Si quantum dots in P-doped SiN{sub x}:H thin film matrix

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jia [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); Zhang, Weijia, E-mail: zwjghx@126.com [Center of Condensed Matter and Material Physics, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing, 100191 (China); Liu, Shengzhong, E-mail: szliu@dicp.ac.cn [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); State key Laboratory of Catalysis, iChEM, Dalian Institute of Chemical Physics, Dalian National Laboratory for Clean Energy, Chinese Academy of Sciences, Dalian 116023 (China)

    2017-02-28

    Highlights: • Phosphorous-doped SiN{sub x}:H thin films containing c-Si QDs were prepared by PECVD in H{sub 2}-Ar mixed dilution under low temperature. • QD density and QD size can be controlled by tuning H{sub 2}/Ar flow ratio. • The sample prepared at the H{sub 2}/Ar flow ratio of 100/100 possesses both wide band gap and excellent conductivity. • Detail discussion has been presented for illustrating the influence of H{sub 2}/Ar mixed dilution on the crystallization process and P-doping. - Abstract: Phosphorus-doped hydrogenated silicon nitride (SiN{sub x}:H) thin films containing crystalline silicon quantum dot (c-Si QD) was prepared by plasma enhanced chemical vapor deposition (PECVD) using hydrogen-argon mixed dilution. The effects of H{sub 2}/Ar flow ratio on the structural, electrical and optical characteristics of as-grown P-doped SiN{sub x}:H thin films were systematically investigated. Experimental results show that crystallization is promoted by increasing the H{sub 2}/Ar flow ratio in dilution, while the N/Si atomic ratio is higher for thin film deposited with argon-rich dilution. As the H{sub 2}/Ar flow ratio varies from 100/100 to 200/0, the samples exhibit excellent conductivity owing to the large volume fraction of c-Si QDs and effective P-doping. By adjusting the H{sub 2}/Ar ratio to 100/100, P-doped SiN{sub x}:H thin film containing tiny and densely distributed c-Si QDs can be obtained. It simultaneously possesses wide optical band gap and high dark conductivity. Finally, detailed discussion has been made to analyze the influence of H{sub 2}-Ar mixed dilution on the properties of P-doped SiN{sub x}:H thin films.

  13. Modification of Light Emission in Si-Rich Silicon Nitride Films Versus Stoichiometry and Excitation Light Energy

    Science.gov (United States)

    Torchynska, T.; Khomenkova, L.; Slaoui, A.

    2018-04-01

    Si-rich SiN x films with different stoichiometry were grown on Si substrate by plasma-enhanced chemical vapor deposition. The Si content was varied by changing the NH3/SiH4 gas flow ratio from 0.45 up to 1.0. Conventional furnace annealing at 1100°C for 30 min was applied to produce the Si quantum dots (QDs) in the SiN x films. Spectroscopic ellipsometry was used to determine the refractive index of the SiN x films that allowed estimating the film's stoichiometry. Fourier transform infrared spectroscopy has been also used to confirm the stoichiometry and microstructure. Photoluminescence (PL) spectra of Si-rich SiN x films are complex. A non-monotonous variation of the different PL peaks versus Si excess contents testifies to the competition of different radiative channels. The analysis of PL spectra, measured at the different excitation light energies and variable temperatures, has revealed that the PL bands with the peaks within the range 2.1-3.0 eV are related to the carrier recombination via radiative native defects in the SiN x host. Simultaneously, the PL bands with the peaks at 1.5-2.0 eV are caused by the exciton recombination in the Si QDs of different sizes. The way to control the SiN x emission is discussed.

  14. Analyses of the As doping of SiO{sub 2}/Si/SiO{sub 2} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, Francesco; Miritello, Maria [CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Tomasello, Mario Vincenzo [Scuola Superiore di Catania, via San Nullo 5/i, 95123 Catania (Italy); De Bastiani, Riccardo; Grimaldi, Maria Grazia [Dipartimento di Fisica ed Astronomia, Universita di Catania, via S. Sofia 64, 95123 Catania (Italy); CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Nicotra, Giuseppe; Spinella, Corrado [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), VIII Strada 5, 95121 Catania (Italy)

    2011-03-15

    We illustrate the behaviour of As when it is confined, by the implantation technique, in a SiO{sub 2}(70nm)/Si(30nm)/SiO{sub 2}(70nm) multilayer and its spatial redistribution when annealing processes are performed. By Rutherford backscattering spectrometry and Z-contrast transmission electron microscopy we found an As accumulation at the Si/SiO{sub 2} interfaces and at the Si grain boundaries with no segregation of the As in the Si layer. Such an effect is in agreement with a model that assumes a traps distribution in the Si in the first 2-3 nm above the SiO{sub 2}/Si interfaces and along the Si grain boundaries. The traps concentration at the Si/SiO{sub 2} interfaces was estimated in 10{sup 14} traps/cm{sup 2}. The outlined results can open perspectives on the doping properties of As in Si nanocrystals, whose applications in nanoelectronics and optoelectronics are widely investigated (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Computer Aided Multi-scale Design of SiC-Si3N4 Nanoceramic Composites for High-Temperature Structural Applications

    Energy Technology Data Exchange (ETDEWEB)

    Vikas Tomer; John Renaud

    2010-08-31

    It is estimated that by using better and improved high temperature structural materials, the power generation efficiency of the power plants can be increased by 15% resulting in significant cost savings. One such promising material system for future high-temperature structural applications in power plants is Silicon Carbide-Silicon Nitride (SiC-Si{sub 3}N{sub 4}) nanoceramic matrix composites. The described research work focuses on multiscale simulation-based design of these SiC-Si{sub 3}N{sub 4} nanoceramic matrix composites. There were two primary objectives of the research: (1) Development of a multiscale simulation tool and corresponding multiscale analyses of the high-temperature creep and fracture resistance properties of the SiC-Si{sub 3}N{sub 4} nanocomposites at nano-, meso- and continuum length- and timescales; and (2) Development of a simulation-based robust design optimization methodology for application to the multiscale simulations to predict the range of the most suitable phase morphologies for the desired high-temperature properties of the SiC-Si{sub 3}N{sub 4} nanocomposites. The multiscale simulation tool is based on a combination of molecular dynamics (MD), cohesive finite element method (CFEM), and continuum level modeling for characterizing time-dependent material deformation behavior. The material simulation tool is incorporated in a variable fidelity model management based design optimization framework. Material modeling includes development of an experimental verification framework. Using material models based on multiscaling, it was found using molecular simulations that clustering of the SiC particles near Si{sub 3}N{sub 4} grain boundaries leads to significant nanocomposite strengthening and significant rise in fracture resistance. It was found that a control of grain boundary thicknesses by dispersing non-stoichiometric carbide or nitride phases can lead to reduction in strength however significant rise in fracture strength. The

  16. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  17. Reaction sintering of a clay-containing silicon nitride bonded silicon carbide refractory

    International Nuclear Information System (INIS)

    Swenser, S.P.; Cheng, Y.B.

    1998-01-01

    Aspects of the reaction sequence for the reaction bonding of a cast refractory, which in the green state was composed of 79 wt-% SiC grit, 16 wt-% Si powder and 5 wt-% clay were established. As it was fired up to 1600 deg C in flowing N 2 (g), weight gains were noted and phase evolution was monitored by X-ray diffraction. However, details of the reaction sequence were not determined directly from this material because several reaction-bonding processes occurred simultaneously. Reaction features were ascertained by contrasting the weight changes and phase evolution in the refractory with those observed during reaction-bonding of (a) Si and clay without the SiC and (b) SiC and clay without the Si. In addition to silicon nitridation and the development of sialon phases by silicothermal and carbothermal reduction-nitridation processes, indirect evidence suggested that α-Si 3 N 4 formed by the carbothermal reduction-nitridation (CRN) of SiO(g). Copyright (1998) Australasian Ceramic Society

  18. Strained Si/SiGe MOS transistor model

    Directory of Open Access Journals (Sweden)

    Tatjana Pešić-Brđanin

    2009-06-01

    Full Text Available In this paper we describe a new model of surfacechannel strained-Si/SiGe MOSFET based on the extension of non-quasi-static (NQS circuit model previously derived for bulk-Si devices. Basic equations of the NQS model have been modified to account for the new physical parameters of strained-Si and relaxed-SiGe layers. From the comparisons with measurements, it is shown that a modified NQS MOS including steady-state self heating can accurately predict DC characteristics of Strained Silicon MOSFETs.

  19. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  20. U-Mo/Al-Si interaction: Influence of Si concentration

    International Nuclear Information System (INIS)

    Allenou, J.; Palancher, H.; Iltis, X.; Cornen, M.; Tougait, O.; Tucoulou, R.; Welcomme, E.; Martin, Ph.; Valot, C.; Charollais, F.; Anselmet, M.C.; Lemoine, P.

    2010-01-01

    Within the framework of the development of low enriched nuclear fuels for research reactors, U-Mo/Al is the most promising option that has however to be optimised. Indeed at the U-Mo/Al interfaces between U-Mo particles and the Al matrix, an interaction layer grows under irradiation inducing an unacceptable fuel swelling. Adding silicon in limited content into the Al matrix has clearly improved the in-pile fuel behaviour. This breakthrough is attributed to an U-Mo/Al-Si protective layer around U-Mo particles appeared during fuel manufacturing. In this work, the evolution of the microstructure and composition of this protective layer with increasing Si concentrations in the Al matrix has been investigated. Conclusions are based on the characterization at the micrometer scale (X-ray diffraction and energy dispersive spectroscopy) of U-Mo7/Al-Si diffusion couples obtained by thermal annealing at 450 deg. C. Two types of interaction layers have been evidenced depending on the Si content in the Al-Si alloy: the threshold value is found at about 5 wt.% but obviously evolves with temperature. It has been shown that for Si concentrations ranging from 2 to 10 wt.%, the U-Mo7/Al-Si interaction is bi-layered and the Si-rich part is located close to the Al-Si for low Si concentrations (below 5 wt.%) and close to the U-Mo for higher Si concentrations. For Si weight fraction in the Al alloy lower than 5 wt.%, the Si-rich sub-layer (close to Al-Si) consists of U(Al, Si) 3 + UMo 2 Al 20 , when the other sub-layer (close to U-Mo) is silicon free and made of UAl 3 and U 6 Mo 4 Al 43 . For Si weight concentrations above 5 wt.%, the Si-rich part becomes U 3 (Si, Al) 5 + U(Al, Si) 3 (close to U-Mo) and the other sub-layer (close to Al-Si) consists of U(Al, Si) 3 + UMo 2 Al 20 . On the basis of these results and of a literature survey, a scheme is proposed to explain the formation of different types of ILs between U-Mo and Al-Si alloys (i.e. different protective layers).

  1. Porous SiC/SiC composites development for industrial application

    International Nuclear Information System (INIS)

    Maeta, S.; Hinoki, T.

    2014-01-01

    Silicon carbide (SiC) is promising structural materials in nuclear fields due to an excellent irradiation resistance and low activation characteristics. Conventional SiC fibers reinforced SiC matrix (SiC/SiC composites) fabricated by liquid phase sintering (LPS-SiC/SiC composites) have been required high cost and long processing time. And microstructure and mechanical property data of finally obtained LPS-SiC/SiC composites are easily scattered, because quality of the composites depend on personal skill. Thus, conventional LPS-SiC/SiC composites are inadequate for industrial use. In order to overcome these issues, the novel “porous SiC/SiC composites” have been developed by means of liquid phase sintering fabrication process. The composites consist of porous SiC matrix and SiC fibers without conventional carbon interfacial layer. The composites don’t have concerns of the degradation interfacial layer at the severe accident. Porous SiC/SiC composites preform was prepared with a thin sheet shape of SiC, sintering additives and carbon powder mixture by tape casting process which was adopted because of productive and high yielding rate fabrication process. The preform was stacked with SiC fibers and sintered in hot-press at the high temperature in argon environment. The sintered preform was decarburized obtain porous matrix structure by heat-treatment in air. Moreover, mechanical property data scattering of the obtained porous SiC/SiC composites decreased. In the flexural test, the porous SiC/SiC composites showed pseudo-ductile behavior with sufficient strength even after heat treatment at high temperature in air. From these conclusions, it was proven that porous SiC/SiC composites were reliable material at severe environment such as high temperature in air, by introducing tape casting fabrication process that could produce reproducible materials with low cost and simple way. Therefore development of porous SiC/SiC composites for industrial application was

  2. Gate length scaling trends of drive current enhancement in CMOSFETs with dual stress overlayers and embedded-SiGe

    International Nuclear Information System (INIS)

    Flachowsky, S.; Wei, A.; Herrmann, T.; Illgen, R.; Horstmann, M.; Richter, R.; Salz, H.; Klix, W.; Stenzel, R.

    2008-01-01

    Strain engineering in MOSFETs using tensile nitride overlayer (TOL) films, compressive nitride overlayer (COL) films, and embedded-SiGe (eSiGe) is studied by extensive device experiments and numerical simulations. The scaling behavior was analyzed by gate length reduction down to 40 nm and it was found that drive current strongly depends on the device dimensions. The reduction of drain-current enhancement for short-channel devices can be attributed to two competing factors: shorter gate length devices have increased longitudinal and vertical stress components which should result in improved drain-currents. However, there is a larger degradation from external resistance as the gate length decreases, due to a larger voltage dropped across the external resistance. Adding an eSiGe stressor reduces the external resistance in the p-MOSFET, to the extent that the drive current improvement from COL continues to increase even down the shortest gate length studied. This is due to the reduced resistivity of SiGe itself and the SiGe valence band offset relative to Si, leading to a smaller silicide-active contact resistance. It demonstrates the advantage of combining eSiGe and COL, not only for increased stress, but also for parasitic resistance reduction to enable better COL drive current benefit

  3. Preparation of rod-like β-Si3N4 single crystal particles

    International Nuclear Information System (INIS)

    Hirao, K.; Tsuge, A.; Brito, M.E.; Kanzaki, S.

    1994-01-01

    The use of β-Si 3 N 4 particles as a seed material has been demonstrated to be effective for development of a self-reinforcing microstructure in sintered silicon nitride ceramics. We have confirmed the seeding effect and arrived at a concept that seed particles should consist of rod-like single crystals free from defects and with a large diameter. The present work describes our attempts to produce such particles with a controlled morphology and in high amount. β-Si 3 N 4 particles with a diameter of 1μm and length of 5μm were obtained by heating a mixture of α-Si 3 N 4 , SiO 2 and Y 2 O 3 , followed by acid rinse treatments to remove residual glassy phase. (orig.)

  4. Biomorphous SiSiC/Al-Si ceramic composites manufactured by squeeze casting: microstructure and mechanical properties

    Energy Technology Data Exchange (ETDEWEB)

    Zollfrank, C.; Travitzky, N.; Sieber, H.; Greil, P. [Department of Materials Science, Glass and Ceramics, University of Erlangen-Nuernberg (Germany); Selchert, T. [Advanced Ceramics Group, Technical University of Hamburg-Harburg (Germany)

    2005-08-01

    SiSiC/Al-Si composites were fabricated by pressure-assisted infiltration of an Al-Si alloy into porous biocarbon preforms derived from the rattan palm. Al-Si alloy was found in the pore channels of the biomorphous SiSiC preform, whereas SiC and carbon were present in the struts. The formation of a detrimental Al{sub 4}C{sub 3}-phase was not observed in the composites. A bending strength of 200 MPa was measured. The fractured surfaces showed pull-out of the Al-alloy. (Abstract Copyright [2005], Wiley Periodicals, Inc.)

  5. Reaction mechanisms at 4H-SiC/SiO2 interface during wet SiC oxidation

    Science.gov (United States)

    Akiyama, Toru; Hori, Shinsuke; Nakamura, Kohji; Ito, Tomonori; Kageshima, Hiroyuki; Uematsu, Masashi; Shiraishi, Kenji

    2018-04-01

    The reaction processes at the interface between SiC with 4H structure (4H-SiC) and SiO2 during wet oxidation are investigated by electronic structure calculations within the density functional theory. Our calculations for 4H-SiC/SiO2 interfaces with various orientations demonstrate characteristic features of the reaction depending on the crystal orientation of SiC: On the Si-face, the H2O molecule is stable in SiO2 and hardly reacts with the SiC substrate, while the O atom of H2O can form Si-O bonds at the C-face interface. Two OH groups are found to be at least necessary for forming new Si-O bonds at the Si-face interface, indicating that the oxidation rate on the Si-face is very low compared with that on the C-face. On the other hand, both the H2O molecule and the OH group are incorporated into the C-face interface, and the energy barrier for OH is similar to that for H2O. By comparing the calculated energy barriers for these reactants with the activation energies of oxide growth rate, we suggest the orientation-dependent rate-limiting processes during wet SiC oxidation.

  6. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  7. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    Science.gov (United States)

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  8. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    Science.gov (United States)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  9. Interfacial characterization of CVI-SiC/SiC composites

    International Nuclear Information System (INIS)

    Yang, W.; Kohyama, A.; Noda, T.; Katoh, Y.; Hinoki, T.; Araki, H.; Yu, J.

    2002-01-01

    The mechanical properties of the interfaces of two families of chemical vapor infiltration SiC/SiC composites, advanced Tyranno-SA and Hi-Nicalon fibers reinforced SiC/SiC composites with various carbon and SiC/C interlayers, were investigated by single fiber push-out/push-back tests. Interfacial debonding and fibers sliding mainly occurred adjacent to the first carbon layer on the fibers. The interfacial debonding strengths and frictional stresses for both Tyranno-SA/SiC and Hi-Nicalon/SiC composites were correlated with the first carbon layer thickness. Tyranno-SA/SiC composites exhibited much larger interfacial frictional stresses compared to Hi-Nicalon/SiC composites. This was assumed to be mainly contributed by the rather rough surface of the Tyranno-SA fiber

  10. Research on a Micro-Nano Si/SiGe/Si Double Heterojunction Electro-Optic Modulation Structure

    Directory of Open Access Journals (Sweden)

    Song Feng

    2018-01-01

    Full Text Available The electro-optic modulator is a very important device in silicon photonics, which is responsible for the conversion of optical signals and electrical signals. For the electro-optic modulator, the carrier density of waveguide region is one of the key parameters. The traditional method of increasing carrier density is to increase the external modulation voltage, but this way will increase the modulation loss and also is not conducive to photonics integration. This paper presents a micro-nano Si/SiGe/Si double heterojunction electro-optic modulation structure. Based on the band theory of single heterojunction, the barrier heights are quantitatively calculated, and the carrier concentrations of heterojunction barrier are analyzed. The band and carrier injection characteristics of the double heterostructure structure are simulated, respectively, and the correctness of the theoretical analysis is demonstrated. The micro-nano Si/SiGe/Si double heterojunction electro-optic modulation is designed and tested, and comparison of testing results between the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation and the micro-nano Silicon-On-Insulator (SOI micro-ring electro-optic modulation, Free Spectrum Range, 3 dB Bandwidth, Q value, extinction ratio, and other parameters of the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation are better than others, and the modulation voltage and the modulation loss are lower.

  11. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  12. Low dose irradiation performance of SiC interphase SiC/SiC composites

    International Nuclear Information System (INIS)

    Snead, L.L.; Lowden, R.A.; Strizak, J.; More, K.L.; Eatherly, W.S.; Bailey, J.; Williams, A.M.; Osborne, M.C.; Shinavski, R.J.

    1998-01-01

    Reduced oxygen Hi-Nicalon fiber reinforced composite SiC materials were densified with a chemically vapor infiltrated (CVI) silicon carbide (SiC) matrix and interphases of either 'porous' SiC or multilayer SiC and irradiated to a neutron fluence of 1.1 x 10 25 n m -2 (E>0.1 MeV) in the temperature range of 260 to 1060 C. The unirradiated properties of these composites are superior to previously studied ceramic grade Nicalon fiber reinforced/carbon interphase materials. Negligible reduction in the macroscopic matrix microcracking stress was observed after irradiation for the multilayer SiC interphase material and a slight reduction in matrix microcracking stress was observed for the composite with porous SiC interphase. The reduction in strength for the porous SiC interfacial material is greatest for the highest irradiation temperature. The ultimate fracture stress (in four point bending) following irradiation for the multilayer SiC and porous SiC interphase materials was reduced by 15% and 30%, respectively, which is an improvement over the 40% reduction suffered by irradiated ceramic grade Nicalon fiber materials fabricated in a similar fashion, though with a carbon interphase. The degradation of the mechanical properties of these composites is analyzed by comparison with the irradiation behavior of bare Hi-Nicalon fiber and Morton chemically vapor deposited (CVD) SiC. It is concluded that the degradation of these composites, as with the previous generation ceramic grade Nicalon fiber materials, is dominated by interfacial effects, though the overall degradation of fiber and hence composite is reduced for the newer low-oxygen fiber. (orig.)

  13. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  14. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  15. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  16. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    For more than two decades, advances in MOSFETs used in CMOS VLSI applications have been made through scaling to ever smaller dimensions for higher packing density, faster circuit speed and lower power dissipation. As scaling now approaches nanometer regime, the challenge for further scaling becomes greater in terms of technology as well as device reliability. This work presents an alternative approach whereby non-selectively grown Si/SiGe heterostructure system is used to improve device performance or to relax the technological challenge. SiGe is considered to be of great potential because of its promising properties and its compatibility with Si, the present mainstream material in microelectronics. The advantages of introducing strained SiGe in CMOS technology are examined through two types of device structure. A novel structure has been fabricated in which strained SiGe is incorporated in the source/drain of P-MOSFETs. Several advantages of the Si/SiGe source/drain P-MOSFETs over Si devices are experimentally, demonstrated for the first time. These include reduction in off-state leakage and punchthrough susceptibility, degradation of parasitic bipolar transistor (PBT) action, suppression of CMOS latchup and suppression of PBT-induced breakdown. The improvements due to the Si/SiGe heterojunction are supported by numerical simulations. The second device structure makes use of Si/SiGe heterostructure as a buried channel to enhance the hole mobility of P-MOSFETs. The increase in the hole mobility will benefit the circuit speed and device packing density. Novel fabrication processes have been developed to integrate non-selective Si/SiGe MBE layers into self-aligned PMOS and CMOS processes based on Si substrate. Low temperature processes have been employed including the use of low-pressure chemical vapor deposition oxide and plasma anodic oxide. Low field mobilities, μ 0 are extracted from the transfer characteristics, Id-Vg of SiGe channel P-MOSFETs with various Ge

  17. Suppression of carbon desorption from 4H-SiC by irradiating a remote nitrogen plasma at a low temperature

    Science.gov (United States)

    Shimabayashi, Masaharu; Kurihara, Kazuaki; Sasaki, Koichi

    2018-05-01

    We remotely irradiated a nitrogen plasma onto the carbon-side surface of 4H-SiC at a low temperature, and examined the effect of sample cooling on the characteristics of the nitride layer. An improved nitride layer, which had higher concentrations of carbon and silicon and a lower concentration of oxygen, was formed in the region at depths of more than 0.6–0.9 nm from the top surface. The depth of the fragile nitride layer in the top region, where no improved characteristics of the nitride layer were observed, became smaller with sample cooling. In addition, on the basis of the experimental results, we discussed the difference in the activation energy of the nitriding reaction of 4H-SiC supported by atomic nitrogen and molecular nitrogen in the metastable \\text{A}3Σ \\text{u} + state.

  18. Irradiation effect on Nite-SiC/SiC composites

    International Nuclear Information System (INIS)

    Hinoki, T.; Choi, Y.B.; Kohyama, A.; Ozawa, K.

    2007-01-01

    Full text of publication follows: Silicon carbide (SiC) and SiC composites are significantly attractive materials for nuclear application in particular due to exceptional low radioactivity, excellent high temperature mechanical properties and chemical stability. Despite of the excellent potential of SiC/SiC composites, the prospect of industrialization has not been clear mainly due to the low productivity and the high material cost. Chemical vapor infiltration (CVI) method can produce the excellent SiC/SiC composites with highly crystalline and excellent mechanical properties. It has been reported that the high purity SiC/SiC composites reinforced with highly crystalline fibers and fabricated by CVI method is very stable to neutron irradiation. However the production cost is high and it is difficult to fabricate thick and dense composites by CVI method. The novel processing called Nano-powder Infiltration and Transient Eutectic Phase (NITE) Processing has been developed based on the liquid phase sintering (LPS) process modification. The NITE processing can achieve both the excellent material quality and the low processing cost. The productivity of the processing is also excellent, and various kinds of shape and size of SiC/SiC composites can be produced by the NITE processing. The NITE processing can form highly crystalline matrix, which is requirement for nuclear application. The objective of this work is to understand irradiation effect of the NITESiC/SiC composites. The SiC/SiC composites used were reinforced with high purity SiC fibers, Tyranno TM SA and fabricated by the NITE method. The NITE-SiC/SiC composite bars and reference monolithic SiC bars fabricated by CVI and NITE were irradiated at up to 1.0 dpa and 600-1000 deg. C at JMTR, Japan. Mechanical properties of non-irradiated and irradiated NITESiC/ SiC composites bars were evaluated by tensile tests. Monolithic SiC bars were evaluated by flexural tests. The fracture surface was examined by SEM. Ultimate

  19. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  20. Fluorescent SiC with pseudo-periodic moth-eye structures

    DEFF Research Database (Denmark)

    Ou, Yiyu; Aijaz, Imran; Ou, Haiyan

    2012-01-01

    White light-emitting diodes (LEDs) consisting of a nitride-based blue LED chip and phosphor are very promising candidates for the general lighting applications as energy-saving sources. Recently, donor-acceptor doped fluorescent SiC has been proven as a highly efficient wavelength converter...... to enhance the extraction efficiency, we present a simple method to fabricate the pseudo-periodic moth-eye structures on the surface of the fluorescent SiC. A thin gold layer is deposited on the fluorescent SiC first. Then the thin gold layer is treated by rapid thermal processing. After annealing, the thin...... gold layer turns into discontinuous nano-islands. The average size of the islands is dependent on the annealing condition which could be well controlled. By using the reactive-ion etching, pseudo-periodic moth-eye structures would be obtained using the gold nano-islands as a mask layer. Reactive...

  1. Si-O-Si bond-angle distribution in vitreous silica from first-principles 29Si NMR analysis

    International Nuclear Information System (INIS)

    Mauri, Francesco; Pasquarello, Alfredo; Pfrommer, Bernd G.; Yoon, Young-Gui; Louie, Steven G.

    2000-01-01

    The correlation between 29 Si chemical shifts and Si-O-Si bond angles in SiO 2 is determined within density-functional theory for the full range of angles present in vitreous silica. This relation closely reproduces measured shifts of crystalline polymorphs. The knowledge of the correlation allows us to reliably extract from the experimental NMR spectrum the mean (151 degree sign ) and the standard deviation (11 degree sign ) of the Si-O-Si angular distribution of vitreous silica. In particular, we show that the Mozzi-Warren Si-O-Si angular distribution is not consistent with the NMR data. This analysis illustrates the potential of our approach for structural determinations of silicate glasses. (c) 2000 The American Physical Society

  2. Electrochemical properties of lanthanum nitride with calcium nitride additions

    International Nuclear Information System (INIS)

    Lesunova, R.P.; Fishman, L.S.

    1986-01-01

    This paper reports on the electrochemical properties of lanthanum nitride with calcium nitride added. The lanthanum nitride was obtained by nitriding metallic lanthanum at 870 K in an ammonia stream. The product contained Cl, Pr, Nd, Sm, Fe, Ca, Cu, Mo, Mg, Al, Si, and Be. The calcium nitride was obtained by nitriding metallic calcium in a nitrogen stream. The conductivity on the LaN/C 3 N 2 system components are shown as a function of temperature. A table shows the solid solutions to be virtually electronic conductors and the lanthanum nitride a mixed conductor

  3. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  4. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  5. Thermal shock properties of 2D-SiCf/SiC composites

    International Nuclear Information System (INIS)

    Lee, Sang Pill; Lee, Jin Kyung; Son, In Soo; Bae, Dong Su; Kohyama, Akira

    2012-01-01

    This paper dealt with the thermal shock properties of SiC f /SiC composites reinforced with two dimensional SiC fabrics. SiC f /SiC composites were fabricated by a liquid phase sintering process, using a commercial nano-size SiC powder and oxide additive materials. An Al 2 O 3 –Y 2 O 3 –SiO 2 powder mixture was used as a sintering additive for the consolidation of SiC matrix region. In this composite system, Tyranno SA SiC fabrics were also utilized as a reinforcing material. The thermal shock test for SiC f /SiC composites was carried out at the elevated temperature. Both mechanical strength and microstructure of SiC f /SiC composites were investigated by means of optical microscopy, SEM and three point bending test. SiC f /SiC composites represented a dense morphology with a porosity of about 8.2% and a flexural strength of about 160 MPs. The characterization of SiC f /SiC composites was greatly affected by the history of cyclic thermal shock. Especially, SiC f /SiC composites represented a reduction of flexural strength at the thermal shock temperature difference higher than 800 °C.

  6. SiC/SiC Cladding Materials Properties Handbook

    Energy Technology Data Exchange (ETDEWEB)

    Snead, Mary A. [Brookhaven National Lab. (BNL), Upton, NY (United States); Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Koyanagi, Takaaki [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Singh, Gyanender P. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-08-01

    When a new class of material is considered for a nuclear core structure, the in-pile performance is usually assessed based on multi-physics modeling in coordination with experiments. This report aims to provide data for the mechanical and physical properties and environmental resistance of silicon carbide (SiC) fiber–reinforced SiC matrix (SiC/SiC) composites for use in modeling for their application as accidenttolerant fuel cladding for light water reactors (LWRs). The properties are specific for tube geometry, although many properties can be predicted from planar specimen data. This report presents various properties, including mechanical properties, thermal properties, chemical stability under normal and offnormal operation conditions, hermeticity, and irradiation resistance. Table S.1 summarizes those properties mainly for nuclear-grade SiC/SiC composites fabricated via chemical vapor infiltration (CVI). While most of the important properties are available, this work found that data for the in-pile hydrothermal corrosion resistance of SiC materials and for thermal properties of tube materials are lacking for evaluation of SiC-based cladding for LWR applications.

  7. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  8. 300C/15 kW power converter with AlGaN/GaN-Si MOS-HFETs for electric propulsion systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Capitalizing on a strong expertise in III-Nitride epitaxy, GaN-Si power device designs, and wide-bandgap power electronics, researchers at GeneSiC Semiconductor...

  9. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  10. Nanowires and nanotubes of BN, GaN and Si3N4

    International Nuclear Information System (INIS)

    Deepak, F.L.; Gundiah, G.; Govindaraj, A.; Rao, C.N.

    2002-01-01

    Simple methods of synthesizing nanotubes and nanowires of boron nitride, gallium nitride and silicon nitride have been investigated. The nanotubes and nanowires have been examined by electron microscopy and other techniques. In the case of BN, activated carbon or multi-walled carbon nanotubes (MWNTs) was heated with boric acid in the presence of NH 3 . With activated carbon, BN nanowires constitute the primary products, but good yields of BN nanotubes are obtained with MWNTs. Aligned BN nanotubes are obtained when aligned MWNTs are employed as the starting material suggesting templating role of carbon nanotubes. Single crystal gallium nitride nanowires have been obtained by heating carbon nanotubes coated with gallium acetylacetonate in NH 3 vapor at 910 o C. Single walled carbon nanotubes were used as templated to reduce the diameter of the GaN nanowires. The growth direction of the GaN nanowires is nearly perpendicular to the [100] planes and the nanowires exhibit satisfactory photoluminescence spectra. Si 3 N 4 nanowires have been synthesized by heating multi-walled carbon nanotubes with silica gel at 1360 o C in an atmosphere of NH 3 . Si 3 N 4 nanotubes are found occasionally when aligned multi-walled nanotubes are employed as templates. (author)

  11. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  12. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-01

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  13. Electrical properties of Si/Si1-xGex/Si inverted modulation doped structures

    International Nuclear Information System (INIS)

    Sadeghzadeh, M.A.

    1998-12-01

    This thesis is a report of experimental investigations of growth strategy and electrical properties of Si/Si 1-x Ge x /Si inverted Modulation Doped (MD) structures grown by solid source Molecular Beam Epitaxy (MBE). If the grown Si layer is B-doped at some distance (as spacer) before or after the alloy layer, this remote doping induces the formation of a quasi Two Dimensional Hole Gas (2-DHG) near to the inverted (SiGe on Si) or normal (Si on SiGe) heterointerfaces of the Si/Si 1-x Ge x /Si quantum well, respectively. The latter arrangement is the well known 'normal' MD structure but the former one is the so-called 'inverted' MD structure which is of great interest for Field Effect Transistor (FET) applications. A reproducible growth strategy was employed by the use of a thick (400nm) Si cap for inverted MD structures with Ge composition in the range of 16-23%. Boron segregation and cap surface charges are significant in these inverted structures with small ( 20nm) spacer layers, respectively. It was demonstrated by secondary ion mass spectroscopy (SIMS) that boron segregation, which causes a reduction in the effective spacer dimension, can be suppressed by growth interruption after boron doping. The enhancement in hole sheet density with increasing Si cap layer thickness, is attributed to a reduction in the influence of positive surface charges in these structures. Top-gated devices were fabricated using these structures and the hole sheet density could be varied by applying a voltage to the metal-semiconductor gate, and the maximum Hall mobility of 5550 cm 2 V -1 s -1 with 4.2x10 11 cm -2 was measured (at 1.6K) in these structures. Comparison of measured Hall mobility (at 4.2K) as a function of hole sheet density in normal and inverted MD structures implies that both 2-DHG confined at normal and/or inverted structures are subjected to very similar interface charge, roughness, and alloy scattering potentials. Low temperatures magnetotransport measurements (down to

  14. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    Energy Technology Data Exchange (ETDEWEB)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O. [UMR FOTON, CNRS, INSA Rennes, Rennes F-35708 (France); Stodolna, J.; Ponchet, A. [CEMES-CNRS, Université de Toulouse, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 04 (France); Bahri, M.; Largeau, L.; Patriarche, G. [Laboratoire de Photonique et Nanostructures, CNRS UPR 20, Route de Nozay, Marcoussis 91460 (France); Magen, C. [LMA, INA-ARAID, and Departamento de Física de la Materia Condensada, Universidad de Zaragoza, 50018 Zaragoza (Spain)

    2015-11-09

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.

  15. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    International Nuclear Information System (INIS)

    Ping Wang, Y.; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O.; Stodolna, J.; Ponchet, A.; Bahri, M.; Largeau, L.; Patriarche, G.; Magen, C.

    2015-01-01

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth

  16. Synthesis and structural property of Si nanosheets connected to Si nanowires using MnCl{sub 2}/Si powder source

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Erchao [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan); Ueki, Akiko [Toyota Central R& D Labs., Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192 (Japan); Meng, Xiang [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan); Suzuki, Hiroaki [Graduate School of Engineering, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan); Itahara, Hiroshi [Toyota Central R& D Labs., Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192 (Japan); Tatsuoka, Hirokazu, E-mail: tatsuoka.hirokazu@shizuoka.ac.jp [Graduate School of Integrated Science and Technology, Shizuoka University, 3-5-1 Johuku, Naka-ku, Hamamatsu, Shizuoka 432-8561 (Japan)

    2016-08-15

    Graphical abstract: Si nanosheets connected to Si nanowires synthesized using a MnCl{sub 2}/Si powder source with an Au catalyst avoid the use of air-sensitive SiH{sub 4} or SiCl{sub 4}. It was evident from these structural features of the nanosheets (leaf blade) with nanowires (petiole) that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes of the Si(111) nanosheets was clearly explained by the interference with the extra diffraction spots that arose due to the reciprocal lattice streaking effect. - Highlights: • New Si nanosheets connected to Si nanowires were synthesized using MnCl{sub 2}/Si powders. • The synthesis method has benefits in terms of avoiding air sensitive SiH{sub 4} or SiCl{sub 4}. • Structural property and electron diffraction of the Si nanosheets were clarified. • Odd lattice fringes of the Si nanosheets observed by HRTEM were clearly explained. - Abstract: Si nanosheets connected to Si nanowires were synthesized using a MnCl{sub 2}/Si powder source with an Au catalyst. The synthesis method has benefits in terms of avoiding conventionally used air-sensitive SiH{sub 4} or SiCl{sub 4}. The existence of the Si nanosheets connected to the Si<111> nanowires, like sprouts or leaves with petioles, was observed, and the surface of the nanosheets was Si{111}. The nanosheets were grown in the growth direction of <211> perpendicular to that of the Si nanowires. It was evident from these structural features of the nanosheets that the nanosheets were formed by the twin-plane reentrant-edge mechanism. The feature of the observed lattice fringes, which do not appear for Si bulk crystals, of the Si(111) nanosheets obtained by high resolution transmission electron microscopy was clearly explained due to the extra diffraction spots that arose by the reciprocal lattice streaking effect.

  17. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process.

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-25

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  18. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  19. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    Science.gov (United States)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  20. Experimental and thermodynamic assessments of substitutions in the AlFeSi, FeMnSi, FeSiZr and AlCaFeSi systems (65 wt % Si) - solidification simulation

    International Nuclear Information System (INIS)

    Gueneau, C.; Ansara, I.

    1994-01-01

    The substitutions of Al Si, Fe Mn and Fe Zr in some intermetallic compounds of the Al-Fe-Si, Fe-Mn-Si and Fe-Si-Zr systems are modelled in the Si-rich corner using a two sublattice model. The solidification paths of the studied alloys are determined at equilibrium. The ascalculated phase volume fractions of the alloys are compared to the experimental ones. Finally, a solidification simulation using the Gulliver-Scheil's model is performed in order to explain the formation of some precipitates experimentally observed. (authors). 14 figs., 19 refs

  1. Ultrathin SiO{sub 2} layer formed by the nitric acid oxidation of Si (NAOS) method to improve the thermal-SiO{sub 2}/Si interface for crystalline Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Taketoshi; Nakajima, Hiroki; Irishika, Daichi; Nonaka, Takaaki; Imamura, Kentaro; Kobayashi, Hikaru, E-mail: h.kobayashi@sanken.osaka-u.ac.jp

    2017-02-15

    Highlights: • The density of interface states at the SiO{sub 2}/Si interface is decreased by NAOS. • The minority carrier lifetime is increased by the NAOS treatment. • Great interfacial properties of the NAOS layer are kept after thermal oxidation. - Abstract: A combination of the nitric acid oxidation of Si (NAOS) method and post-thermal oxidation is found to efficiently passivate the SiO{sub 2}/n-Si(100) interface. Thermal oxidation at 925 °C and annealing at 450 °C in pure hydrogen atmosphere increases the minority carrier lifetime by three orders of magnitude, and it is attributed to elimination of Si dangling bond interface states. Fabrication of an ultrathin, i.e., 1.1 nm, NAOS SiO{sub 2} layer before thermal oxidation and H{sub 2} annealing further increases the minority carrier lifetime by 30% from 8.6 to 11.1 ms, and decreased the interface state density by 10% from 6.9 × 10{sup 9} to 6.3 × 10{sup 9}eV{sup −1} cm{sup −2}. After thermal oxidation at 800 °C, the SiO{sub 2} layer on the NAOS-SiO{sub 2}/Si(100) structure is 2.26 nm thick, i.e., 0.24 nm thicker than that on the Si(100) surface, while after thermal oxidation at 925 °C, it is 4.2 nm thick, i.e., 0.4 nm thinner than that on Si(100). The chemical stability results from the higher atomic density of a NAOS SiO{sub 2} layer than that of a thermal oxide layer as reported in Ref. [28] (Asuha et al., 2002). Higher minority carrier lifetime in the presence of the NAOS layer indicates that the NAOS-SiO{sub 2}/Si interface with a low interface state density is preserved after thermal oxidation, which supports out-diffusion oxidation mechanism, by which a thermal oxide layer is formed on the NAOS SiO{sub 2} layer.

  2. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  3. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  4. Monolithic Composite “Pressure + Acceleration + Temperature + Infrared” Sensor Using a Versatile Single-Sided “SiN/Poly-Si/Al” Process-Module

    Directory of Open Access Journals (Sweden)

    Xinxin Li

    2013-01-01

    Full Text Available We report a newly developed design/fabrication module with low-cost single-sided “low-stress-silicon-nitride (LS-SiN/polysilicon (poly-Si/Al” process for monolithic integration of composite sensors for sensing-network-node applications. A front-side surface-/bulk-micromachining process on a conventional Si-substrate is developed, featuring a multifunctional SiN/poly-Si/Al layer design for diverse sensing functions. The first “pressure + acceleration + temperature + infrared” (PATIR composite sensor with the chip size of 2.5 mm × 2.5 mm is demonstrated. Systematic theoretical design and analysis methods are developed. The diverse sensing components include a piezoresistive absolute-pressure sensor (up to 700 kPa, with a sensitivity of 49 mV/MPa under 3.3 V supplied voltage, a piezoresistive accelerometer (±10 g, with a sensitivity of 66 μV/g under 3.3 V and a −3 dB bandwidth of 780 Hz, a thermoelectric infrared detector (with a responsivity of 45 V/W and detectivity of 3.6 × 107 cm·Hz1/2/W and a thermistor (−25–120 °C. This design/fabrication module concept enables a low-cost monolithically-integrated “multifunctional-library” technique. It can be utilized as a customizable tool for versatile application-specific requirements, which is very useful for small-size, low-cost, large-scale sensing-network node developments.

  5. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  6. Mechanical behavior of SiCf/SiC composites with alternating PyC/SiC multilayer interphases

    International Nuclear Information System (INIS)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-01-01

    Highlights: ► Superior combination of flexural strength and fracture toughness of the 3D SiC/SiC composite was achieved by interface tailoring. ► Resulted composite possesses a much higher flexural strength and fracture toughness than its counterparts in literatures. ► Mechanisms that PyC/SiC multilayer coatings improve the mechanical properties were illustrated. -- Abstract: In order to tailor the fiber–matrix interface of continuous silicon carbide fiber reinforced silicon carbide (SiC f /SiC) composites for improved fracture toughness, alternating pyrolytic carbon/silicon carbide (PyC/SiC) multilayer coatings were applied to the KD-I SiC fibers using chemical vapor deposition (CVD) method. Three dimensional (3D) KD-I SiC f /SiC composites reinforced by these coated fibers were fabricated using a precursor infiltration and pyrolysis (PIP) process. The interfacial characteristics were determined by the fiber push-out test and microstructural examination using scanning electron microscopy (SEM). The effect of interface coatings on composite mechanical properties was evaluated by single-edge notched beam (SENB) test and three-point bending test. The results indicate that the PyC/SiC multilayer coatings led to an optimum interfacial bonding between fibers and matrix and greatly improved the fracture toughness of the composites.

  7. Oscillations in the fusion of the Si + Si systems

    International Nuclear Information System (INIS)

    Aguilera R, E.F.; Kolata, J.J.; DeYoung, P.A.; Vega, J.J.

    1986-02-01

    Excitation functions for the yields of all the residual nuclei from the 28 Si + 28,30 and 30 Si + 30 Si reactions have been measured via the γ-ray technique for center of mass energies in the region within one and two times the Coulomb barrier.Thirteen elements were identified for the first reaction and ten for the other two. While no structure is shown by the data for the 28 + 28 Si reaction, we have found evidence for intermediate width structure in the 2α and the αpn channels in 28 Si + 30 Si and for broad structure in the total fusion cross sections for 30 Si + 30 Si. Calculations using a barrier penetration model with one free parameter reproduce the experimental results quite well. Evaporation model calculations indicate that the individual structure of the nuclei involved in the respective decay chains might have an important influence upon the deexcitation process at the energies relevant to our experiments. (Author)

  8. Structure of MnSi on SiC(0001)

    Science.gov (United States)

    Meynell, S. A.; Spitzig, A.; Edwards, B.; Robertson, M. D.; Kalliecharan, D.; Kreplak, L.; Monchesky, T. L.

    2016-11-01

    We report on the growth and magnetoresistance of MnSi films grown on SiC(0001) by molecular beam epitaxy. The growth resulted in a textured MnSi(111) film with a predominantly [1 1 ¯0 ] MnSi (111 )∥[11 2 ¯0 ] SiC(0001) epitaxial relationship, as demonstrated by transmission electron microscopy, reflection high energy electron diffraction, and atomic force microscopy. The 500 ∘C temperature required to crystallize the film leads to a dewetting of the MnSi layer. Although the sign of the lattice mismatch suggested the films would be under compressive stress, the films acquire an in-plane tensile strain likely driven by the difference in thermal expansion coefficients between the film and substrate during annealing. As a result, the magnetoresistive response demonstrates that the films possess a hard-axis out-of-plane magnetocrystalline anisotropy.

  9. Key technology for (V)HTR: laser beam joining of SiC

    International Nuclear Information System (INIS)

    Knorr, J.; Lippmann, W.; Reinecke, A.M.; Wolf, R.; Rasper, R.; Kerber, A.; Wolter, A.

    2005-01-01

    Laser beam joining has numerous advantages over other methods presently known. After having been developed successful for brazing silicon carbide for high temperature applications, this technology is now also available for silicon nitride. Thus the field of application of SiC and Si 3 N 4 which are very interesting materials for the nuclear sector is considerably extended thanks to this new technology. Ceramic encapsulation of fuel and absorber increases the margins for operation at very high temperatures. Additionally, without ceramic encapsulation of the main core components, it will be difficult to continue claiming non-catastrophic behaviour for the (V)HTR. (orig.)

  10. High-temperature elastic properties of in situ-reinforced Si3N4

    International Nuclear Information System (INIS)

    Swift, Geoffrey A.; Uestuendag, Ersan; Clausen, Bjoern; Bourke, Mark A.M.; Lin, H.-T.

    2003-01-01

    A high-temperature tensile stress study of a monolithic silicon nitride (Si 3 N 4 ) was performed with time-of-flight neutron diffraction. A dedicated engineering diffractometer was employed at temperatures reaching 1375 deg. C. Rietveld refinements of diffraction spectra allowed the determination of (1) the coefficient of thermal expansion tensor during heating and (2) lattice strains during loading. The stress-strain response of individual lattice reflections was used to calculate the single-crystal elastic stiffness tensor of Si 3 N 4 at 1375 deg. C via a self-consistent model

  11. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  12. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  13. Fracture and subcritical crack-growth behavior of Y-Si-Al-O-N glasses and Si3N4 ceramics

    International Nuclear Information System (INIS)

    Bhatnagar, A.; Hoffman, M.J.; Dauskardt, R.H.

    2000-01-01

    Fracture and environmentally assisted subcritical crack-growth processes are examined in bulk Y-Si-Al-O-N oxynitride glasses with compositions typical of the grain boundary phase of silicon nitride ceramics. Both long-crack (in compact tension specimens) as well as short-crack behavior (using indentation techniques) were investigated to establish a reliable fracture toughness and to elucidate the anomalous densification behavior of the oxynitride glass. Environmentally assisted subcritical crack-growth processes were studied in inert, moist, and wet environments under both cyclic and static loading conditions. Behavior is discussed in terms of the interaction of the environment with the crack tip. Likely mechanisms for environmentally assisted crack growth are discussed and related to the subcritical crack-growth behavior of silicon nitride ceramics

  14. Laser-controlled stress of Si nanocrystals in a free-standing Si /SiO2 superlattice

    Science.gov (United States)

    Khriachtchev, Leonid; Räsänen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar+ laser radiation melts Si nanocrystals in a free-standing Si /SiO2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means.

  15. Laser-controlled stress of Si nanocrystals in a free-standing Si/SiO2 superlattice

    International Nuclear Information System (INIS)

    Khriachtchev, Leonid; Raesaenen, Markku; Novikov, Sergei

    2006-01-01

    We report laser manipulations with stress at the nanoscale level. The continuous-wave Ar + laser radiation melts Si nanocrystals in a free-standing Si/SiO 2 superlattice. Silicon crystallization from the liquid phase leads to a compressive stress, which can be accurately tuned in the 3 GPa range using laser annealing below the Si melting temperature and then recovered by laser annealing above the melting temperature. This allows investigations of various phenomena as a function of stress and makes a case of Si-nanocrystal memory with very long retention time, which can be written, erased, and read by optical means

  16. Isotopic effects in sub-barrier fusion of Si + Si systems

    Science.gov (United States)

    Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Esbensen, H.; Bourgin, D.; Čolović, P.; Corradi, L.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Grebosz, J.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Szilner, S.; Urbani, M.; Zhang, G. L.

    2018-04-01

    Background: Recent measurements of fusion cross sections for the 28Si+28Si system revealed a rather unsystematic behavior; i.e., they drop faster near the barrier than at lower energies. This was tentatively attributed to the large oblate deformation of 28Si because coupled-channels (CC) calculations largely underestimate the 28Si+28Si cross sections at low energies, unless a weak imaginary potential is applied, probably simulating the deformation. 30Si has no permanent deformation and its low-energy excitations are of a vibrational nature. Previous measurements of this system reached only 4 mb, which is not sufficient to obtain information on effects that should show up at lower energies. Purpose: The aim of the present experiment was twofold: (i) to clarify the underlying fusion dynamics by measuring the symmetric case 30Si+30Si in an energy range from around the Coulomb barrier to deep sub-barrier energies, and (ii) to compare the results with the behavior of 28Si+28Si involving two deformed nuclei. Methods: 30Si beams from the XTU tandem accelerator of the Laboratori Nazionali di Legnaro of the Istituto Nazionale di Fisica Nucleare were used, bombarding thin metallic 30Si targets (50 μ g /cm2) enriched to 99.64 % in mass 30. An electrostatic beam deflector allowed the detection of fusion evaporation residues (ERs) at very forward angles, and angular distributions of ERs were measured. Results: The excitation function of 30Si+30Si was measured down to the level of a few microbarns. It has a regular shape, at variance with the unusual trend of 28Si+28Si . The extracted logarithmic derivative does not reach the LCS limit at low energies, so that no maximum of the S factor shows up. CC calculations were performed including the low-lying 2+ and 3- excitations. Conclusions: Using a Woods-Saxon potential the experimental cross sections at low energies are overpredicted, and this is a clear sign of hindrance, while the calculations performed with a M3Y + repulsion

  17. Thermal plasma synthesis of transition metal nitrides and alloys

    International Nuclear Information System (INIS)

    Ronsheim, P.; Christensen, A.N.; Mazza, A.

    1981-01-01

    Applications of arc plasma processing to high-temperature chemistry of Group V nitrides and Si and Ge alloys are studied. The transition metal nitrides 4f-VN, 4f-NbN, and 4f-TaN are directly synthesized in a dc argon-nitrogen plasma from powders of the metals. A large excess of N 2 is required to form stoichiometric 4f-VN, while the Nb and Ta can only be synthesized with a substoichiometric N content. In a dc argon plasma the alloys V 3 Si, VSi 2 , NbSi 2 , NbGe 2 , Cr 3 Si, and Mo 3 Si are obtained from powder mixtures of the corresponding elements. The compounds are identified by x-ray diffraction patterns and particle shape and size are studied by electron microscopy

  18. Optical property of silicon quantum dots embedded in silicon nitride by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Baek Hyun, E-mail: bhkim@andrew.cmu.ed [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Davis, Robert F. [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju, 500-712 (Korea, Republic of)

    2010-01-01

    We present the effects on the thermal annealing of silicon quantum dots (Si QDs) embedded in silicon nitride. The improved photoluminescence (PL) intensities and the red-shifted PL spectra were obtained with annealing treatment in the range of 700 to 1000 {sup o}C. The shifts of PL spectra were attributed to the increase in the size of Si QDs. The improvement of the PL intensities was also attributed to the reduction of point defects at Si QD/silicon nitride interface and in the silicon nitride due to hydrogen passivation effects.

  19. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  20. Synthesis and characterization of laminated Si/SiC composites

    Science.gov (United States)

    Naga, Salma M.; Kenawy, Sayed H.; Awaad, Mohamed; Abd El-Wahab, Hamada S.; Greil, Peter; Abadir, Magdi F.

    2012-01-01

    Laminated Si/SiC ceramics were synthesized from porous preforms of biogenous carbon impregnated with Si slurry at a temperature of 1500 °C for 2 h. Due to the capillarity infiltration with Si, both intrinsic micro- and macrostructure in the carbon preform were retained within the final ceramics. The SEM micrographs indicate that the final material exhibits a distinguished laminar structure with successive Si/SiC layers. The produced composites show weight gain of ≈5% after heat treatment in air at 1300 °C for 50 h. The produced bodies could be used as high temperature gas filters as indicated from the permeability results. PMID:25685404

  1. Synthesis and characterization of laminated Si/SiC composites

    Directory of Open Access Journals (Sweden)

    Salma M. Naga

    2013-01-01

    Full Text Available Laminated Si/SiC ceramics were synthesized from porous preforms of biogenous carbon impregnated with Si slurry at a temperature of 1500 °C for 2 h. Due to the capillarity infiltration with Si, both intrinsic micro- and macrostructure in the carbon preform were retained within the final ceramics. The SEM micrographs indicate that the final material exhibits a distinguished laminar structure with successive Si/SiC layers. The produced composites show weight gain of ≈5% after heat treatment in air at 1300 °C for 50 h. The produced bodies could be used as high temperature gas filters as indicated from the permeability results.

  2. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  3. Tailoring of SiC nanoprecipitates formed in Si

    Energy Technology Data Exchange (ETDEWEB)

    Velisa, G., E-mail: gihan.velisa@cea.fr [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Horia Hulubei National Institute for Physics and Nuclear Engineering, P.O. Box MG-6, 077125 Magurele (Romania); Trocellier, P. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Thomé, L. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Vaubaillon, S. [CEA, INSTN, UEPTN, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Miro, S.; Serruys, Y.; Bordas, É. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Meslin, E. [CEA, DEN, Service de Recherches de Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Mylonas, S. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Coulon, P.E. [Ecole Polytechnique, Laboratoire des Solides Irradiés, CEA/DSM/IRAMIS-CNRS, 91128 Palaiseau Cedex (France); Leprêtre, F.; Pilz, A.; Beck, L. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France)

    2013-07-15

    The SiC synthesis through single-beam of C{sup +}, and simultaneous-dual-beam of C{sup +} and Si{sup +} ion implantations into a Si substrate heated at 550 °C has been studied by means of three complementary analytical techniques: nuclear reaction analysis (NRA), Raman, and transmission electron microscopy (TEM). It is shown that a broad distribution of SiC nanoprecipitates is directly formed after simultaneous-dual-beam (520-keV C{sup +} and 890-keV Si{sup +}) and single-beam (520-keV C{sup +}) ion implantations. Their shape appear as spherical (average size ∼4–5 nm) and they are in epitaxial relationship with the silicon matrix.

  4. Passivation layer of Si/Li ionizing radiation detectors

    International Nuclear Information System (INIS)

    Vidra, M.; Reznicek, L.

    1992-01-01

    The proposed passivating layer of Si/Li ionizing radiation detectors ensures a good long-time stability of their volt-ampere characteristics and noise properties. The layer can be applied to protect the detector junction surface in systems cyclically cooled to liquid nitrogen temperature, and in preamplifier feedback optoelectronics to prevent light from entering into the detector. The passivating layer is obtained by evaporating solvent from a cured suspension of boron nitride or aluminium oxide powder in a solution containing piceine and a nonpolar solvent such as toluene. The weight proportions are 1 to 8 parts of piceine, 3 to 9 parts of boron nitride or aluminium oxide, and 1 to 10 parts of the nonpolar solvent. (Z.S.)

  5. Uniform Si nano-dot fabrication using reconstructed structure of Si(110)

    Science.gov (United States)

    Yano, Masahiro; Uozumi, Yuki; Yasuda, Satoshi; Asaoka, Hidehito

    2018-06-01

    Si nano-dot (ND) formation on Si(110) is observed by means of a scanning tunneling microscope (STM). The initial Si-NDs are Si crystals that are continuous from the substrate and grow during the oxide layer desorption. The NDs fabricated on the flat surface of Si(110)-1 × 1 are surrounded by four types of facets with almost identical appearance probabilities. An increase in the size of the NDs increases the variety of its morphology. In contrast, most Si-NDs fabricated on straight-stepped surface of Si(110)-16 × 2 reconstructed structure are surrounded by only a single type of facet, namely the \\text{Si}(17,15,1)-2 × 1 plane. An appearance probability of the facet in which the base line is along the step of Si(110)-16 × 2 exceeds 75%. This finding provides a fabrication technique of uniformed structural Si-NDs by using the reconstructed structure of Si(110).

  6. Neutron tolerance of advanced SiC-fiber/CVI-SiC composites

    International Nuclear Information System (INIS)

    Katoh, Y.; Kohyama, A.; Snead, L.L.; Hinoki, T.; Hasegawa, A.

    2003-01-01

    Fusion blankets employing a silicon carbide (SiC) fiber-reinforced SiC matrix composite (SiC/SiC composite) as the structural material provide attractive features represented by high cycle efficiency and extremely low induced radioactivity. Recent advancement in processing and utilization techniques and application studies in ceramic gas turbine and advanced transportation systems, SiC/SiC composites are steadily getting matured as industrial materials. Reference SiC/SiC composites for fusion structural applications have been produced by a forced-flow chemical vapor infiltration (FCVI) method using conventional and advanced near-stoichiometric SiC fibers and extensively evaluated primarily in Japan-US collaborative JUPITER program. In this work, effect of neutron irradiation at elevated temperatures on mechanical property of these composites is characterized. Unlike in conventional SiC/SiC composites, practically no property degradation was identified in advanced composites with a thin carbon interphase by a neutron fluence level of approximately 8dpa at 800C. (author)

  7. High thermal conductivity SiC/SiC composites for fusion applications -- 2

    International Nuclear Information System (INIS)

    Kowbel, W.; Tsou, K.T.; Withers, J.C.; Youngblood, G.E.

    1998-01-01

    This report covers material presented at the IEA/Jupiter Joint International Workshop on SiC/SiC Composites for Fusion Structural Applications held in conjunction with ICFRM-8, Sendai, Japan, Oct. 23--24, 1997. An unirradiated SiC/SiC composite made with MER-developed CVR SiC fiber and a hybrid PIP/CVI SiC matrix exhibited room temperature transverse thermal conductivity of 45 W/mK. An unirradiated SiC/SiC composite made from C/C composite totally CVR-converted to a SiC/SiC composite exhibited transverse thermal conductivity values of 75 and 35 W/mK at 25 and 1000 C, respectively. Both types of SiC/SiC composites exhibited non-brittle failure in flexure testing

  8. Optimization of time–temperature schedule for nitridation of silicon ...

    Indian Academy of Sciences (India)

    pact was optimized by kinetic study of the reaction, 3Si + 2N2 = Si3N4 at four different temperatures (1250°C,. 1300°C, 1350°C and 1400°C). ... Reaction sintered silicon nitride; nitridation; reaction kinetics. 1. Introduction. Formation of ..... cation of silica layer resulted in active oxidation of silicon at high temperature to ...

  9. Passivation of defect states in Si and Si/SiO2 interface states by cyanide treatment: improvement of characteristics of pin-junction amorphous Si and crystalline Si-based metal-oxide-semiconductor junction solar cells

    International Nuclear Information System (INIS)

    Fujiwara, N.; Fujinaga, T.; Niinobe, D.; Maida, O.; Takahashi, M.; Kobayashi, H.

    2003-01-01

    Defect states in Si can be passivated by cyanide treatment which simply involves immersion of Si materials in KCN solutions, followed by rinse. When the cyanide treatment is applied to pin-junction amorphous Si [a-Si] solar cells, the initial conversion efficiency increases. When the crown-ether cyanide treatment using a KCN solution of xylene containing 18-crown-6 is performed on i-a-Si films, decreases in the photo- and dark current densities with the irradiation time are prevented. The cyanide treatment can also passivate interface states present at Si/SiO 2 interfaces, leading to an increase in the conversion efficiency of 2 / Si (100)> solar cells.. Si-CN bonds formed by the reaction of defect states with cyanide ions have a high bond energy of about 4.5 eV and hence heat treatment at 800 0 C does not rupture the bonds, making thermal stability of the cyanide treatment.. When the cyanide treatment is applied to ultrathin SiO 2 /Si structure, the leakage current density is markedly decreased (Authors)

  10. Optical and structural properties of SiOxNyHz films deposited by electron cyclotron resonance and their correlation with composition

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F. J.; Bohne, W.; Roehrich, J.; Selle, B.; Martinez, F. L.

    2003-01-01

    SiO x N y H z films were deposited from O 2 , N 2 , and SiH 4 gas mixtures at room temperature using the electron cyclotron resonance plasma method. The absolute concentrations of all the species present in the films (Si, O, N, and H) were measured with high precision by heavy-ion elastic recoil detection analysis. The composition of the films was controlled over the whole composition range by adjusting the precursor gases flow ratio during deposition. The relative incorporation of O and N is determined by the ratio Q=φ(O 2 )/φ(SiH 4 ) and the relative content of Si is determined by R=[φ(O 2 )+φ(N 2 )]/φ(SiH 4 ) where φ(SiH 4 ), φ(O 2 ), and φ(N 2 ) are the SiH 4 , O 2 , and N 2 gas flows, respectively. The optical properties (infrared absorption and refractive index) and the density of paramagnetic defects were analyzed in dependence on the film composition. Single-phase homogeneous films were obtained at low SiH 4 partial pressure during deposition; while those samples deposited at high SiH 4 partial pressure show evidence of separation of two phases. The refractive index was controlled over the whole range between silicon nitride and silicon oxide, with values slightly lower than in stoichiometric films due to the incorporation of H, which results in a lower density of the films. The most important paramagnetic defects detected in the films were the K center and the E ' center. Defects related to N were also detected in some samples. The total density of defects in SiO x N y H z films was higher than in SiO 2 and lower than in silicon nitride films

  11. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  12. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  13. Enhancement of photoluminescence properties and modification of crystal structures of Si3N4 doping Li2Sr0.995SiO4:0.005Eu2+ phosphors

    International Nuclear Information System (INIS)

    Song, Kaixin; Zhang, Fangfang; Chen, Daqin; Wu, Song; Zheng, Peng; Huang, Qingming; Jiang, Jun; Xu, Junming; Qin, Huibin

    2015-01-01

    Highlights: • Si 3 N 4 modified Li 2 Sr 0.995 SiO 4 :0.005Eu 2+ phosphors were prepared. • The luminescence intensity of Li 2 Sr 0.995 SiO 4 :Eu 2+ was enhanced by doping Si 3 N 4 . • The fluorescence decay times and thermal stability were enhanced by doping Si 3 N 4 . - Abstract: Si 3 N 4 modified Li 2 Sr 0.995 SiO 4 :0.005Eu 2+ (Li 2 Sr 0.995 SiO 4−3x/2 N x :0.005Eu 2+ ) phosphors were synthesized with the conventional solid-state reaction in the reduced atmosphere. The crystal structure and vibrational modes were analyzed by X-ray diffraction, Raman scattering spectroscopy and Rietveld crystal structure refinement. Photoluminescence (PL) and photoluminescence excitation (PLE) spectra showed that Li 2 Sr 0.995 SiO 4−3x/2 N x :0.005Eu 2+ powder exhibited a broad yellow emission band centered at 560 nm under the excitation of 460 nm visible light, due to the 4f 6 5d 1 → 4f 7 transition of Eu 2+ . The partial nitridation of Li 2 Sr 0.995 SiO 4−3x/2 N x :0.005Eu 2+ (x = 0.01) phosphors led to a large enhancement in the luminescence intensity, as much as 190%. At the same time, the fluorescence decay behavior curves further showed that the photoluminescence efficiencies of Li 2 Sr 0.995 SiO 4−3x/2 N x :0.005Eu 2+ phosphors were enhanced by addition of Si 3 N 4 . The temperature quenching characteristics confirmed that the oxynitride based Li 2 Sr 0.995 SiO 4−3x/2 N x :0.005Eu 2+ showed slightly higher stability. It is implied that Li 2 Sr 0.995 SiO 4−3x/2 N x :0.005Eu 2+ phosphors had a possible potential application on white LEDs to match blue light chips

  14. Controlling the optical properties of monocrystalline 3C-SiC heteroepitaxially grown on silicon at low temperatures

    Science.gov (United States)

    Colston, Gerard; Myronov, Maksym

    2017-11-01

    Cubic silicon carbide (3C-SiC) offers an alternative wide bandgap semiconductor to conventional materials such as hexagonal silicon carbide (4H-SiC) or gallium nitride (GaN) for the detection of UV light and can offer a closely lattice matched virtual substrate for subsequent GaN heteroepitaxy. As 3C-SiC can be heteroepitaxially grown on silicon (Si) substrates its optical properties can be manipulated by controlling the thickness and doping concentrations. The optical properties of 3C-SiC epilayers have been characterized by measuring the transmission of light through suspended membranes. Decreasing the thickness of the 3C-SiC epilayers is shown to shift the absorbance edge to lower wavelengths, a result of the indirect bandgap nature of silicon carbide. This property, among others, can be exploited to fabricate very low-cost, tuneable 3C-SiC based UV photodetectors. This study investigates the effect of thickness and doping concentration on the optical properties of 3C-SiC epilayers grown at low temperatures by a standard Si based growth process. The results demonstrate the potential photonic applications of 3C-SiC and its heterogeneous integration into the Si industry.

  15. The dependence of the interface and shape on the constrained growth of nc-Si in a-SiN sub x /a-Si:H/a-SiN sub x structures

    CERN Document Server

    Zhang Li; Wang Li; Li Wei; Xu Jun; Huang Xin Fan; Chen Kun Ji

    2002-01-01

    Size-controlled nanocrystalline silicon (nc-Si) has been prepared from a-SiN sub x /a-Si:H/a-SiN sub x ('a' standing for amorphous) structures by thermal annealing. Transmission electron microscope analyses show that the lateral size of the nc-Si is controlled by the annealing conditions and the a-Si sublayer thickness. The deviation of the nc-Si grain size distribution decreases with the a-Si sublayer thickness, so thinner a-Si sublayers are favourable for obtaining uniform nc-Si grains. In the a-Si:H (10 nm) sample annealed at 1000 deg. C for 30 min, an obvious bi-modal size distribution of nc-Si grains appears, but no obvious bi-modal size distribution is found in other samples with thinner a-Si:H sublayers. On the basis of the experimental results, we discuss the process of transition from the sphere-like shape to the disc-like shape in the growth model of the nc-Si crystallization. The critical thickness of the a-Si sublayer for the constrained crystallization can be determined by the present model. More...

  16. The Effect of Polymer Char on Nitridation Kinetics of Silicon

    Science.gov (United States)

    Chan, Rickmond C.; Bhatt, Ramakrishna T.

    1994-01-01

    Effects of polymer char on nitridation kinetics of attrition milled silicon powder have been investigated from 1200 to 1350 C. Results indicate that at and above 1250 C, the silicon compacts containing 3.5 wt percent polymer char were fully converted to Si3N4 after 24 hr exposure in nitrogen. In contrast, the silicon compacts without polymer char could not be fully converted to Si3N4 at 1350 C under similar exposure conditions. At 1250 and 1350 C, the silicon compacts with polymer char showed faster nitridation kinetics than those without the polymer char. As the polymer char content is increased, the amount of SiC in the nitrided material is also increased. By adding small amounts (approx. 2.5 wt percent) of NiO, the silicon compacts containing polymer char can be completely nitrided at 1200 C. The probable mechanism for the accelerated nitridation of silicon containing polymer char is discussed.

  17. Gas leak tightness of SiC/SiC composites at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Hayasaka, Daisuke, E-mail: hayasaka@oasis.muroran-it.ac.jp [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Graduate School of Engineering, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Park, Joon-Soo. [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Kishimoto, Hirotatsu [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Graduate School of Engineering, Muroran Institute of Technology, Muroran, Hokkaido (Japan); Kohyama, Akira [OASIS, Muroran Institute of Technology, Muroran, Hokkaido (Japan)

    2016-11-01

    Highlights: • NITE-SiC/SiC has extremely densified microstructure compared with other SiC/SiC composite like CVI. • Excellent helium and hydrogen gas-leak tightness of SiC/SiC composites by DEMO-NITE method from prototype industrialization production line was presented. • The excellence against stainless steel and Zircaloy at elevated temperature, together with generic excellent properties of SiC will be inevitable for innovative blanket and divertors for DEMO- and power- fusion reactors. - Abstract: SiC/SiC composite materials are attractive candidates for high heat flux components and blanket of fusion reactor, mainly due to their high temperature properties, radiation damage tolerance and low induced radioactivity. One of the challenges for SiC/SiC application in fusion reactors is to satisfy sufficient gas leak tightness of hydrogen and helium isotopes. Although many efforts have been carried-out, SiC/SiC composites by conventional processes have not been successful to satisfy the requirements, except SiC/SiC composites by NITE-methods. Toward the early realization of SiC/SiC components into fusion reactor systems process development of NITE-process has been continued. Followed to the brief introduction of recently developed DEMO-NITE process, baseline properties and hydrogen and helium gas leak tightness is presented. SiC/SiC claddings with 10 mm in diameter and 1 mm in wall thickness are tested by gas leak tightness system developed. The leak tightness measurements are done room temperature to 400 °C. Excellent gas leak tightness equivalent or superior to Zircaloy claddings for light water fission reactors is confirmed. The excellent gas leak tightness suggests nearly perfect suppression of large gas leak path in DEMO-NITE SiC/SiC.

  18. Advanced Optoelectronic Devices based on Si Quantum Dots/Si Nanowires Hetero-structures

    International Nuclear Information System (INIS)

    Xu, J; Zhai, Y Y; Cao, Y Q; Chen, K J

    2017-01-01

    Si quantum dots are currently extensively studied since they can be used to develop many kinds of optoelectronic devices. In this report, we review the fabrication of Si quantum dots (Si QD) /Si nanowires (Si NWs) hetero-structures by deposition of Si QDs/SiO 2 or Si QDs/SiC multilayers on Si NWs arrays. The electroluminescence and photovoltaic devices based on the formed hetero-structures have been prepared and the improved performance is confirmed. It is also found that the surface recombination via the surface defects states on the Si NWs, especially the ones obtained by the long-time etching, may deteriorate the device properties though they exhibit the better anti-reflection characteristics. The possible surface passivation approaches are briefly discussed. (paper)

  19. Liquid phase sintered SiC. Processing and transformation controlled microstructure tailoring

    Directory of Open Access Journals (Sweden)

    V.A. Izhevskyi

    2000-10-01

    Full Text Available Microstructure development and phase formation processes during sintering of silicon carbide based materials with AlN-Y2O3, AlN-Yb2O3, and AlN-La2O3 sintering additives were investigated. Densification of the materials occurred by liquid-phase sintering mechanism. Proportion of alpha- and beta-SiC powders in the initial mixtures was a variable parameter, while the molar ratio of AlN/RE2O3, and the total amount of additives (10 vol. % were kept constant. Shrinkage behavior during sintering in interrelation with the starting composition of the material and the sintering atmosphere was investigated by high temperature dilatometry. Kinetics of b-SiC to a-SiC phase transformation during post-sintering heat treatment at temperatures 1900-1950 °C was studied, the degree of phase transformation being determined by quantitative x-ray analysis using internal standard technique. Evolution of microstructure resulting from beta-SiC to alpha-SiC transformation was followed up by scanning electron microscopy on polished and chemically etched samples. Transformation-controlled grain growth mechanism similar to the one observed for silicon nitride based ceramics was established. Possibility of in-situ platelet reinforced dense SiC-based ceramics fabrication with improved mechanical properties by means of sintering was shown.

  20. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  1. The combined effects of Fe and H2 on the nitridation of silicon

    Science.gov (United States)

    Shaw, N. J.

    1982-01-01

    In view of the support offered by previous work for the suggestion that Fe may affect alpha-Si3N4 formation and microstructural development, a two-part study was conducted to differentiate the effects of H2 and Fe in, first, the nitridation of pure and of Fe-containing powder in N2 and N2-4% H2, and then the nitridation of (1 1 1) Si single crystal wafers with and without Fe powder on the surface. The degree of nitridation is most strongly affected by H2 at 1200 C, but by Fe at 1375 C, where Fe-containing samples in either atmosphere were almost completely nitrided. While neither H2 nor Fe alone changed the ratio of alpha-Si3N4 to beta-Si3N4, the combination of H2 and Fe increased it at both temperatures.

  2. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  3. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  4. Centrifugally cast Zn-27Al-xMg-ySi alloys and their in situ (Mg2Si + Si)/ZA27 composites

    International Nuclear Information System (INIS)

    Wang Qudong; Chen Yongjun; Chen Wenzhou; Wei Yinhong; Zhai Chunquan; Ding Wenjiang

    2005-01-01

    Effects of composition, mold temperature, rotating rate and modification on microstructure of centrifugally cast Zn-27Al-xMg-ySi alloys have been investigated. In situ composites of Zn-27Al-6.3Mg-3.7Si and Zn-27Al-9.8Mg-5.2Si alloys were fabricated by centrifugal casting using heated permanent mold. These composites consist of three layers: inner layer segregates lots of blocky primary Mg 2 Si and a litter blocky primary Si, middle layer contains without primary Mg 2 Si and primary Si, outer layer contains primary Mg 2 Si and primary Si. The position, quantity and distribution of primary Mg 2 Si and primary Si in the composites are determined jointly by alloy composition, solidification velocity under the effect of centrifugal force and their floating velocity inward. Na salt modifier can refine grain and primary Mg 2 Si and make primary Mg 2 Si distribute more evenly and make primary Si nodular. For centrifugally cast Zn-27Al-3.2Mg-1.8Si alloy, the microstructures of inner layer, middle layer and outer layer are almost similar, single layer materials without primary Mg 2 Si and primary Si are obtained, and their grain sizes increased with the mold temperature increasing

  5. P and Si functionalized MXenes for metal-ion battery applications

    KAUST Repository

    Zhu, Jiajie

    2017-04-10

    MXenes are a family of two-dimensional materials, composed of early transition metal carbides, nitrides, and carbonitrides, with great potential in energy storage systems, in particular in electrodes for Li, Na, K-ion batteries. However, so far the capacities are not competitive. In this context, we investigate P and Si functionalized MXenes for metal-ion battery applications, using first-principles calculations, since P and Si provide reaction products with high ion content. Replacement of the F and OH ligands of Ti2C and V2C with P and Si is demonstrated to be feasible (energy barriers of less than 0.128 eV) and the ion diffusion barriers turn out to be less than 0.32 eV. Importantly, the Li, Na, and K capacities are predicted to be 1767 mAh g−1, 711 mAh g−1, and 711 mAh g−1, respectively, thus being much higher than in the case of F and OH functionalization.

  6. siRNAmod: A database of experimentally validated chemically modified siRNAs.

    Science.gov (United States)

    Dar, Showkat Ahmad; Thakur, Anamika; Qureshi, Abid; Kumar, Manoj

    2016-01-28

    Small interfering RNA (siRNA) technology has vast potential for functional genomics and development of therapeutics. However, it faces many obstacles predominantly instability of siRNAs due to nuclease digestion and subsequently biologically short half-life. Chemical modifications in siRNAs provide means to overcome these shortcomings and improve their stability and potency. Despite enormous utility bioinformatics resource of these chemically modified siRNAs (cm-siRNAs) is lacking. Therefore, we have developed siRNAmod, a specialized databank for chemically modified siRNAs. Currently, our repository contains a total of 4894 chemically modified-siRNA sequences, comprising 128 unique chemical modifications on different positions with various permutations and combinations. It incorporates important information on siRNA sequence, chemical modification, their number and respective position, structure, simplified molecular input line entry system canonical (SMILES), efficacy of modified siRNA, target gene, cell line, experimental methods, reference etc. It is developed and hosted using Linux Apache MySQL PHP (LAMP) software bundle. Standard user-friendly browse, search facility and analysis tools are also integrated. It would assist in understanding the effect of chemical modifications and further development of stable and efficacious siRNAs for research as well as therapeutics. siRNAmod is freely available at: http://crdd.osdd.net/servers/sirnamod.

  7. Development of SiC/SiC composite for fusion application

    International Nuclear Information System (INIS)

    Kohyama, A.; Katoh, Y.; Snead, L.L.; Jones, R.H.

    2001-01-01

    The recent efforts to develop SiC/SiC composite materials for fusion application under the collaboration with Japan and the USA are provided, where material performance with and without radiation damage has been greatly improved. One of the accomplishments is development of the high performance reaction sintering process. Mechanical and thermal conductivity are improved extensively by process modification and optimization with inexpensive fabrication process. The major efforts to make SiC matrix by CVI, PIP and RS methods are introduced together with the representing baseline properties. The resent results on mechanical properties of SiC/SiC under neutron irradiation are quite positive. The composites with new SiC fibers, Hi-Nicalon Type-S, did not exhibit mechanical property degradation up to 10 dpa. Based on the materials data recently obtained, a very preliminary design window is provided and the future prospects of SiC/SiC technology integration is provided. (author)

  8. Growth of CoSi2 on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Lim, C.W.; Shin, C.-S.; Gall, D.; Zuo, J.M.; Petrov, I.; Greene, J.E.

    2005-01-01

    CaF 2 -structure CoSi 2 layers were formed on Si(001) by reactive deposition epitaxy (RDE) and compared with CoSi 2 layers obtained by conventional solid phase growth (SPG). In both sets of experiments, Co was deposited by ultrahigh-vacuum magnetron sputtering and CoSi 2 formed at 600 deg. C. However, in the case of RDE, CoSi 2 formation occurred during Co deposition while for SPG, Co was deposited at 25 deg. C and silicidation took place during subsequent annealing. X-ray diffraction pole figures and transmission electron microscopy results demonstrate that RDE CoSi 2 layers are epitaxial with a cube-on-cube relationship (001) CoSi 2 parallel (001) Si and [100] CoSi 2 parallel[100] Si . In contrast, SPG films are polycrystalline with an average grain size of ≅1000 A and a mixed 111/002/022/112 orientation. We attribute the striking difference to rapid Co diffusion into the Si(001) substrate during RDE for which the high Co/Si reactivity gives rise to a flux-limited reaction resulting in the direct formation of the disilicide phase. In contrast, sequential nucleation and transformation among increasingly Si-rich phases--from orthorhombic Co 2 Si to cubic CoSi to CoSi 2 --during SPG results in polycrystalline layers with a complex texture

  9. Structural and mechanical properties of ZrSiN thin films prepared by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Freitas, F.G.R.; Conceicao, A.G.S.; Vitoria, E.R.; Carvalho, R.G.; Tentardini, E.K.; Hübler, R.; Soares, G.

    2014-01-01

    Zirconium silicon nitride (ZrSiN) thin films were deposited by reactive magnetron sputtering in order to verify the silicon influence on coating morphology and mechanical properties. The Si/(Zr+Si) ratio was adjusted between 0 to 14.5% just modifying the power applied on the silicon target. Only peaks associated to ZrN crystalline structure were observed in XRD analysis, since Si_3N_4 phase was amorphous. All samples have (111) preferred orientation, but there is a peak intensity reduction and a broadening increase for the sample with the highest Si/(Zr+Si) ratio (14.5%), demonstrating a considerable loss of crystallinity or grain size reduction (about 8 nm calculated by Scherrer). It was also observed that the texture coefficient for (200) increases with silicon addition. Chemical composition and thickness of the coatings were determined by RBS analysis. No significant changes in nano hardness with increasing Si content were found. The thin film morphology observed by SEM presents columnar and non columnar characteristics. The set of results suggests that Si addition is restricting the columnar growth of ZrN thin films. This conclusion is justified by the fact that Si contributes to increase the ZrN grains nucleation during the sputtering process. (author)

  10. Microscopic and macroscopic characterization of the charging effects in SiC/Si nanocrystals/SiC sandwiched structures

    International Nuclear Information System (INIS)

    Xu, Jie; Xu, Jun; Wang, Yuefei; Cao, Yunqing; Li, Wei; Yu, Linwei; Chen, Kunji

    2014-01-01

    Microscopic charge injection into the SiC/Si nanocrystals/SiC sandwiched structures through a biased conductive AFM tip is subsequently characterized by both electrostatic force microscopy and Kelvin probe force microscopy (KPFM). The charge injection and retention characteristics are found to be affected by not only the band offset at the Si nanocrystals/SiC interface but also the doping type of the Si substrate. On the other hand, capacitance–voltage (C–V) measurements investigate the macroscopic charging effect of the sandwiched structures with a thicker SiC capping layer, where the charges are injected from the Si substrates. The calculated macroscopic charging density is 3–4 times that of the microscopic one, and the possible reason is the underestimation of the microscopic charging density caused by the averaging effect and detection delay in the KPFM measurements. (paper)

  11. Comparative study of SiC- and Si-based photovoltaic inverters

    Science.gov (United States)

    Ando, Yuji; Oku, Takeo; Yasuda, Masashi; Shirahata, Yasuhiro; Ushijima, Kazufumi; Murozono, Mikio

    2017-01-01

    This article reports comparative study of 150-300 W class photovoltaic inverters (Si inverter, SiC inverter 1, and SiC inverter 2). In these sub-kW class inverters, the ON-resistance was considered to have little influence on the efficiency. The developed SiC inverters, however, have exhibited an approximately 3% higher direct current (DC)-alternating current (AC) conversion efficiency as compared to the Si inverter. Power loss analysis indicated a reduction in the switching and reverse recovery losses of SiC metal-oxide-semiconductor field-effect transistors used for the DC-AC converter is responsible for this improvement. In the SiC inverter 2, an increase of the switching frequency up to 100 kHz achieved a state-of-the-art combination of the weight (1.25 kg) and the volume (1260 cm3) as a 150-250 W class inverter. Even though the increased switching frequency should cause the increase of the switching losses, the SiC inverter 2 exhibited an efficiency comparable to the SiC inverter 1 with a switching frequency of 20 kHz. The power loss analysis also indicated a decreased loss of the DC-DC converter built with SiC Schottky barrier diodes led to the high efficiency for its increased switching frequency. These results clearly indicated feasibility of SiC devices even for sub-kW photovoltaic inverters, which will be available for the applications where compactness and efficiency are of tremendous importance.

  12. Influence of SiC coating thickness on mechanical properties of SiCf/SiC composite

    Science.gov (United States)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui

    2013-11-01

    Silicon carbide (SiC) coatings with varying thickness (ranging from 0.14 μm to 2.67 μm) were deposited onto the surfaces of Type KD-I SiC fibres with native carbonaceous surface using chemical vapour deposition (CVD) process. Then, two dimensional SiC fibre reinforced SiC matrix (2D SiCf/SiC) composites were fabricated using polymer infiltration and pyrolysis (PIP) process. Influences of the fibre coating thickness on mechanical properties of SiC fibre and SiCf/SiC composite were investigated using single-filament test and three-point bending test. The results indicated that flexural strength of the composites initially increased with the increasing CVD SiC coating thickness and reached a peak value of 363 MPa at the coating thickness of 0.34 μm. Further increase in the coating thickness led to a rapid decrease in the flexural strength of the composites. The bending modulus of composites showed a monotonic increase with increasing coating thickness. A chemical attack of hydrogen or other ions (e.g. a C-H group) on the surface of SiC fibres during the coating process, owing to the formation of volatile hydrogen, lead to an increment of the surface defects of the fibres. This was confirmed by Wang et al. [35] in their work on the SiC coating of the carbon fibre. In the present study, the existing ˜30 nm carbon on the surface of KD-I fibre [36] made the fibre easy to be attacked. Deposition of non-stoichiometric SiC, causing a decrease in strength. During the CVD process, a small amount of free silicon or carbon always existed [35]. The existence of free silicon, either disordered the structure of SiC and formed a new source of cracks or attacked the carbon on fibre surface resulting in properties degeneration of the KD-I fibre. The effect of residual stress. The different thermal expansion coefficient between KD-I SiC fibre and CVD SiC coating, which are 3 × 10-6 K-1 (RT ˜ 1000 °C) and 4.6 × 10-6 K-1 (RT ˜ 1000 °C), respectively, could cause residual stress

  13. Conversion of wood flour/SiO2/phenolic composite to porous SiC ceramic containing SiC whiskers

    Directory of Open Access Journals (Sweden)

    Li Zhong

    2013-01-01

    Full Text Available A novel wood flour/SiO2/phenolic composite was chosen to be converted into porous SiC ceramic containing SiC whiskers via carbothermal reduction. At 1550°C the composite is converted into porous SiC ceramic with pore diameters of 10~40μm, and consisting of β-SiC located at the position of former wood cell walls. β-SiC wire-like whiskers of less than 50 nm in diameter and several tens to over 100 μm in length form within the pores. The surface of the resulting ceramic is coated with β-SiC necklace-like whiskers with diameters of 1~2μm.

  14. Nonvolatile memory characteristics influenced by the different crystallization of Ni-Si and Ni-N nanocrystals

    International Nuclear Information System (INIS)

    Chen, W.-R.; Yeh, J.-L.; Chang, C.-Y.; Chang, T.-C.; Chen, S.-C.

    2008-01-01

    The formation of Ni-Si and Ni-N nanocrystals by sputtering a Ni 0.3 Si 0.7 target in argon and nitrogen environment were proposed in this paper. A transmission electron microscope analysis shows the nanocrystals embedded in the nitride layer. X-ray photoelectron spectroscopy and x-ray diffraction also offer the chemical material analysis of nanocrystals with surrounding dielectric and the crystallization of nanocrystals for different thermal annealing treatments. Nonvolatile Ni-Si nanocrystal memories reveal superior electrical characteristics for charge storage capacity and reliability due to the improvement of thermal annealing treatment. In addition, we used energy band diagrams to explain the significance of surrounding dielectric for reliability

  15. Tunable Synthesis of SiC/SiO2 Heterojunctions via Temperature Modulation

    Directory of Open Access Journals (Sweden)

    Wei Li

    2018-05-01

    Full Text Available A large-scale production of necklace-like SiC/SiO2 heterojunctions was obtained by a molten salt-mediated chemical vapor reaction technique without a metallic catalyst or flowing gas. The effect of the firing temperature on the evolution of the phase composition, microstructure, and morphology of the SiC/SiO2 heterojunctions was studied. The necklace-like SiC/SiO2 nanochains, several centimeters in length, were composed of SiC/SiO2 core-shell chains and amorphous SiO2 beans. The morphologies of the as-prepared products could be tuned by adjusting the firing temperature. In fact, the diameter of the SiO2 beans decreased, whereas the diameter of the SiC fibers and the thickness of the SiO2 shell increased as the temperature increased. The growth mechanism of the necklace-like structure was controlled by the vapor-solid growth procedure and the modulation procedure via a molten salt-mediated chemical vapor reaction process.

  16. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  17. Integration of fiber-coupled high-Q SiNx microdisks with atom chips

    International Nuclear Information System (INIS)

    Barclay, Paul E.; Srinivasan, Kartik; Painter, Oskar; Lev, Benjamin; Mabuchi, Hideo

    2006-01-01

    Micron scale silicon nitride (SiN x ) microdisk optical resonators are demonstrated with Q=3.6x10 6 and an effective mode volume of 15(λ/n) 3 at near-visible wavelengths. A hydrofluoric acid wet etch provides sensitive tuning of the microdisk resonances, and robust mounting of a fiber taper provides efficient fiber optic coupling to the microdisks while allowing unfettered optical access for laser cooling and trapping of atoms. Measurements indicate that cesium adsorption on the SiN x surfaces significantly red detunes the microdisk resonances. Parallel integration of multiple (10) microdisks with a single fiber taper is also demonstrated

  18. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  19. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  20. Thermal Stability of siRNA Modulates Aptamer- conjugated siRNA Inhibition

    Directory of Open Access Journals (Sweden)

    Alexey Berezhnoy

    2012-01-01

    Full Text Available Oligonucleotide aptamer-mediated in vivo cell targeting of small interfering RNAs (siRNAs is emerging as a useful approach to enhance the efficacy and reduce the adverse effects resulting from siRNA-mediated genetic interference. A current main impediment in aptamer-mediated siRNA targeting is that the activity of the siRNA is often compromised when conjugated to an aptamer, often requiring labor intensive and time consuming design and testing of multiple configurations to identify a conjugate in which the siRNA activity has not been significantly reduced. Here, we show that the thermal stability of the siRNA is an important parameter of siRNA activity in its conjugated form, and that siRNAs with lower melting temperature (Tm are not or are minimally affected when conjugated to the 3′ end of 2′F-pyrimidine-modified aptamers. In addition, the configuration of the aptamer-siRNA conjugate retains activity comparable with the free siRNA duplex when the passenger strand is co-transcribed with the aptamer and 3′ overhangs on the passenger strand are removed. The approach described in this paper significantly reduces the time and effort necessary to screening siRNA sequences that retain biological activity upon aptamer conjugation, facilitating the process of identifying candidate aptamer-siRNA conjugates suitable for in vivo testing.

  1. An Isotope Study of Hydrogenation of poly-Si/SiOx Passivated Contacts for Si Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Schnabel, Manuel; Nemeth, William; van de Loo, Bas, W.H.; Macco, Bart; Kessels, Wilhelmus, M.M.; Stradins, Paul; Young, David, L.

    2017-06-26

    For many years, the record Si solar cell efficiency stood at 25.0%. Only recently have several companies and institutes managed to produce more efficient cells, using passivated contacts of made doped poly-Si or a-Si:H and a passivating intrinsic interlayer in all cases. Common to these designs is the need to passivate the layer stack with hydrogen. In this contribution, we perform a systematic study of passivated contact passivation by hydrogen, using poly-Si/SiOx passivated contacts on n-Cz-Si, and ALD Al2O3 followed by a forming gas anneal (FGA) as the hydrogen source. We study p-type and n-type passivated contacts with implied Voc exceeding 690 and 720 mV, respectively, and perform either the ALD step or the FGA with deuterium instead of hydrogen in order to separate the two processes via SIMS. By examining the deuterium concentration at the SiOx in both types of samples, we demonstrate that the FGA supplies negligible hydrogen species to the SiOx, regardless of whether the FGA is hydrogenated or deuterated. Instead, it supplies the thermal energy needed for hydrogen species in the Al2O3 to diffuse there. Furthermore, the concentration of hydrogen species at the SiOx can saturate while implied Voc continues to increase, showing that the energy from the FGA is also required for hydrogen species already at the SiOx to find recombination-active defects to passivate.

  2. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  3. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  4. Gd-Ni-Si system

    International Nuclear Information System (INIS)

    Bodak, O.I.; Shvets, A.F.

    1983-01-01

    By X-ray phase analysis method isothermal cross section of phase diagram of the Gd-Ni-Si system at 870 K is studied. The existence of nine previously known compounds (GdNisub(6.72)Sisub(6.28), GdNi 10 Si 2 , GdNi 5 Si 3 , GdNi 4 Si, GdNi 2 Si 2 , GdNiSi 3 , GdNiSi 2 , Gd 3 Ni 6 Si 2 and GdNiSi) is confirmed and three new compounds (GdNisub(0.2)Sisub(1.8), Gdsub(2)Nisub(1-0.8)Sisub(1-1.2), Gd 5 NiSi 4 ) are found. On the base of Gd 2 Si 3 compound up to 0.15 at. Ni fractions, an interstitial solid solution is formed up to 0.25 at Ni fractions dissolution continues of substitution type. The Gd-Ni-Si system is similar to the Y-Ni-Si system

  5. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  6. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  7. Structure and stability of M6N8 clusters (M = Si, Ge, Sn, Ti).

    Science.gov (United States)

    Davydova, Elena I; Timoshkin, Alexey Y; Frenking, Gernot

    2010-06-10

    The structures and stabilities of the M(6)N(8) clusters (M = Si, Ge, Sn, Ti) have been theoretically studied at DFT and ab initio levels of theory. Two new isomers have been considered: cage-like molecules and propeller-like molecules. It is shown that only for M = Si are both isomers true minima on the potential energy surface. The thermodynamics of the dissociation process (1/6)M(6)N(8) --> (1/3)M(3)N(4) is discussed. For each M(3)N(4) molecule, four structures with different multiplicity are considered. The thermodynamic analysis shows that independently of the multiplicity of M(3)N(4) nitrides all M(6)N(8) clusters are stable in the gas phase in a wide temperature range and could be potential intermediates in chemical vapor deposition of the nitride materials.

  8. Nanostructured silicon nitride from wheat and rice husks

    Energy Technology Data Exchange (ETDEWEB)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.; Wollmershauser, J. A.; Feng, C. R. [Materials Science and Component Technology Directorate, Naval Research Laboratory, Washington, D.C. 20375 (United States)

    2016-04-07

    Nanoparticles, submicron-diameter tubes, and rods of Si{sub 3}N{sub 4} were synthesized from the thermal treatment of wheat and rice husks at temperatures at and above 1300 °C in a nitrogen atmosphere. The whole pattern Rietveld analysis of the observed diffraction data from treatments at 1300 °C showed the formation of only hexagonal α-phase of Si{sub 3}N{sub 4} with an R-factor of 1%, whereas samples treated at 1400 °C and above showed both α- and β-phases with an R-factor of 2%. Transmission electron microscopy showed the presence of tubes, rods, and nanoparticles of Si{sub 3}N{sub 4}. In a two-step process, where pure SiC was produced first from rice or wheat husk in an argon atmosphere and subsequently treated in a nitrogen atmosphere at 1450 °C, a nanostructured composite material having α- and β-phases of Si{sub 3}N{sub 4} combined with cubic phase of SiC was formed. The thermodynamics of the formation of silicon nitride is discussed in terms of the solid state reaction between organic matter (silica content), which is inherently present in the wheat and rice husks, with the nitrogen from the furnace atmosphere. Nanostructures of silicon nitride formed by a single direct reaction or their composites with SiC formed in a two-step process of agricultural byproducts provide an uncomplicated sustainable synthesis route for silicon nitride used in mechanical, biotechnology, and electro-optic nanotechnology applications.

  9. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    Science.gov (United States)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  10. Modification effect of Ni-38 wt.%Si on Al-12 wt.%Si alloy

    International Nuclear Information System (INIS)

    Wu Yuying; Liu Xiangfa; Jiang Binggang; Huang Chuanzhen

    2009-01-01

    Modification effect of Ni-38 wt.%Si on the Al-12 wt.%Si alloy has been studied by differential scanning calorimeter, torsional oscillation viscometer and liquid X-ray diffraction experiments. It is found that there is a modification effect of Ni-38 wt.%Si on Al-12 wt.%Si alloy, i.e. primary Si can precipitate in the microstructure of Al-12 wt.%Si alloy when Ni and Si added in the form of Ni-38 wt.%Si, but not separately. Ni-38 wt.%Si alloy brings 'genetic materials' into the Al-Si melt, which makes the melt to form more ordering structure, promotes the primary Si precipitated. Moreover, the addition of Ni-38 wt.%Si, which decreases the solidification supercooling degree of Al-12 wt.%Si alloy, is identical to the effect of heterogeneous nuclei.

  11. Modification effect of Ni-38 wt.%Si on Al-12 wt.%Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wu Yuying [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, Ji' nan 250061 (China)], E-mail: wyy532001@163.com; Liu Xiangfa [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, Ji' nan 250061 (China); Shandong Binzhou Bohai Piston Co., Ltd., Binzhou 256602, Shandong (China); Jiang Binggang [Key Laboratory of Liquid Structure and Heredity of Materials, Ministry of Education, Shandong University, Ji' nan 250061 (China); Huang Chuanzhen [School of Mechanical Engineering, Shandong University, Jinan 250061 (China)

    2009-05-27

    Modification effect of Ni-38 wt.%Si on the Al-12 wt.%Si alloy has been studied by differential scanning calorimeter, torsional oscillation viscometer and liquid X-ray diffraction experiments. It is found that there is a modification effect of Ni-38 wt.%Si on Al-12 wt.%Si alloy, i.e. primary Si can precipitate in the microstructure of Al-12 wt.%Si alloy when Ni and Si added in the form of Ni-38 wt.%Si, but not separately. Ni-38 wt.%Si alloy brings 'genetic materials' into the Al-Si melt, which makes the melt to form more ordering structure, promotes the primary Si precipitated. Moreover, the addition of Ni-38 wt.%Si, which decreases the solidification supercooling degree of Al-12 wt.%Si alloy, is identical to the effect of heterogeneous nuclei.

  12. Structure and magnetic behaviors of melt-spun SmFeSiB ribbons and their nitrides

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Y.; Zhang, K., E-mail: zhangkunone@gmail.com; Li, K.S.; Yu, D.B.; Ling, J.J.; Men, K.; Dou, Q.Y.; Yan, W.L.; Xie, J.J.; Yang, Y.F.

    2016-05-01

    SmFe{sub 9.3+x}Si{sub 0.2}B{sub 0.1} (x=0, 0.5, 1.0) ribbons and their nitrides were prepared by melt-spinning, followed by annealing and subsequent nitriding. The structure and magnetic properties have been investigated by means of powder X-ray diffraction, vibrating sample magnetometer and Mossbauer spectroscopy. Rietveld analysis shows that the augment of Fe content gives rise to an increase of the c/a ratio and cell volume. The increasing amount of Fe atoms occupying the 2e sites results in the change of initial structure. It is indicated that the isomer shift of 3g and 6l atom remains quasi-constant while the 2e atom shows a noticeable increase with the increase of iron content, which further conforms the preferential occupation of excessive Fe atoms at this site. Consistent with Tc, the mean hyperfine field 〈B{sub hf}〉 has the highest value of 25.7 T when x=0.5. The hyperfine fields at different Fe sites follow the order H2e>H3g>H6l. The highest curie temperature of 477.68 K and the hyperfine field of 25.7 T in the as-quenched ribbons were obtained when x=0.5. Meanwhile, the highest magnetic properties of H{sub cj}=4.31 kOe, (BH){sub m}=3.5 MGOe in the nitride powders were found. - Highlights: • Rietveld analysis shows that the augment of Fe content gives rise to an increase of the c/a ratio and cell volume. • The isomer shift of 2e atom shows a noticeable increase according to Fe content, which corroborates the preferential occupation of excessive Fe atoms at this site. • The hyperfine fields at various Fe sites follow the order H2e>H3g>H6l, which corresponds closely with the number of iron near neighbor of each site.

  13. Effect of irradiation on thermal expansion of SiCf/SiC composites

    International Nuclear Information System (INIS)

    Senor, D.J.; Trimble, D.J.; Woods, J.J.

    1996-06-01

    Linear thermal expansion was measured on five different SiC-fiber-reinforced/SiC-matrix (SiC f /SiC) composite types in the unirradiated and irradiated conditions. Two matrices were studied in combination with Nicalon CG reinforcement and a 150 nm PyC fiber/matrix interface: chemical vapor infiltrated (CVI) SiC and liquid-phase polymer impregnated precursor (PIP) SiC. Composites of PIP SiC with Tyranno and HPZ fiber reinforcement and a 150 nm PyC interface were also tested, as were PIP SiC composites with Nicalon CG reinforcement and a 150 nm BN fiber/matrix interface. The irradiation was conducted in the Experimental Breeder Reactor-II at a nominal temperature of 1,000 C to doses of either 33 or 43 dpa-SiC. Irradiation caused complete fiber/matrix debonding in the CVI SiC composites due to a dimensional stability mismatch between fiber and matrix, while the PIP SiC composites partially retained their fiber/matrix interface after irradiation. However, the thermal expansion of all the materials tested was found to be primarily dependent on the matrix and independent of either the fiber or the fiber/matrix interface. Further, irradiation had no significant effect on thermal expansion for either the CVI SiC or PIP SiC composites. In general, the thermal expansion of the CVI SiC composites exceeded that of the PIP SiC composites, particularly at elevated temperatures, but the expansion of both matrix types was less than chemical vapor deposited (CVD) β-SiC at all temperatures

  14. Residual stresses and mechanical properties of Si3N4/SiC multilayered composites with different SiC layers

    International Nuclear Information System (INIS)

    Liua, S.; Lia, Y.; Chena, P.; Lia, W.; Gaoa, S.; Zhang, B.; Yeb, F.

    2017-01-01

    The effect of residual stresses on the strength, toughness and work of fracture of Si3N4/SiC multilayered composites with different SiC layers has been investigated. It may be an effective way to design and optimize the mechanical properties of Si3N4/SiC multilayered composites by controlling the properties of SiC layers. Si3N4/SiC multilayered composites with different SiC layers were fabricated by aqueous tape casting and pressureless sintering. Residual stresses were calculated by using ANSYS simulation, the maximum values of tensile and compressive stresses were 553.2MPa and −552.1MPa, respectively. Step-like fracture was observed from the fracture surfaces. Fraction of delamination layers increased with the residual stress, which can improve the reliability of the materials. Tensile residual stress was benefit to improving toughness and work of fracture, but the strength of the composites decreased. [es

  15. Effect of germanium concentrations on tunnelling current calculation of Si/Si1-xGex/Si heterojunction bipolar transistor

    Science.gov (United States)

    Hasanah, L.; Suhendi, E.; Khairrurijal

    2018-05-01

    Tunelling current calculation on Si/Si1-xGex/Si heterojunction bipolar transistor was carried out by including the coupling between transversal and longitudinal components of electron motion. The calculation results indicated that the coupling between kinetic energy in parallel and perpendicular to S1-xGex barrier surface affected tunneling current significantly when electron velocity was faster than 1x105 m/s. This analytical tunneling current model was then used to study how the germanium concentration in base to Si/Si1-xGex/Si heterojunction bipolar transistor influenced the tunneling current. It is obtained that tunneling current increased as the germanium concentration given in base decreased.

  16. Thermochemical instability effects in SiC-based fibers and SiC{sub f}/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Henager, C.H.; Jones, R.H. [Pacific Northwest National Laboratory, Richland, WA (United States)

    1997-08-01

    Thermochemical instability in irradiated SiC-based fibers with an amorphous silicon oxycarbide phase leads to shrinkage and mass loss. SiC{sub f}/SiC composites made with these fibers also exhibit mass loss as well as severe mechanical property degradation when irradiated at 800{degrees}C, a temperature much below the generally accepted 1100{degrees}C threshold for thermomechanical degradation alone. The mass loss is due to an internal oxidation mechanism within these fibers which likely degrades the carbon interphase as well as the fibers in SiC{sub f}/SiC composites even in so-called {open_quotes}inert{close_quotes} gas environments. Furthermore, the mechanism must be accelerated by the irradiation environment.

  17. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  18. Determination of optimum Si excess concentration in Er-doped Si-rich SiO2 for optical amplification at 1.54 μm

    International Nuclear Information System (INIS)

    Savchyn, Oleksandr; Coffey, Kevin R.; Kik, Pieter G.

    2010-01-01

    The presence of indirect Er 3+ excitation in Si-rich SiO 2 is demonstrated for Si-excess concentrations in the range of 2.5-37 at. %. The Si excess concentration providing the highest density of sensitized Er 3+ ions is demonstrated to be relatively insensitive to the presence of Si nanocrystals and is found to be ∼14.5 at. % for samples without Si nanocrystals (annealed at 600 deg. C) and ∼11.5 at. % for samples with Si nanocrystals (annealed at 1100 deg. C). The observed optimum is attributed to an increase in the density of Si-related sensitizers as the Si concentration is increased, with subsequent deactivation and removal of these sensitizers at high Si concentrations. The optimized Si excess concentration is predicted to generate maximum Er-related gain at 1.54 μm in devices based on Er-doped Si-rich SiO 2 .

  19. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  20. Residual stresses and mechanical properties of Si3N4/SiC multilayered composites with different SiC layers; Las tensiones residuales y las propiedades mecánicas de compuestos multicapa de Si3N4/SiC con diferentes capas de SiC

    Energy Technology Data Exchange (ETDEWEB)

    Liua, S.; Lia, Y.; Chena, P.; Lia, W.; Gaoa, S.; Zhang, B.; Yeb, F.

    2017-11-01

    The effect of residual stresses on the strength, toughness and work of fracture of Si3N4/SiC multilayered composites with different SiC layers has been investigated. It may be an effective way to design and optimize the mechanical properties of Si3N4/SiC multilayered composites by controlling the properties of SiC layers. Si3N4/SiC multilayered composites with different SiC layers were fabricated by aqueous tape casting and pressureless sintering. Residual stresses were calculated by using ANSYS simulation, the maximum values of tensile and compressive stresses were 553.2MPa and −552.1MPa, respectively. Step-like fracture was observed from the fracture surfaces. Fraction of delamination layers increased with the residual stress, which can improve the reliability of the materials. Tensile residual stress was benefit to improving toughness and work of fracture, but the strength of the composites decreased. [Spanish] Se ha investigado el efecto de las tensiones residuales en la resistencia, dureza y trabajo de fractura de los compuestos multicapa de Si3N4/SiC con diferentes capas de SiC. Puede ser una manera eficaz de diseñar y optimizar las propiedades mecánicas de los compuestos multicapa de Si3N4/SiC mediante el control de las propiedades de las capas de SiC. Los compuestos multicapa de Si3N4/SiC con diferentes capas de SiC se fabricaron por medio de colado en cinta en medio acuoso y sinterización sin presión. Las tensiones residuales se calcularon mediante el uso de la simulación ANSYS, los valores máximos de las fuerzas de tracción y compresión fueron 553,2 MPa y −552,1 MPa, respectivamente. Se observó una fractura escalonada a partir de las superficies de fractura. La fracción de capas de deslaminación aumenta con la tensión residual, lo que puede mejorar la fiabilidad de los materiales. La fuerza de tracción residual era beneficiosa para la mejora de la dureza y el trabajo de fractura, pero la resistencia de los compuestos disminuyó.

  1. Circumferential tensile test method for mechanical property evaluation of SiC/SiC tube

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Ju-Hyeon, E-mail: 15096018@mmm.muroran-it.ac.jp [Graduate School, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Kishimoto, Hirotatsu [Graduate School, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); OASIS, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Park, Joon-soo [OASIS, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Nakazato, Naofumi [Graduate School, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Kohyama, Akira [OASIS, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan)

    2016-11-01

    Highlights: • NITE SiC/SiC cooling channel system to be a candidate of divertor system in future. • Hoop strength is one of the important factors for a tube. • This research studies the relationship between deformation and strain of SiC/SiC tube. - Abstract: SiC fiber reinforced/SiC matrix (SiC/SiC) composite is expected to be a candidate material for the first-wall, components in the blanket and divertor of fusion reactors in future. In such components, SiC/SiC composites need to be formed to be various shapes. SiC/SiC tubes has been expected to be employed for blanket and divertor after DEMO reactor, but there is not established mechanical investigation technique. Recent progress of SiC/SiC processing techniques is likely to realize strong, having gas tightness SiC/SiC tubes which will contribute for the development of fusion reactors. This research studies the relationship between deformation and strain of SiC/SiC tube using a circumferential tensile test method to establish a mechanical property investigation method of SiC/SiC tubes.

  2. Dielectric Properties of SiCf/PyC/SiC Composites After Oxidation

    Institute of Scientific and Technical Information of China (English)

    SONG Huihui; ZHOU Wancheng; LUO Fa; QING Yuchang; CHEN Malin; LI Zhimin

    2016-01-01

    In this paper, the SiC fiber-reinforced SiC matrix composites with a 0.15mm thick pyrocarbon interphase (notedas SiCf/PyC/SiC) were prepared by chemical vapor infiltration (CVI). The SiCf/PyC/SiC were oxidized in air at 950℃ for 50h. The dielectric properties after this high temperature oxidation were investigated in X-band from room temperature (RT) to 700℃. Results suggested that:e' of the SiCf/PyC/SiC after oxidation increased at first then de-creased with temperature elevating;e" increased with temperature raising in the temperature range studied.

  3. Photoelectric Properties of Si Doping Superlattice Structure on 6H-SiC(0001).

    Science.gov (United States)

    Li, Lianbi; Zang, Yuan; Hu, Jichao; Lin, Shenghuang; Chen, Zhiming

    2017-05-25

    The energy-band structure and visible photoelectric properties of a p/n-Si doping superlattice structure (DSL) on 6H-SiC were simulated by Silvaco-TCAD. The,n the Si-DSL structures with 40 nm-p-Si/50 nm-n-Si multilayers were successfully prepared on 6H-SiC(0001) Si-face by chemical vapor deposition. TEM characterizations of the p/n-Si DSL confirmed the epitaxial growth of the Si films with preferred orientation and the misfit dislocations with a Burgers vector of 1/3 at the p-Si/n-Si interface. The device had an obvious rectifying behavior, and the turn-on voltage was about 1.2 V. Under the visible illumination of 0.6 W/cm², the device demonstrated a significant photoelectric response with a photocurrent density of 2.1 mA/cm². Visible light operation of the Si-DSL/6H-SiC heterostructure was realized for the first time.

  4. Photoelectric Properties of Si Doping Superlattice Structure on 6H-SiC(0001

    Directory of Open Access Journals (Sweden)

    Lianbi Li

    2017-05-01

    Full Text Available The energy-band structure and visible photoelectric properties of a p/n-Si doping superlattice structure (DSL on 6H-SiC were simulated by Silvaco-TCAD. The,n the Si-DSL structures with 40 nm-p-Si/50 nm-n-Si multilayers were successfully prepared on 6H-SiC(0001 Si-face by chemical vapor deposition. TEM characterizations of the p/n-Si DSL confirmed the epitaxial growth of the Si films with preferred orientation and the misfit dislocations with a Burgers vector of 1/3 <21-1> at the p-Si/n-Si interface. The device had an obvious rectifying behavior, and the turn-on voltage was about 1.2 V. Under the visible illumination of 0.6 W/cm2, the device demonstrated a significant photoelectric response with a photocurrent density of 2.1 mA/cm2. Visible light operation of the Si-DSL/6H-SiC heterostructure was realized for the first time.

  5. C-H and C-C activation of n -butane with zirconium hydrides supported on SBA15 containing N-donor ligands: [(≡SiNH-)(≡SiX-)ZrH2], [(≡SiNH-)(≡SiX-)2ZrH], and[(≡SiN=)(≡SiX-)ZrH] (X = -NH-, -O-). A DFT study

    KAUST Repository

    Pasha, Farhan Ahmad; Bendjeriou-Sedjerari, Anissa; Huang, Kuo-Wei; Basset, Jean-Marie

    2014-01-01

    : [(≡SiNH-)(≡SiO-)ZrH2] (A), [(≡SiNH-)2ZrH2] (B), [(≡SiNH-)(≡SiO-) 2ZrH] (C), [(≡SiNH-)2(≡SiO-)ZrH] (D), [(≡SiN=)(≡Si-O-)ZrH] (E), and [(≡SiN=)(≡SiNH-)ZrH] (F). The roles of these hydrides have been investigated in C-H/C-C bond activation and cleavage

  6. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  7. Microstructure and properties of MoSi2-MoB and MoSi2-Mo5Si3 molybdenum silicides

    International Nuclear Information System (INIS)

    Schneibel, J.H.; Sekhar, J.A.

    2003-01-01

    MoSi 2 -based intermetallics containing different volume fractions of MoB or Mo 5 Si 3 were fabricated by hot-pressing MoSi 2 , MoB, and Mo 5 Si 3 powders in vacuum. Both classes of alloys contained approximately 5 vol.% of dispersed silica phase. Additions of MoB or Mo 5 Si 3 caused the average grain size to decrease. The decrease in the grain size was typically accompanied by an increase in flexure strength, a decrease in the room temperature fracture toughness, and a decrease in the hot strength (compressive creep strength) measured around 1200 deg. C, except when the Mo 5 Si 3 effectively became the major phase. Oxidation measurements on the two classes of alloys were carried out in air. Both classes of alloys were protected from oxidation by an in-situ adherent scale that formed on exposure to high temperature. The scale, although not analyzed in detail, is commonly recognized in MoSi 2 containing materials as consisting mostly of SiO 2 . The MoB containing materials showed an increase in the scale thickness and the cyclic oxidation rate at 1400 deg. C when compared with pure MoSi 2 . However, in contrast with the pure MoSi 2 material, oxidation at 1400 deg. C began with a weight loss followed by a weight gain and the formation of the protective silica layer. The Mo 5 Si 3 containing materials experienced substantial initial weight losses followed by regions of small weight changes. Overall, the MoB and Mo 5 Si 3 additions to MoSi 2 tended to be detrimental for the mechanical and oxidative properties

  8. Evolution of a novel Si-18Mn-16Ti-11P alloy in Al-Si melt and its influence on microstructure and properties of high-Si Al-Si alloy

    Directory of Open Access Journals (Sweden)

    Xiao-Lu Zhou

    Full Text Available A novel Si-18Mn-16Ti-11P master alloy has been developed to refine primary Si to 14.7 ± 1.3 μm, distributed uniformly in Al-27Si alloy. Comparing with traditional Cu-14P and Al-3P, Si-18Mn-16Ti-11P provided a much better refining effect, with in-situ highly active AlP. The refined Al-27Si alloy exhibited a CTE of 16.25 × 10−6/K which is slightly higher than that of Sip/Al composites fabricated by spray deposition. The UTS and elongation of refined Al-27Si alloy were increased by 106% and 235% comparing with those of unrefined alloy. It indicates that the novel Si-18Mn-16Ti-11P alloy is more suitable for high-Si Al-Si alloys and may be a candidate for refining hypereutectic Al-Si alloy for electronic packaging applications. Moreover, studies showed that TiP is the only P-containing phase in Si-18Mn-16Ti-11P master alloy. A core-shell reaction model was established to reveal mechanism of the transformation of TiP to AlP in Al-Si melts. The transformation is a liquid-solid diffusion reaction driven by chemical potential difference and the reaction rate is controlled by diffusion. It means sufficient holding time is necessary for Si-18Mn-16Ti-11P master alloy to achieve better refining effect. Keywords: Hypereutectic Al-Si alloy, Primary Si, Refinement, AlP, Thermal expansion behavior, Si-18Mn-16Ti-11P master alloy

  9. Oblique roughness replication in strained SiGe/Si multilayers

    NARCIS (Netherlands)

    Holy, V.; Darhuber, A.A.; Stangl, J.; Bauer, G.; Nützel, J.-F.; Abstreiter, G.

    1998-01-01

    The replication of the interface roughness in SiGe/Si multilayers grown on miscut Si(001) substrates has been studied by means of x-ray reflectivity reciprocal space mapping. The interface profiles were found to be highly correlated and the direction of the maximal replication was inclined with

  10. Transformation mechanism of n-butyl terminated Si nanoparticles embedded into Si1-xCx nanocomposites mixed with Si nanoparticles and C atoms

    International Nuclear Information System (INIS)

    Shin, J.W.; Oh, D.H.; Kim, T.W.; Cho, W.J.

    2009-01-01

    Bright-field transmission electron microscopy (TEM) images, high-resolution TEM (HRTEM) images, and fast-Fourier transformed electron-diffraction patterns showed that n-butyl terminated Si nanoparticles were aggregated. The formation of Si 1-x C x nanocomposites was mixed with Si nanoparticles and C atoms embedded in a SiO 2 layer due to the diffusion of C atoms from n-butyl termination shells into aggregated Si nanoparticles. Atomic force microscopy (AFM) images showed that the Si 1-x C x nanocomposites mixed with Si nanoparticles and C atoms existed in almost all regions of the SiO 2 layer. The formation mechanism of Si nanoparticles and the transformation mechanism of n-butyl terminated Si nanoparticles embedded into Si 1-x C x nanocomposites mixed with Si nanoparticles and C atoms are described on the basis of the TEM, HRTEM, and AFM results. These results can help to improve the understanding of the formation mechanism of Si nanoparticles.

  11. Positron annihilation at the Si/SiO2 interface

    International Nuclear Information System (INIS)

    Leung, T.C.; Weinberg, Z.A.; Asoka-Kumar, P.; Nielsen, B.; Rubloff, G.W.; Lynn, K.G.

    1992-01-01

    Variable-energy positron annihilation depth-profiling has been applied to the study of the Si/SiO 2 interface in Al-gate metal-oxide-semiconductor (MOS) structures. For both n- and p-type silicon under conditions of negative gate bias, the positron annihilation S-factor characteristic of the interface (S int ) is substantially modified. Temperature and annealing behavior, combined with known MOS physics, suggest strongly that S int depends directly on holes at interface states or traps at the Si/SiO 2 interface

  12. Physical and Chemical Properties of TiOxNy Prepared by Low-Temperature Oxidation of Ultrathin Metal Nitride Directly Deposited on SiO2

    Institute of Scientific and Technical Information of China (English)

    HAN Yue-Ping; HAN Yan

    2009-01-01

    Physical and chemical properties of titanium oxynitride (TiOxNy) formed by low-temperature oxidation of titanium nitride (TIN) layer are investigated for advanced metal-oxide--semiconductor (MOS) gate dielectric application.TiOx Ny exhibits polycrystalline properties after the standard thermal process for MOS device fabrication,showing the preferred orientation at [200].Superior electrical properties of TiOxNy can be maintained before and after the annealing,probably due to the nitrogen incorporation in the oxide bulk and at the interface.Naturally formed transition layer between TiOxNy and SiO2 is also confirmed.

  13. Pressureless sintering of dense Si3N4 and Si3N4/SiC composites with nitrate additives

    International Nuclear Information System (INIS)

    Kim, J.Y.; Iseki, Takayoshi; Yano, Toyohiko

    1996-01-01

    The effect of aluminum and yttrium nitrate additives on the densification of monolithic Si 3 N 4 and a Si 3 N 4 /SiC composite by pressureless sintering was compared with that of oxide additives. The surfaces of Si 3 N 4 particles milled with aluminum and yttrium nitrates, which were added as methanol solutions, were coated with a different layer containing Al and Y from that of Si 3 N 4 particles milled with oxide additives. Monolithic Si 3 N 4 could be sintered to 94% of theoretical density (TD) at 1,500 C with nitrate additives. The sintering temperature was about 100 C lower than the case with oxide additives. After pressureless sintering at 1,750 C for 2 h in N 2 , the bulk density of a Si 3 N 4 /20 wt% SiC composite reached 95% TD with nitrate additives

  14. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  15. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  16. Broadband wavelength conversion in hydrogenated amorphous silicon waveguide with silicon nitride layer

    Science.gov (United States)

    Wang, Jiang; Li, Yongfang; Wang, Zhaolu; Han, Jing; Huang, Nan; Liu, Hongjun

    2018-01-01

    Broadband wavelength conversion based on degenerate four-wave mixing is theoretically investigated in a hydrogenated amorphous silicon (a-Si:H) waveguide with silicon nitride inter-cladding layer (a-Si:HN). We have found that enhancement of the non-linear effect of a-Si:H waveguide nitride intermediate layer facilitates broadband wavelength conversion. Conversion bandwidth of 490 nm and conversion efficiency of 11.4 dB were achieved in a numerical simulation of a 4 mm-long a-Si:HN waveguide under 1.55 μm continuous wave pumping. This broadband continuous-wave wavelength converter has potential applications in photonic networks, a type of readily manufactured low-cost highly integrated optical circuits.

  17. Formation of metallic Si and SiC nanoparticles from SiO2 particles by plasma-induced cathodic discharge electrolysis in chloride melt

    International Nuclear Information System (INIS)

    Tokushige, M.; Tsujimura, H.; Nishikiori, T.; Ito, Y.

    2013-01-01

    Silicon nanoparticles are formed from SiO 2 particles by conducting plasma-induced cathodic discharge electrolysis. In a LiCl–KCl melt in which SiO 2 particles were suspended at 450 °C, we obtained Si nanoparticles with diameters around 20 nm. During the electrolysis period, SiO 2 particles are directly reduced by discharge electrons on the surface of the melt just under the discharge, and the deposited Si atom clusters form Si nanoparticles, which leave the surface of the original SiO 2 particle due to free spaces caused by a molar volume difference between SiO 2 and Si. We also found that SiC nanoparticles can be obtained using carbon anode. Based on Faraday's law, the current efficiency for the formation of Si nanoparticles is 70%

  18. Formation of Si/Ge/Si heterostructures with quantum dots

    International Nuclear Information System (INIS)

    Zinov'ev, V.A.; Dvurechenskij, A.V.; Novikov, P.L.

    2003-01-01

    It is present the Monte Carlo simulation of epitaxial embedding of faceted three-dimensional Ge islands (quantum dots) in a Si matrix. Under a Si flux these islands expand and undergo a shape change (from pyramidal to drop-like shape). The main expansion occurs at initial stage of embedding in Si (deposition of 1-2 monolayers). This change is controlled by surface diffusion. The shape of island can be preserved when one uses the higher Si fluxes. The reason of island conservation lies in blocking of Ge surface diffusion [ru

  19. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  20. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  1. Anomalous defect processes in Si implanted amorphous SiO2, II

    International Nuclear Information System (INIS)

    Fujita, Tetsuo; Fukui, Minoru; Okada, Syunji; Shimizu-Iwayama, Tsutomu; Hioki, Tatsumi; Itoh, Noriaki

    1994-01-01

    Aanomalous features of the defects in Si implanted amorphous SiO 2 are reported. The numbers of E 1 prime centers and B 2 centers are found to increase monotonically with implanted Si dose, in contrast to the saturating feature of these numbers in Ar implanted samples. Moreover, when H ions are implanted in amorphous SiO 2 predamaged by Si implantation, both of the density and the number of E 1 prime centers increase and they reach a constant value at a small H dose. We point out that these anomalies can be explained in terms of the difference in the cross-section for defect annihilation in the specimens implanted with Si ions and other ions, in accordance with the homogeneous model proposed by Devine and Golanski. We consider that the main mechanism of defect annihilation is the recombination of an E 1 prime center and an interstitial O, which is stabilized by an implanted Si, reducing the cross-section in Si-implanted specimens. ((orig.))

  2. HREELS study of the adsorption and evolution of diethylamine (DEA) on Si(1 0 0) surfaces

    International Nuclear Information System (INIS)

    Yeninas, S.; Brickman, A.; Craig, J.H.; Lozano, J.

    2008-01-01

    The adsorption of diethylamine (DEA) on Si(1 0 0) at 100 K was investigated using high-resolution electron energy loss spectroscopy (HREELS) and electron stimulated desorption (ESD). The thermal evolution of DEA on Si(1 0 0) was studied using temperature programmed desorption (TPD). Our results demonstrate DEA bonds datively to the Si(1 0 0) surface with no dissociation at 100 K. Thermal desorption of DEA takes place via a β-hydride elimination process leaving virtually no carbon behind. Electronic processing of DEA/Si(1 0 0) at 100 K results in desorption of ethyl groups; however, carbon and nitrogen are deposited on the surface as a result of electron irradiation. Thermal removal of carbon and nitrogen was not possible, indicating the formation of silicon carbide and silicon nitride

  3. Structural and electrical evaluation for strained Si/SiGe on insulator

    International Nuclear Information System (INIS)

    Wang Dong; Ii, Seiichiro; Ikeda, Ken-ichi; Nakashima, Hideharu; Ninomiya, Masaharu; Nakamae, Masahiko; Nakashima, Hiroshi

    2006-01-01

    Three strained Si/SiGe on insulator wafers having different Ge fractions were evaluated using dual-metal-oxide-semiconductor (dual-MOS) deep level transient spectroscopy (DLTS) and transmission electron microscopy (TEM) methods. The interface of SiGe/buried oxide (BOX) shows roughness less than 1 nm by high resolution TEM observation. The interface states densities (D it ) of SiGe/BOX are approximately 1 x 10 12 cm -2 eV -1 , which is approximately one order of magnitude higher than that of Si/BOX in a Si on insulator wafer measured as reference by the same method of dual-MOS DLTS. The high D it of SiGe/BOX is not due to interface roughness but due to Ge atoms. The threading dislocations were also clearly observed by TEM and were analyzed

  4. Effect of Ti and Si interlayer materials on the joining of SiC ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Yang Il; Park, Jung Hwan; Kim, Hyun Gil; Park, Dong Jun; Park, Jeong Yong; Kim, Weon Ju [LWR Fuel Technology Division, Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-08-15

    SiC-based ceramic composites are currently being considered for use in fuel cladding tubes in light-water reactors. The joining of SiC ceramics in a hermetic seal is required for the development of ceramic-based fuel cladding tubes. In this study, SiC monoliths were diffusion bonded using a Ti foil interlayer and additional Si powder. In the joining process, a very low uniaxial pressure of ∼0.1 MPa was applied, so the process is applicable for joining thin-walled long tubes. The joining strength depended strongly on the type of SiC material. Reaction-bonded SiC (RB-SiC) showed a higher joining strength than sintered SiC because the diffusion reaction of Si was promoted in the former. The joining strength of sintered SiC was increased by the addition of Si at the Ti interlayer to play the role of the free Si in RB-SiC. The maximum joint strength obtained under torsional stress was ∼100 MPa. The joint interface consisted of TiSi{sub 2}, Ti{sub 3}SiC{sub 2}, and SiC phases formed by a diffusion reaction of Ti and Si.

  5. Quantitative Auger depth profiling of LPCVD and PECVD silicon nitride films

    International Nuclear Information System (INIS)

    Keim, E.G.; Aite, K.

    1989-01-01

    Thin silicon nitride films (100-210 nm) with refractive indices varying from 1.90 to 2.10 were deposited on silicon substrates by low pressure chemical vapour deposition (LPCVD) and plasma enhanced chemical vapour deposition (PECVD). Rutherford backscattering spectrometry (RBS), ellipsometry, surface profiling measurements and Auger electron spectroscopy (AES) in combination with Ar + sputtering were used to characterize these films. We have found that the use of (p-p)heights of the Si LVV and N KLL Auger transitions in the first derivative of the energy distribution (dN(E)/dE) leads to an accurate determination of the silicon nitride composition in Auger depth profiles over a wide range of atomic Si/N ratios. Moreover, we have shown that the Si KLL Auger transition, generally considered to be a better probe than the low energy Si LVV Auger transition in determining the chemical composition of silicon nitride layers, leads to deviating results. (orig.)

  6. On the line intensity ratios of prominent Si II, Si III, and Si IV multiplets

    International Nuclear Information System (INIS)

    Djenize, S.; Sreckovic, A.; Bukvic, S.

    2010-01-01

    Line intensities of singly, doubly and triply ionized silicon (Si II, Si III, and Si IV, respectively) belonging to the prominent higher multiplets, are of interest in laboratory and astrophysical plasma diagnostics. We measured these line intensities in the emission spectra of pulsed helium discharge. The Si II line intensity ratios in the 3s3p 22 D-3s 2 4p 2 P o , 3s 2 3d 2 D-3s 2 4f 2 F o , and 3s 2 4p 2 P o -3s 2 4d 2 D transitions, the Si III line intensity ratios in the 3s3d 3 D-3s4p 3 P o , 3s4p 3 P o -3s4d 3 D, 3s4p 3 P o -3s5s 3 S, 3s4s 3 S-3s4p 3 P o , and 3s4f 3 F o -3s5g 3 G transitions, and the Si IV line intensity ratios in the 4p 2 P o -4d 2 D and 4p 2 P o -5s 2 S transitions were obtained in a helium plasma at an electron temperature of about 17,000 ± 2000 K. Line shapes were recorded using a spectrograph and an ICCD camera as a highly-sensitive detection system. The silicon atoms were evaporated from a Pyrex discharge tube designed for the purpose. They represent impurities in the optically thin helium plasma at the silicon ionic wavelengths investigated. The line intensity ratios obtained were compared with those available in the literature, and with values calculated on the basis of available transition probabilities. The experimental data corresponded well with line intensity ratios calculated using the transition probabilities obtained from a Multi Configuration Hartree-Fock approximation for Si III and Si IV spectra. We recommend corrections of some Si II transition probabilities.

  7. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  8. Gold-coated iron nanoparticles in transparent Si3N4 matrix thin films

    Science.gov (United States)

    Sánchez-Marcos, J.; Céspedes, E.; Jiménez-Villacorta, F.; Muñoz-Martín, A.; Prieto, C.

    2013-06-01

    A new method to prepare thin films containing gold-coated iron nanoparticles is presented. The ternary Fe-Au-Si3N4 system prepared by sequential sputtering has revealed a progressive variation of microstructures from Au/Fe/Au/Si3N4 multilayers to iron nanoparticles. Microstructural characterization by transmission electron microscopy, analysis of the magnetic properties and probing of the iron short-range order by X-ray absorption spectroscopy confirm the existence of a gold-coated iron nanoparticles of 1-2 nm typical size for a specific range of iron and gold contents per layer in the transparent silicon nitride ceramic matrix.

  9. ''114''-type nitrides LnAl(Si{sub 4-x}Al{sub x})N{sub 7}O{sub δ} with unusual [AlN{sub 6}] octahedral coordination

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Saifang; Ouyang, Xin [School of Materials Science and Technology, China University of Geosciences, Beijing (China); Department of Chemical and Materials Engineering, University of Auckland (New Zealand); Huang, Zhaohui; Fang, Minghao; Liu, Yan-gai [School of Materials Science and Technology, China University of Geosciences, Beijing (China); Cao, Peng; Gao, Wei [Department of Chemical and Materials Engineering, University of Auckland (New Zealand); Zujovic, Zoran; Soehnel, Tilo [School of Chemical Sciences, University of Auckland (New Zealand); Price, Jason R. [Australian Synchrotron, Clayton, VIC (Australia); Avdeev, Maxim [Australian Centre for Neutron Scattering, Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Que, Meidan [School of Electronic and Information Engineering, Xi' an Jiaotong University (China); Suzuki, Furitsu; Kido, Tsuyoshi; Kaji, Hironori [Institute for Chemical Research, Kyoto University (Japan)

    2017-03-27

    Aluminum-nitrogen six-fold octahedral coordination, [AlN{sub 6}], is unusual and has only been seen in the high-pressure rocksalt-type aluminum nitride or some complex compounds. Herein we report novel nitrides LnAl(Si{sub 4-x}Al{sub x})N{sub 7}O{sub δ} (Ln=La, Sm), the first inorganic compounds with [AlN{sub 6}] coordination prepared via non-high-pressure synthesis. Structure refinements of neutron powder diffraction and single-crystal X-ray diffraction data show that these compounds crystallize in the hexagonal Swedenborgite structure type with P6{sub 3}mc symmetry where Ln and Al atoms locate in anticuboctahedral and octahedral interstitials, respectively, between the triangular and Kagome layers of [SiN{sub 4}] tetrahedra. Solid-state NMR data of high-purity La-114 powders confirm the unusual [AlN{sub 6}] coordination. These compounds are the first examples of the ''33-114'' sub-type in the ''114'' family. The additional site for over-stoichiometric oxygen in the structure of 114-type compounds was also identified. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Preparation and mechanical properties of carbon nanotube-silicon nitride nano-ceramic matrix composites

    Science.gov (United States)

    Tian, C. Y.; Jiang, H.

    2018-01-01

    Carbon nanotube-silicon nitride nano-ceramic matrix composites were fabricated by hot-pressing nano-sized Si3N4 powders and carbon nanotubes. The effect of CNTs on the mechanical properties of silicon nitride was researched. The phase compositions and the microstructure characteristics of the samples as well as the distribution of carbon nanotube in the silicon nitride ceramic were analyzed by X-ray diffraction and scanning electron microscope. The results show that the microstructure of composites consists mainly of α-Si3N4, β-Si3N4, Si2N2O and carbon natubes. The addition of proper amount of carbon nanotubes can improve the fracture toughness and the flexural strength, and the optimal amount of carbon nanotube are both 3wt.%. However the Vickers hardness values decrease with the increase of carbon nanotubes content.

  11. Spectroscopic XPEEM of highly conductive SI-doped GaN wires

    Energy Technology Data Exchange (ETDEWEB)

    Renault, O., E-mail: olivier.renault@cea.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Morin, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Tchoulfian, P. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Chevalier, N. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Feyer, V. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany); Pernot, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Institut Universitaire de France, F-75005 Paris (France); Schneider, C.M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany)

    2015-12-15

    Using soft X-ray photoelectron emission microscopy (XPEEM), complemented by scanning Auger microscopy (SAM) and scanning capacitance microscopy, we have quantitatively studied the incorporation of silicon and band bending at the surface (m-facet) of an individual, highly conductive Si-doped GaN micro-wires (Tchoulfian et al., Applied Physics Letters 102 (12), 2013). Electrically active n-dopants Si atoms in Ga interstitial sites are detected as nitride bonding states in the high-resolution Si2p core level spectra, and represent only a small fraction (<10%) of the overall Si surface concentration measured by SAM. The derived carrier concentration of 2×10{sup 21} at cm{sup −3} is in reasonable agreement with electrical measurements. A consistent surface band bending of ~1 eV is directly evidenced by surface photo-voltage measurements. Such an approach combining different surface-sensitive microscopies is of interest for studying other heavily doped semiconducting wires. - Highlights: • XPEEM analysis of state-of-the-art, heavily doped GaN wires with insights on the issue of the origin of the increased conductivity. • Combined microscopic approach with Scanning Auger microscopy and X-ray Photoeletron Emission Microscopy, to quantity the electrically active Si-dopants in GaN. • The determined concentration is found in reasonable agreement with the one derived from bulk electrical measurements. • The proposed method is of interest for studying the electronics and chemistry of doping in other heavily doped semiconducting wires.

  12. Thermal effects on the mechanical properties of SiC fiber reinforced reaction bonded silicon nitride matrix (SiC/RBSN) composites

    Science.gov (United States)

    Bhatt, R. T.; Phillips, R. E.

    1988-01-01

    The elevated temperature four-point flexural strength and the room temperature tensile and flexural strength properties after thermal shock were measured for ceramic composites consisting of 30 vol pct uniaxially aligned 142 micron diameter SiC fibers in a reaction bonded Si3N4 matrix. The elevated temperature strengths were measured after 15 min of exposure in air at temperatures to 1400 C. Thermal shock treatment was accomplished by heating the composite in air for 15 min at temperatures to 1200 C and then quenching in water at 25 C. The results indicate no significant loss in strength properties either at temperature or after thermal shock when compared with the strength data for composites in the as-fabricated condition.

  13. Ni-Si oxide as an inducing crystallization source for making poly-Si

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Zhiguo; Liu, Zhaojun; Li, Juan; Wu, Chunya; Xiong, Shaozhen [Institute of Photo-electronics, Nankai University, Tianjin (China); Zhao, Shuyun; Wong, Man; Kwok, Hoi Sing [Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Kowloon, Hong Kong (China)

    2010-04-15

    Nickel silicon oxide mixture was sputtered on a-Si with Ni-Si alloy target with Ni:Si weight ratio of 1:9 and used as a new inducing source for metal induced lateral crystallization (MILC). The characteristics of the resulted poly-Si materials induced by Ni-Si oxide with different thickness were nearly the same. This means the metal induced crystallization with this new inducing source has wide processing tolerance to make MILC poly-Si. Besides, it reduced the residual Ni content in the resulted poly-Si film. The transfer characteristic curve of poly-Si TFT and a TFT-OLED display demo made with this kind of new inducing source were also presented in this paper. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Alpha-particle irradiation induced defects in SiO2 films of Si-SiO2 structures

    International Nuclear Information System (INIS)

    Koman, B.P.; Gal'chynskyy, O.V.; Kovalyuk, R.O.; Shkol'nyy, A.K.

    1996-01-01

    The aim of the work was to investigate alpha-particle irradiation induced defects in Si-SiO 2 structures by means of the thermostimulated discharge currents (TSDC) analysis. The object of investigation were (p-Si)-SiO 2 structures formed by a combined oxidation of the industrial p-Si wafers in dry and wet oxygen at temperature of 1150 C. The TSD currents were investigated in the temperature range between 90 and 500 K under linear heating rate. Pu 238 isotopes were the source of alpha-particles with an energy of 4-5 MeV and a density of 5.10 7 s -1 cm -2 . The TSD current curves show two peculiar maxima at about 370 and 480 K. Alpha-particle irradiation doesn't affect the general shape of the TSDC curves but leads to a shift of the maximum at 370 K and reduces the total electret charge which is accumulated in the Si-SiO 2 structures during polarization. The energy distribution function of the defects which are involved in SiO 2 polarization has been calculated. It showes that defects with activation energies of about 0.8 and 1.0 eV take part in forming the electret state, and these activation energies have certain energy distributions. It has been found that the TSDC maximum at 370 K has space charge nature and is caused by migration of hydrogen ions. In irradiated samples hydrogen and natrium ions localize on deeper trapping centres induced by alpha-particle irradiation. (orig.)

  15. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  16. Hybrid Integrated Si/SiN Platforms for Wideband Optical Processing

    Science.gov (United States)

    2017-05-08

    annealing process, makes the process prone to dopant redistribution, that hinderers the SiN deposition after full Si device fabrication. To resolve...with 220 nm of crystalline Si. In parallel, a Si die goes through a wet oxidation process to grow 5 μm of thermal oxide. In the next step, 400 nm of... annealing methods. As a figure of merit in hydrophilic bonding, we monitored the surface roughness and bonding strength of a thin oxide layer to

  17. Formation mechanism of SiC in C-Si system by ion irradiation

    International Nuclear Information System (INIS)

    Hishita, Shunichi; Aizawa, Takashi; Suehara, Shigeru; Haneda, Hajime

    2003-01-01

    The irradiation effects of 2 MeV He + , Ne + , and Ar + ions on the film structure of the C-Si system were investigated with RHEED and XPS. The ion dose dependence of the SiC formation was kinetically analyzed. The SiC formation at moderate temperature was achieved by 2 MeV ion irradiation when the thickness of the initial carbon films was appropriate. The evolution process of the SiC film thickness consisted of the 3 stages. The first stage was the steep increase of the SiC, and was governed by the inelastic collision. The second was the gentle increase of the SiC, and was governed by the diffusion. The last was the decrease of the SiC, and was caused by the sputtering. The formation mechanism of the SiC was discussed. (author)

  18. Silicon Effects on Properties of Melt Infiltrated SiC/SiC Composites

    Science.gov (United States)

    Bhatt, Ramakrishna T.; Gyekenyesi, John Z.; Hurst, Janet B.

    2000-01-01

    Silicon effects on tensile and creep properties, and thermal conductivity of Hi-Nicalon SiC/SiC composites have been investigated. The composites consist of 8 layers of 5HS 2-D woven preforms of BN/SiC coated Hi-Nicalon fiber mats and a silicon matrix, or a mixture of silicon matrix and SiC particles. The Hi-Nicalon SiC/silicon and Hi-Nicalon SiC/SiC composites contained about 24 and 13 vol% silicon, respectively. Results indicate residual silicon up to 24 vol% has no significant effect on creep and thermal conductivity, but does decrease the primary elastic modulus and stress corresponding to deviation from linear stress-strain behavior.

  19. Simulation of electron transmittance and tunnel current in n{sup +} Poly-Si/HfSiO{sub x}N/Trap/SiO{sub 2}/Si(100) capacitors using analytical and numerical approaches

    Energy Technology Data Exchange (ETDEWEB)

    Noor, Fatimah A., E-mail: fatimah@fi.itb.ac.id; Iskandar, Ferry; Abdullah, Mikrajuddin; Khairurrijal [Physics of Electronic Materials Research Division Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung Jalan Ganesa 10, Bandung 40132 (Indonesia)

    2015-04-16

    In this paper, we discuss the electron transmittance and tunneling current in high-k-based-MOS capacitors with trapping charge by including the off-diagonal effective-mass tensor elements and the effect of coupling between transverse and longitudinal energies represented by an electron velocity in the gate. The HfSiO{sub x}N/SiO{sub 2} dual ultrathin layer is used as the gate oxide in an n{sup +} poly- Si/oxide/Si capacitor to replace SiO{sub 2}. The main problem of using HfSiO{sub x}N is the charge trapping formed at the HfSiO{sub x}N/SiO{sub 2} interface that can influence the performance of the device. Therefore, it is important to develop a model taking into account the presence of electron traps at the HfSiO{sub x}N/SiO{sub 2} interface in the electron transmittance and tunneling current. The transmittance and tunneling current in n{sup +} poly- Si/HfSiO{sub x}N/trap/SiO2/Si(100) capacitors are calculated by using Airy wavefunctions and a transfer matrix method (TMM) as analytical and numerical approaches, respectively. The transmittance and tunneling current obtained from the Airy wavefunction are compared to those computed by the TMM. The effects of the electron velocity on the transmittance and tunneling current are also discussed.

  20. Analysis of the properties of silicon nitride based ceramic (Si{sub 3}N{sub 4}) cutting tool using different addictive; Analise das propriedades de ferramenta de corte ceramicas de nitreto de silicio (Si{sub 3}N{sub 4}) usando diferentes aditivos

    Energy Technology Data Exchange (ETDEWEB)

    Pereira, Joaquim Lopes; Souza, Jose Vitor Candido de; Raymundo, Emerson Augusto [Centro Universitario de Volta Redonda (UNIFOA), Volta Redonda, RJ (Brazil); Silva, Oliverio Macedo Moreira [Centro Tecnico Aeroespacial (CTA), Sao Jose dos Campos, SP (Brazil)

    2013-06-15

    The constant search for new materials is part of the scientific and technological development of the industries. Ceramic been presenting important developments in terms of scientific and technological development, highlighting the predominance of covalent ceramics, which has important applications where abrasion resistance and hardness are required. Between covalent materials, several research papers in search of property improvements and cost reduction. However the production of ceramics of silicon nitride (Si{sub 3}N{sub 4}) with a reduced cost is possible only if used methods and different additives. The aim of this work is the development of compositions based on silicon nitride (Si{sub 3}N{sub 4}) using different additives such as Y{sub 2}O{sub 3}, CeO{sub 2}, Al{sub 2}O{sub 3} , and CTR{sub 2}O{sub 3} in varying amounts. For the development of ceramics, the mixtures were homogenized, dried, compacted and sintered using the sintering process of 1850°C for 1 hour, with a heating rate of 25°C/min. The characterizations were performed as a function of relative density by Archimedes method, the mass loss measured before and after sintering, phase analysis by X-ray diffraction, microstructure by scanning electron microscopy (SEM), and hardness and fracture toughness indentation method. The results showed relative density 97-98, Vickers hardness 17-19 GPa, fracture toughness from 5.6 to 6.8 MPa.m{sup 1/2}. The different phases were obtained depending on the types of additives used. The obtained results are promising for tribological applications. (author)

  1. Switching Performance Evaluation of Commercial SiC Power Devices (SiC JFET and SiC MOSFET) in Relation to the Gate Driver Complexity

    DEFF Research Database (Denmark)

    Pittini, Riccardo; Zhang, Zhe; Andersen, Michael A. E.

    2013-01-01

    and JFETs. The recent introduction of SiC MOSFET has proved that it is possible to have highly performing SiC devices with a minimum gate driver complexity; this made SiC power devices even more attractive despite their device cost. This paper presents an analysis based on experimental results...... of the switching losses of various commercially available Si and SiC power devices rated at 1200 V (Si IGBTs, SiC JFETs and SiC MOSFETs). The comparison evaluates the reduction of the switching losses which is achievable with the introduction of SiC power devices; this includes analysis and considerations...

  2. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  3. Low-temperature amorphous boron nitride on Si0.7Ge0.3(001), Cu, and HOPG from sequential exposures of N2H4 and BCl3

    Science.gov (United States)

    Wolf, Steven; Edmonds, Mary; Sardashti, Kasra; Clemons, Max; Park, Jun Hong; Yoshida, Naomi; Dong, Lin; Nemani, Srinivas; Yieh, Ellie; Holmes, Russell; Alvarez, Daniel; Kummel, Andrew C.

    2018-05-01

    Low-temperature sequential exposures of N2H4 and BCl3 have been performed on Si0.3Ge0.7(001), Cu, and HOPG surfaces at 350 °C. A novel BN ALD process has been achieved on Si0.3Ge0.7(001) with 60 cycles of BN ALD producing a uniform, pinhole-free thin film with low contamination, as characterized with XPS and AFM. On Cu and Si0.3Ge0.7(001), XPS spectra indicated a near stoichiometric BN film. While AFM imaging indicated the deposition on Cu yielded nanometer-scale etching, conformal deposition was observed on Si0.3Ge0.7(001). The BN ALD also nucleated on inert HOPG via step edges. In situ STM imaging showed that cyclic exposures at 350 °C were able to decorate step edges with features ∼2 nm tall and ∼200 nm wide, indicating the propensity for BN to grow in the planar direction. The N2H4 and BCl3 ALD allows for the deposition of low oxygen, low carbon films, but to avoid etching, the growth should be nucleated by N2H4, since exposure to BCl3 can result in the formation of volatile Cl-containing surface species on many substrates. Therefore, the formation of a stable surface nitride prior to BCl3 exposure is necessary to prevent formation and desorption of volatile species from the substrate.

  4. Determination of wafer bonding mechanisms for plasma activated SiN films with x-ray reflectivity

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Sun, Y [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Hicks, R [Department of Chemical Engineering, University of California, Los Angeles, CA 90095 (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Specular and diffuse x-ray reflectivity measurements were employed for wafer bonding studies of surface and interfacial reactions in {approx}800 A thick SiN films deposited on III-V substrates. CuK{sub {alpha}}{sub 1} radiation was employed for these measurements. The as-deposited films show very low surface roughness and uniform, high density SiN. Reflectivity measurements show that an oxygen plasma treatment converts the nitride surface to a somewhat porous SiO{sub x} layer (67 A thick, at 80% of SiO{sub 2} density), with confirmation of the oxide formation from x-ray photoelectron spectroscopy. Reactions at the bonded interface of two oxygen plasma treated SiN layers were examined using a bonded structure from which one of the III-V wafers is removed. Reflectivity measurements of bonded structures annealed at 150 deg. C and 300 deg. C show an increase in the SiO{sub x} layer density and thickness and even a density gradient across this interface. The increase in density is correlated with an increase in bond strength, where after the 300 deg. C anneal, a high interfacial bond strength, exceeding the bulk strength, was achieved.

  5. Process for the production of metal nitride sintered bodies and resultant silicon nitride and aluminum nitride sintered bodies

    Science.gov (United States)

    Yajima, S.; Omori, M.; Hayashi, J.; Kayano, H.; Hamano, M.

    1983-01-01

    A process for the manufacture of metal nitride sintered bodies, in particular, a process in which a mixture of metal nitrite powders is shaped and heated together with a binding agent is described. Of the metal nitrides Si3N4 and AIN were used especially frequently because of their excellent properties at high temperatures. The goal is to produce a process for metal nitride sintered bodies with high strength, high corrosion resistance, thermal shock resistance, thermal shock resistance, and avoidance of previously known faults.

  6. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  7. Biomineralisation with Saos-2 bone cells on TiSiN sputtered Ti alloys.

    Science.gov (United States)

    V V, Anusha Thampi; Bendavid, Avi; Martin, P J; Vaithilingam, Vijay; Bean, Penelope A; Evans, Margaret D M; Subramanian, B

    2017-07-01

    Surface modifications of metallic implants are important in order to protect the underlying metals from the harsh corrosive environment inside the human body and to minimize the losses caused by wear. Recently, researches are carried out in developing bioactive surfaces on metallic implants, which supports the growth and proliferation of cells on to these surfaces. Titanium silicon nitride (TiSiN) hard nanocomposites thin films were fabricated on Ti alloys (Ti-6Al-4V) by pulsed direct current (DC) reactive magnetron sputtering. The films were characterized for its microstructural and electrochemical behavior. The higher charge transfer resistance (Rct) and positive shift in Ecorr value of TiSiN/Ti alloys than the bare Ti-alloys indicates a better corrosion resistance offered by the TiSiN thin films to the underlying substrates. The biological response to TiSiN/Ti alloys and control bare Ti-alloys was measured in vitro using cell-based assays with two main outcomes. Firstly, neither the Ti alloy nor the TiSiN thin film was cytotoxic to cells. Secondly, the TiSiN thin film promoted differentiation of human bone cells above the bare control Ti alloy as measured by alkaline phosphatase and calcium production. TiSiN thin films provide better corrosion resistance and protect the underlying metal from the corrosive environment. The thin film surface is both biocompatible and bioactive as indicated from the cytotoxicity and biomineralization studies. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  9. The Effect of Si Morphology on Machinability of Al-Si Alloys

    Directory of Open Access Journals (Sweden)

    Muhammet Uludağ

    2015-12-01

    Full Text Available Many of the cast parts require some sort of machining like milling, drilling to be used as a finished product. In order to improve the wear properties of Al alloys, Si is added. The solubility of Si in Al is quite low and it has a crystallite type structure. It behaves as particulate metal matrix composite which makes it an attractive element. Thus, the wear and machinability properties of these type of alloys depend on the morphology of Si in the matrix. In this work, Sr was added to alter the morphology of Si in Al-7Si and Al-12Si. Cylindrical shaped samples were cast and machinability characteristics of Sr addition was studied. The relationship between microstructure and machinability was evaluated.

  10. Comparative study of anisotropic superconductivity in CaAlSi and CaGaSi

    International Nuclear Information System (INIS)

    Tamegai, T.; Uozato, K.; Kasahara, S.; Nakagawa, T.; Tokunaga, M.

    2005-01-01

    In order to get some insight into the origin of the anomalous angular dependence of H c2 in a layered intermetallic compound CaAlSi, electronic, superconducting, and structural properties are compared between CaAlSi and CaGaSi. The angular dependence of H c2 in CaGaSi is well described by the anisotropic GL model. Parallel to this finding, the pronounced lattice modulation accompanying the superstructure along the c-axis in CaAlSi is absent in CaGaSi. A relatively large specific heat jump at the superconducting transition in CaAlSi compared with CaGaSi indicates the presence of strong electron-phonon coupling in CaAlSi, which may cause the superstructure and the anomalous angular dependence of H c2

  11. Si/Fe flux ratio influence on growth and physical properties of polycrystalline β-FeSi2 thin films on Si(100) surface

    Science.gov (United States)

    Tarasov, I. A.; Visotin, M. A.; Aleksandrovsky, A. S.; Kosyrev, N. N.; Yakovlev, I. A.; Molokeev, M. S.; Lukyanenko, A. V.; Krylov, A. S.; Fedorov, A. S.; Varnakov, S. N.; Ovchinnikov, S. G.

    2017-10-01

    This work investigates the Si/Fe flux ratio (2 and 0.34) influence on the growth of β-FeSi2 polycrystalline thin films on Si(100) substrate at 630 °C. Lattice deformations for the films obtained are confirmed by X-ray diffraction analysis (XRD). The volume unit cell deviation from that of β-FeSi2 single crystal are 1.99% and 1.1% for Si/Fe =2 and Si/Fe =0.34, respectively. Absorption measurements show that the indirect transition ( 0.704 eV) of the Si/Fe =0.34 sample changes to the direct transition with a bandgap value of 0.816 eV for the sample prepared at Si/Fe =2. The absorption spectrum of the Si/Fe =0.34 sample exhibits an additional peak located below the bandgap energy value with the absorption maximum of 0.36 eV. Surface magneto-optic Kerr effect (SMOKE) measurements detect the ferromagnetic behavior of the β-FeSi2 polycrystalline films grown at Si/Fe =0.34 at T=10 K, but no ferromagnetism was observed in the samples grown at Si/Fe =2. Theoretical calculations refute that the cell deformation can cause the emergence of magnetization and argue that the origin of the ferromagnetism, as well as the lower absorption peak, is β-FeSi2 stoichiometry deviations. Raman spectroscopy measurements evidence that the film obtained at Si/Fe flux ratio equal to 0.34 has the better crystallinity than the Si/Fe =2 sample.

  12. Improved thermal stability and hole mobilities in a strained-Si/strained-Si1-yGe y/strained-Si heterostructure grown on a relaxed Si1-xGe x buffer

    International Nuclear Information System (INIS)

    Gupta, Saurabh; Lee, Minjoo L.; Isaacson, David M.; Fitzgerald, Eugene A.

    2005-01-01

    A dual channel heterostructure consisting of strained-Si/strained-Si 1-y Ge y on relaxed Si 1-x Ge x (y > x), provides a platform for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs) with high hole mobilities (μ eff ) which depend directly on Ge concentration and strain in the strained-Si 1-y Ge y layer. Ge out-diffuses from the strained-Si 1-y Ge y layer into relaxed Si 1-x Ge x during high temperature processing, reducing peak Ge concentration and strain in the strained-Si 1-y Ge y layer and degrades hole μ eff in these dual channel heterostructures. A heterostructure consisting of strained-Si/strained-Si 1-y Ge y /strained-Si, referred to as a trilayer heterostructure, grown on relaxed Si 1-x Ge x has much reduced Ge out-flux from the strained-Si 1-y Ge y layer and retains higher μ eff after thermal processing. Improved hole μ eff over similar dual channel heterostructures is also observed in this heterostructure. This could be a result of preventing the hole wavefunction tunneling into the low μ eff relaxed Si 1-x Ge x layer due to the additional valence band offset provided by the underlying strained-Si layer. A diffusion coefficient has been formulated and implemented in a finite difference scheme for predicting the thermal budget of the strained SiGe heterostructures. It shows that the trilayer heterostructures have superior thermal budgets at higher Ge concentrations. Ring-shaped MOSFETs were fabricated on both platforms and subjected to various processing temperatures in order to compare the extent of μ eff reduction with thermal budget. Hole μ eff enhancements are retained to a much higher extent in a trilayer heterostructure after high temperature processing as compared to a dual channel heterostructure. The improved thermal stability and hole μ eff of a trilayer heterostructure makes it an ideal platform for fabricating high μ eff MOSFETs that can be processed over higher temperatures without significant losses in hole

  13. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  14. Passivation of surface-nanostructured f-SiC and porous SiC

    DEFF Research Database (Denmark)

    Ou, Haiyan; Lu, Weifang; Ou, Yiyu

    The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper.......The further enhancement of photoluminescence from nanostructured fluorescent silicon carbide (f-SiC) and porous SiC by using atomic layer deposited (ALD) Al2O3 is studied in this paper....

  15. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  16. Si/SiC heterojunction optically controlled transistor with charge compensation layer

    Directory of Open Access Journals (Sweden)

    Pu Hongbin

    2016-01-01

    Full Text Available A novel n-SiC/p-Si/n-Si optically controlled transistor with charge compensation layer has been studied in the paper. The performance of the device is simulated using Silvaco Atlas tools, which indicates excellent performances of the device in both blocking state and conducting state. The device also has a good switching characteristic with 0.54μs as rising time and 0.66μs as falling time. With the charge compensation layer, the breakdown voltage and the spectral response intensity of the device are improved by 90V and 33A/W respectively. Compared with optically controlled transistor without charge compensation layer, the n-SiC/p-Si/n-Si optically controlled transistor with charge compensation layer has a better performance.

  17. Characterization of SiC–SiC composites for accident tolerant fuel cladding

    Energy Technology Data Exchange (ETDEWEB)

    Deck, C.P., E-mail: Christian.Deck@ga.com; Jacobsen, G.M.; Sheeder, J.; Gutierrez, O.; Zhang, J.; Stone, J.; Khalifa, H.E.; Back, C.A.

    2015-11-15

    Silicon carbide (SiC) is being investigated for accident tolerant fuel cladding applications due to its high temperature strength, exceptional stability under irradiation, and reduced oxidation compared to Zircaloy under accident conditions. An engineered cladding design combining monolithic SiC and SiC–SiC composite layers could offer a tough, hermetic structure to provide improved performance and safety, with a failure rate comparable to current Zircaloy cladding. Modeling and design efforts require a thorough understanding of the properties and structure of SiC-based cladding. Furthermore, both fabrication and characterization of long, thin-walled SiC–SiC tubes to meet application requirements are challenging. In this work, mechanical and thermal properties of unirradiated, as-fabricated SiC-based cladding structures were measured, and permeability and dimensional control were assessed. In order to account for the tubular geometry of the cladding designs, development and modification of several characterization methods were required.

  18. EFFECT OF THE Si POWDER ADDITIONS ON THE PROPERTIES OF SiC COMPOSITES

    Directory of Open Access Journals (Sweden)

    GUOGANG XU

    2012-09-01

    Full Text Available By means of transient plastic phase process, the SiC silicon carbide kiln furniture materials were produced through adding Si powder to SiC materials. At the condition of the same additions of SiO2 powder, the effect of the Si powder additions on properties of silicon carbide materials after sintered at 1450°C for 3 h in air atmosphere was studied by means of SEM and other analysis methods. The results showed that silicon powder contributes to both sintering by liquid state and plastic phase combination to improve the strength of samples. When the Si powder additions is lower than 3.5 %, the density and strength of samples increase and porosity decrease with increasing Si powder additions. However when the Si powder additions is higher than 3.5 %, the density and strength of samples decrease and porosity increase with increasing Si powder additions. With increasing of Si additions, the residual strength of sample after thermal shocked increased and linear change rate decreased, and get to boundary value when Si additions is 4.5 %. The results also indicated that at the same sintering temperature, the sample with 3.5 % silicon powder has maximum strength.

  19. Fabrication and microstructural analysis of UN-U_3Si_2 composites for accident tolerant fuel applications

    International Nuclear Information System (INIS)

    Johnson, Kyle D.; Raftery, Alicia M.; Lopes, Denise Adorno; Wallenius, Janne

    2016-01-01

    In this study, U_3Si_2 was synthesized via the use of arc-melting and mixed with UN powders, which together were sintered using the SPS method. The study revealed a number of interesting conclusions regarding the stability of the system – namely the formation of a probable but as yet unidentified ternary phase coupled with the reduction of the stoichiometry in the nitride phase – as well as some insights into the mechanics of the sintering process itself. By milling the silicide powders and reducing its particle size ratio compared to UN, it was possible to form a high density UN-U_3Si_2 composite, with desirable microstructural characteristics for accident tolerant fuel applications. - Highlights: • U_3Si_2 fabricated from elemental uranium and silicon through arc melting. • Homogeneity of the silicides assessed through densitometry, XRD, SEM and EDS, chemical etching and optical microscopy. • UN powder fabricated using hydriding-nitriding method. • No phase transformations detected when sintering using silicide particle sizes less than UN particle size. • High density composite (98%TD) fabricated with silicide grain coating using spark plasma sintering at 1450 °C.

  20. Irradiation project of SiC/SiC fuel pin 'INSPIRE': Status and future plan

    International Nuclear Information System (INIS)

    Kohyama, Akira; Kishimoto, Hirotatsu

    2015-01-01

    After the March 11 Disaster in East-Japan, Research and Development towards Ensuring Nuclear Safety Enhancement for LWR becomes a top priority R and D in nuclear energy policy of Japan. The role of high temperature non-metallic materials, such as SiC/SiC, is becoming important for the advanced nuclear reactor systems. SiC fibre reinforced SiC composite has been recognised to be the most attractive option for the future, now, METI fund based project, INSPIRE, has been launched as 5-year termed project at OASIS in Muroran Institute of Technology aiming at early realisation of this system. INSPIRE is the irradiation project of SiC/SiC fuel pins aiming to accumulate material, thermal, irradiation effect data of NITE-SiC/SiC in BWR environment. Nuclear fuel inserted SiC/SiC fuel pins are planned to be installed in the Halden reactor. The project includes preparing the NITE-SiC/SiC tubes, joining of end caps, preparation of rigs to control the irradiation environment to BWR condition and the instruments to measure the condition of rigs and pins in operation. Also, basic neutron irradiation data will be accumulated by SiC/SiC coupon samples currently under irradiation in BR2. The output from this project may present the potentiality of NITE-SiC/SiC fuel cladding with the first stage fuel-cladding interaction. (authors)

  1. Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures

    International Nuclear Information System (INIS)

    Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich

    2006-01-01

    The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si

  2. Electrochemical characteristics of nc-Si/SiC composite for anode electrode of lithium ion batteries

    International Nuclear Information System (INIS)

    Jeon, Bup Ju; Lee, Joong Kee

    2014-01-01

    Graphical abstract: Cycling performances and coulombic efficiencies of the nc-Si/SiC composite anodes at different CH 4 /SiH 4 mole ratios. -- Highlights: • Our work has focused on irreversible discharge capacity and capacity retention of nc-Si/SiC composite particles. • Particles comprised a mixed construction of nc-Si/SiC structure with dual phases. • The SiC phase acted as retarding media, leading to enhanced cycle stability. -- Abstract: nc-Si/SiC composite particles were prepared as an anode material for lithium ion batteries using a plasma jet with DC arc discharge. The composition of the nc-Si/SiC composite particles was controlled by setting the mole ratio of CH 4 and SiH 4 precursor gases. X-ray diffraction, TEM images, and Raman shift analyses revealed that the synthesized nc-Si/SiC composite particles comprised a construction of nano-nocaled structure with crystalline phases of active silicon, highly disordered amorphous carbon of graphite and crystalline phases of β-SiC. In the experimental range examined, the nc-Si/SiC composite particles showed good coulombic efficiency in comparison with particles high Si–Si bonding content due to the interplay of particles with a small proportion of carbon and the buffering effect against volume expansion by structural stabilization, and played a role as retarding media for the rapid electrochemical reactions of the SiC crystal against lithium

  3. Electrochemical characteristics of nc-Si/SiC composite for anode electrode of lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy Resources, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do, 483-777 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Advanced Energy Materials Processing Laboratory, Center for Energy Convergence Research, Green City Technology Institute, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of)

    2014-03-25

    Graphical abstract: Cycling performances and coulombic efficiencies of the nc-Si/SiC composite anodes at different CH{sub 4}/SiH{sub 4} mole ratios. -- Highlights: • Our work has focused on irreversible discharge capacity and capacity retention of nc-Si/SiC composite particles. • Particles comprised a mixed construction of nc-Si/SiC structure with dual phases. • The SiC phase acted as retarding media, leading to enhanced cycle stability. -- Abstract: nc-Si/SiC composite particles were prepared as an anode material for lithium ion batteries using a plasma jet with DC arc discharge. The composition of the nc-Si/SiC composite particles was controlled by setting the mole ratio of CH{sub 4} and SiH{sub 4} precursor gases. X-ray diffraction, TEM images, and Raman shift analyses revealed that the synthesized nc-Si/SiC composite particles comprised a construction of nano-nocaled structure with crystalline phases of active silicon, highly disordered amorphous carbon of graphite and crystalline phases of β-SiC. In the experimental range examined, the nc-Si/SiC composite particles showed good coulombic efficiency in comparison with particles high Si–Si bonding content due to the interplay of particles with a small proportion of carbon and the buffering effect against volume expansion by structural stabilization, and played a role as retarding media for the rapid electrochemical reactions of the SiC crystal against lithium.

  4. Synthesis of SiC decorated carbonaceous nanorods and its hierarchical composites Si@SiC@C for high-performance lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chundong [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China); Li, Yi, E-mail: liyi@suda.edu.cn [College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou (China); Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China); Ostrikov, Kostya [School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, Queensland 4000 (Australia); Plasma Nanoscience, Industrial Innovation Program, CSIRO Manufacturing Flagship, Lindfield, New South Wales 2070 (Australia); Yang, Yonggang [College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou (China); Zhang, Wenjun, E-mail: apwjzh@cityu.edu.hk [Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China)

    2015-10-15

    SiC- based nanomaterials possess superior electric, thermal and mechanical properties. However, due to the tricky synthesis process, which needs to be carried out under high temperature with multi-step reaction procedures, the further application is dramatically limited. Herein, a simple as well as a controllable approach is proposed for synthesis of SiC- based nanostructures under low temperature. Phenyl-bridged polysilsesquioxane was chosen as the starting material to react with magnesium at 650 °C, following which SiC@C nanocomposites were finally obtained, and it maintains the original bent rod-like architecture of polysilsesquioxanes. The possible formation process for the nanocomposites can proposed as well. The electrochemical behaviour of nanocomposites was accessed, verifying that the synthesized SiC@C nanocomposites deliver good electrochemical performance. Moreover, SiC@C also shows to be a promising scaffold in supporting Si thin film electrode in achieving stable cycling performance in lithium ion batteries. - Highlights: • SiC@C bent nanorods were synthesized with a magnesium reaction approach. • Carbon nanorod spines studded with ultrafine β-SiC nanocrystallines was realized. • The synthesized SiC@C keeps the original rod-like structure of polysilsesquioxanes. • The possible formation process for the nanocomposites was analysed and proposed. • Si@SiC@C nanocomposites reveal good electrochemical performance in LIBs.

  5. Progress in blanket designs using SiCf/SiC composites

    International Nuclear Information System (INIS)

    Giancarli, L.; Golfier, H.; Nishio, S.; Raffray, R.; Wong, C.; Yamada, R.

    2002-01-01

    This paper summarizes the most recent design activities concerning the use of SiC f /SiC composite as structural material for fusion power reactor breeding blanket. Several studies have been performed in the past. The most recent proposals are the TAURO blanket concept in the European Union, the ARIES-AT concept in the US, and DREAM concept in Japan. The first two concepts are self-cooled lithium-lead blankets, while DREAM is an helium-cooled beryllium/ceramic blanket. Both TAURO and ARIES-AT blankets are essentially formed by a SiC f /SiC box acting as a container for the lithium-lead which has the simultaneous functions of coolant, tritium breeder, neutron multiplier and, finally, tritium carrier. The DREAM blanket is characterized by small modules using pebble beds of Be as neutron multiplier material, of Li 2 O (or other lithium ceramics) as breeder material and of SiC as shielding material. The He coolant path includes a flow through the pebble beds and a porous partition wall. For each blanket, this paper describes the main design features and performances, the most recent design improvements, and the proposed manufacturing routes in order to identify specific issues and requirements for the future R and D on SiC f /SiC

  6. Gas-source molecular beam epitaxy of Si(111) on Si(110) substrates by insertion of 3C-SiC(111) interlayer for hybrid orientation technology

    Energy Technology Data Exchange (ETDEWEB)

    Bantaculo, Rolando, E-mail: rolandobantaculo@yahoo.com; Saitoh, Eiji; Miyamoto, Yu; Handa, Hiroyuki; Suemitsu, Maki

    2011-11-01

    A method to realize a novel hybrid orientations of Si surfaces, Si(111) on Si(110), has been developed by use of a Si(111)/3C-SiC(111)/Si(110) trilayer structure. This technology allows us to use the Si(111) portion for the n-type and the Si(110) portion for the p-type channels, providing a solution to the current drive imbalance between the two channels confronted in Si(100)-based complementary metal oxide semiconductor (CMOS) technology. The central idea is to use a rotated heteroepitaxy of 3C-SiC(111) on Si(110) substrate, which occurs when a 3C-SiC film is grown under certain growth conditions. Monomethylsilane (SiH{sub 3}-CH{sub 3}) gas-source molecular beam epitaxy (GSMBE) is used for this 3C-SiC interlayer formation while disilane (Si{sub 2}H{sub 6}) is used for the top Si(111) layer formation. Though the film quality of the Si epilayer leaves a lot of room for betterment, the present results may suffice to prove its potential as a new technology to be used in the next generation CMOS devices.

  7. Magnetic ordering of YPd{sub 2}Si-type HoNi{sub 2}Si and ErNi{sub 2}Si compounds

    Energy Technology Data Exchange (ETDEWEB)

    Morozkin, A.V., E-mail: morozkin@tech.chem.msu.ru [Department of Chemistry, Moscow State University, Leninskie Gory, House 1, Building 3, GSP-2, Moscow, 119992 (Russian Federation); Isnard, O. [CNRS, Insitut. Néel, 25 Rue Des Martyrs BP166 x, F-38042 Grenoble (France); Université Grenoble Alpes, Inst. Néel, F-38042 Grenoble (France); Nirmala, R. [Indian Institute of Technology Madras, Chennai 600 036 (India); Quezado, S.; Malik, S.K. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Natal 59082-970 (Brazil)

    2016-12-01

    Magnetic properties of YPd{sub 2}Si-type HoNi{sub 2}Si and ErNi{sub 2}Si were investigated via neutron diffraction and magnetisation measurements. HoNi{sub 2}Si and ErNi{sub 2}Si show ferromagnetic-like ordering at T{sub C} of 9 K and 7 K, respectively. The paramagnetic Weiss temperatures are 9 K and 11 K and the effective magnetic moments are 10.76 μ{sub B}/fu and 9.79 μ{sub B}/fu for HoNi{sub 2}Si and ErNi{sub 2}Si compounds, respectively. The HoNi{sub 2}Si and ErNi{sub 2}Si are soft ferromagnets with saturation magnetization of 8.1 μ{sub B}/fu and 7.5 μ{sub B}/fu, respectively at 2 K and in field of 140 kOe. The isothermal magnetic entropy change, ΔS{sub m}, has a maximum value of −15.6 J/kg·K at 10 K for HoNi{sub 2}Si and −13.9 J/kg·K at 6 K for ErNi{sub 2}Si for a field change of 50 kOe. Neutron diffraction study in zero applied field shows mixed ferromagnetic-antiferromagnetic ordering of HoNi{sub 2}Si at ~9 K and its magnetic structure is a sum of a-axis ferromagnetic F{sub a}, b-axis antiferromagnetic AF{sub b} and c-axis antiferrromagnetic AF{sub c} components of Pn′a2{sub 1}′={1, m_x′/[1/2, 1/2, 1/2], 2_y′/[0, 1/2, 0], m_z/[1/2, 0, 1/2]} magnetic space group and propagation vector K{sub 0}=[0, 0, 0]. The holmium magnetic moment reaches a value of 9.23(9) μ{sub B} at 1.5 K and the unit cell of HoNi{sub 2}Si undergoes isotropic contraction around the temperature of magnetic transition. - Graphical abstract: HoNi{sub 2}Si: mixed ferro-antiferromagnet (F{sub a}+AF{sub b}+AF{sub c}){sup K0} with Pn′a2{sub 1}′ magnetic space group and K{sub 0}=[0, 0, 0] propagation vector below 10 K. - Highlights: • Ferro-antiferromagnetic ordering is observed in HoNi{sub 2}Si at 9 K and in ErNi{sub 2}Si at 7 K. • HoNi{sub 2}Si is soft ferromagnet with ΔS{sub m} of −15.6 J/kg·K at 10 K in field of 0–50 kOe. • ErNi{sub 2}Si is soft ferromagnet with ΔS{sub m} of −13.9 J/kg·K at 6 K in field of 0–50 kOe. • HoNi{sub 2}Si shows mixed F

  8. Compósitos SiCf /SiC utilizados em sistemas de proteção térmica SiCf /SiC composites for thermal protection systems

    Directory of Open Access Journals (Sweden)

    M. Florian

    2005-09-01

    Full Text Available Compósitos de carbeto de silício (SiC reforçado com fibras de carbeto de silício (SiCf são materiais candidatos em potencial para utilização em sistemas de proteção térmica em altas temperaturas devido principalmente à boa condutividade térmica na direção da fibra e muito baixa condutividade térmica na direção transversal à fibra, alta dureza, estabilidade térmica e à corrosão por oxidação. O compósito SiCf/SiC possui uma matriz de SiC reforçada com fibras contínuas policristalinas de SiC e é obtido por reações de conversão em altas temperaturas e atmosfera controlada, utilizando o compósito carbono/carbono como precursor. O processo de Reação Química em Vapor (CVR foi utilizado para a fabricação de compósitos SiCf/SiC com alta pureza na fase de SiC-beta. O compósito precursor de carbono/carbono foi fabricado com fibra de carbono não estabilizada e matriz carbonosa derivada da resina fenólica na forma de carbono isotrópico. O compósito convertido exibiu uma densidade de 1,75 g/cm³, com 40% de porosidade aberta e resistência à flexão de 80 MPa medida por ensaio flexão em 4 pontos. A área especifica medida pela técnica de BET é dependente da temperatura de conversão e das condições inicias do precursor de carbono, podendo chegar a 18 m²/g.Composites based on silicon carbide are potential candidate materials for thermal protection systems mainly due to its good thermal conductivity in fiber direction and very low transversal thermal conductivity, high hardness, corrosion and thermal resistance. SiCf/SiC composite presents a SiC matrix reinforced with SiC polycrystalline continuous fibers. The composite was obtained by conversion reactions at high temperature and controlled atmosphere from a carbon/carbon composite precursor. The CVR process was used to fabricate SiC /SiC composite with crystalline high-purity beta-SiC from a carbon-carbon precursor fabricated with non-stabilized carbon fiber and

  9. Phase and thickness dependence of thermal diffusivity in a-SiCxNy and a-BCxNy

    International Nuclear Information System (INIS)

    Chattopadhyay, S.; Chen, L.C.; Chien, S.C.; Lin, S.T.; Wu, C.T.; Chen, K.H.

    2002-01-01

    Thermal diffusivity (α) and bonding configuration of amorphous silicon carbon nitride (a-SiC x N y ) and boron carbon nitride (a-BC x N y ) films on silicon substrates were studied. Measurement of α by the traveling wave technique and bonding characterisation through X-ray photoelectron spectroscopy in a-SiC x N y and a-BC x N y films having different carbon concentrations revealed that lower coordinated bonds were detrimental to the thermal diffusivity of these films. Furthermore, α was found to depend on the thickness of these films deposited on silicon. This was attributed to the interface thermal resistance between two thermally different materials, the film and the substrate, although other factors such as film microstructure could also play a role. An empirical relation for the variation of thermal diffusivity with thickness is proposed

  10. The Leakage Current Improvement of a Ni-Silicided SiGe/Si Junction Using a Si Cap Layer and the PAI Technique

    International Nuclear Information System (INIS)

    Chang Jian-Guang; Wu Chun-Bo; Ji Xiao-Li; Ma Hao-Wen; Yan Feng; Shi Yi; Zhang Rong

    2012-01-01

    We investigate the leakage current of ultra-shallow Ni-silicided SiGe/Si junctions for 45 nm CMOS technology using a Si cap layer and the pre-amorphization implantation (PAI) process. It is found that with the conventional Ni silicide method, the leakage current of a p + (SiGe)—n(Si) junction is large and attributed to band-to-band tunneling and the generation-recombination process. The two leakage contributors can be suppressed quite effectively when a Si cap layer is added in the Ni silicide method. The leakage reduction is about one order of magnitude and could be associated with the suppression of the agglomeration of the Ni germano-silicide film. In addition, the PAI process after the application of a Si cap layer has little effect on improving the junction leakage but reduces the sheet resistance of the silicide film. As a result, the novel Ni silicide method using a Si cap combined with PAI is a promising choice for SiGe junctions in advanced technology. (cross-disciplinary physics and related areas of science and technology)

  11. Narrow photoluminescence peak from Ge(Si) islands embedded between tensile-strained Si layers

    Energy Technology Data Exchange (ETDEWEB)

    Shaleev, Mikhail; Novikov, Alexey; Baydakova, Nataliya; Yablonskiy, Artem; Drozdov, Yuriy; Lobanov, Dmitriy; Krasilnik, Zakhary [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Kuznetsov, Oleg [Physical-Technical Research Institute, Nizhny Novgorod State University, pr. Gagarina 23, 603950 Nizhny Novgorod (Russian Federation)

    2011-03-15

    The influence of thickness of the strained Si layers, measurement temperature and optical pumping power on width of the photoluminescence line from Ge(Si) self-assembled nanoislands grown on relaxed SiGe/Si(001) buffer layers and embedded between tensile-stained Si layers was studied. This line appears due to the II-type optical transition between the holes localized in islands and the electrons confined in tensile-strained Si layers under and above the islands. The possibility of tuning the photoluminescence line width by changing the strained Si layer thicknesses under and above the islands is showed. The decrease of the photoluminescence line width from Ge(Si) islands down to values comparable with width of the PL line from InAs/GaAs quantum dots was achieved due to the quantum confinement of electrons in thin strained Si layers and taking into account of the higher diffusion-induced smearing of strained Si layer above the islands. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  13. Electroplating chromium on CVD SiC and SiCf-SiC advanced cladding via PyC compatibility coating

    Science.gov (United States)

    Ang, Caen; Kemery, Craig; Katoh, Yutai

    2018-05-01

    Electroplating Cr on SiC using a pyrolytic carbon (PyC) bond coat is demonstrated as an innovative concept for coating of advanced fuel cladding. The quantification of coating stress, SEM morphology, XRD phase analysis, and debonding test of the coating on CVD SiC and SiCf-SiC is shown. The residual tensile stress (by ASTM B975) of electroplated Cr is > 1 GPa prior to stress relaxation by microcracking. The stress can remove the PyC/Cr layer from SiC. Surface etching of ∼20 μm and roughening to Ra > 2 μm (by SEM observation) was necessary for successful adhesion. The debonding strength (by ASTM D4541) of the coating on SiC slightly improved from 3.6 ± 1.4 MPa to 5.9 ± 0.8 MPa after surface etching or machining. However, this improvement is limited due to the absence of an interphase, and integrated CVI processing may be required for further advancement.

  14. High-performance a -Si/c-Si heterojunction photoelectrodes for photoelectrochemical oxygen and hydrogen evolution

    KAUST Repository

    Wang, Hsin Ping; Sun, Ke; Noh, Sun Young; Kargar, Alireza; Tsai, Meng Lin; Huang, Ming Yi; Wang, Deli; He, Jr-Hau

    2015-01-01

    Amorphous Si (a-Si)/crystalline Si (c-Si) heterojunction (SiHJ) can serve as highly efficient and robust photoelectrodes for solar fuel generation. Low carrier recombination in the photoelectrodes leads to high photocurrents and photovoltages

  15. Structure analysis of aluminium silicon manganese nitride precipitates formed in grain-oriented electrical steels

    International Nuclear Information System (INIS)

    Bernier, Nicolas; Xhoffer, Chris; Van De Putte, Tom; Galceran, Montserrat; Godet, Stéphane

    2013-01-01

    We report a detailed structural and chemical characterisation of aluminium silicon manganese nitrides that act as grain growth inhibitors in industrially processed grain-oriented (GO) electrical steels. The compounds are characterised using energy dispersive X-ray spectrometry (EDX) and energy filtered transmission electron microscopy (EFTEM), while their crystal structures are analysed using X-ray diffraction (XRD) and TEM in electron diffraction (ED), dark-field, high-resolution and automated crystallographic orientation mapping (ACOM) modes. The chemical bonding character is determined using electron energy loss spectroscopy (EELS). Despite the wide variation in composition, all the precipitates exhibit a hexagonal close-packed (h.c.p.) crystal structure and lattice parameters of aluminium nitride. The EDX measurement of ∼ 900 stoichiometrically different precipitates indicates intermediate structures between pure aluminium nitride and pure silicon manganese nitride, with a constant Si/Mn atomic ratio of ∼ 4. It is demonstrated that aluminium and silicon are interchangeably precipitated with the same local arrangement, while both Mn 2+ and Mn 3+ are incorporated in the h.c.p. silicon nitride interstitial sites. The oxidation of the silicon manganese nitrides most likely originates from the incorporation of oxygen during the decarburisation annealing process, thus creating extended planar defects such as stacking faults and inversion domain boundaries. The chemical composition of the inhibitors may be written as (AlN) x (SiMn 0.25 N y O z ) 1−x with x ranging from 0 to 1. - Highlights: • We study the structure of (Al,Si,Mn)N inhibitors in grain oriented electrical steels. • Inhibitors have the hexagonal close-packed symmetry with lattice parameters of AlN. • Inhibitors are intermediate structures between pure AlN and (Si,Mn)N with Si/Mn ∼ 4. • Al and Si share the same local arrangement; Mn is incorporated in both Mn 2+ and Mn 3+ . • Oxygen

  16. Luminescence of solar cells with a-Si:H/c-Si heterojunctions

    Science.gov (United States)

    Zhigunov, D. M.; Il'in, A. S.; Forsh, P. A.; Bobyl', A. V.; Verbitskii, V. N.; Terukov, E. I.; Kashkarov, P. K.

    2017-05-01

    We have studied the electroluminescence (EL) and photoluminescence (PL) of solar cells containing a-Si:H/c-Si heterojunctions. It is established that both the EL and PL properties of these cells are determined by the radiative recombination of nonequilibrium carriers in crystalline silicon (c-Si). The external EL energy yield (efficiency) of solar cells with a-Si:H/c-Si heterojunctions at room temperature amounts to 2.1% and exceeds the value reached in silicon diode structures. This large EL efficiency can be explained by good passivation of the surface of crystalline silicon and the corresponding increase in lifetime of minority carrier s in these solar cells.

  17. Thermogravimetric and microscopic analysis of SiC/SiC materials with advanced interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Windisch, C.F. Jr.; Jones, R.H. [Pacific Northwest National Lab., Richland, WA (United States); Snead, L.L. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    The chemical stability of SiC/SiC composites with fiber/matrix interfaces consisting of multilayers of SiC/SiC and porous SiC have been evaluated using a thermal gravimetric analyzer (TGA). Previous evaluations of SiC/SiC composites with carbon interfacial layers demonstrated the layers are not chemically stable at goal use temperatures of 800-1100{degrees}C and O{sub 2} concentrations greater than about 1 ppm. No measureable mass change was observed for multilayer and porous SiC interfaces at 800-1100{degrees}C and O{sub 2} concentrations of 100 ppm to air; however, the total amount of oxidizable carbon is on the order of the sensitivity of the TGA. Further studies are in progress to evaluate the stability of these materials.

  18. Fermi surfaces of YRu2Si2 and LaRu2Si2

    International Nuclear Information System (INIS)

    Settai, R.; Ikezawa, H.; Toshima, H.; Takashita, M.; Ebihara, T.; Sugawara, H.; Kimura, T.; Motoki, K.; Onuki, Y.

    1995-01-01

    We have measured the de Haas-van Alphen effect of YRu 2 Si 2 and LaRu 2 Si 2 to clarify the Fermi surfaces and cyclotron masses. Main hole-Fermi surfaces of both compounds with a distorted ellipsoid shape are similar, occupying about half of the Brillouin zone. The small hole-Fermi surfaces with the shape of a rugby ball are three in number for LaRu 2 Si 2 , and one for YRu 2 Si 2 . An electron-Fermi surface consists of a doughnut like shape for LaRu 2 Si 2 , while a cylinder along the [001] direction and a multiply-connected shape exist for YRu 2 Si 2 . The cyclotron masses of YRu 2 Si 2 are a little larger than those of LaRu 2 Si 2 . ((orig.))

  19. Thickness dependent formation and properties of GdSi2/Si(100) interfaces

    International Nuclear Information System (INIS)

    Peto, G.; Molnar, G.; Dozsa, L.; Horvath, Z.E.; Horvath, Zs.J.; Zsoldos, E.; Dimitriadis, C.A.; Papadimitriou, L.

    2005-01-01

    Epitaxial and polycrystalline orthorhombic GdSi 2 films were grown on Si(100) substrates by solid phase reaction between Si and Gd films at different thicknesses of the Gd film. The most important property of these GdSi 2 /Si interfaces was defect formation. This was investigated by studying the properties of the Schottky barriers by means of current voltage and capacitance-voltage characteristics, deep level transient spectroscopy by double crystal X-ray diffractometry, and transmission electron microscopy. Epitaxial growth of the silicide layer ensured a relatively low interface defect density (about 10 10 cm -2 ), while the non-epitaxial growth induced defects of a much higher density (about 10 12 cm -2 ). The defects generated during the silicide formation are located within a depth of about 10 nm from the GdSi 2 /Si interface. (orig.)

  20. Formation of SiN{sub x}:H by PECVD: optimization of the optical, bulk passivation and structural properties for photovoltaic applications; Elaboration de SiN{sub x}:H par PECVD: optimisation des proprietes optiques, passivantes et structurales pour applications photovoltaiques

    Energy Technology Data Exchange (ETDEWEB)

    Lelievre, J.F

    2007-04-15

    The hydrogenated silicon nitride SiNx:H is widely used as antireflection coating and passivation layer in the manufacture of silicon photovoltaic cells. The aim of this work was to implement a low frequency (440 kHz) PECVD reactor and to characterize the obtained SiN layers. After having determined the parameters of the optimal deposition, the physico-chemical structure of the layers has been studied. The optical properties have been studied with the aim to improve the antireflection coating of the photovoltaic cells. The surface and bulk passivation properties, induced by the SiN layer in terms of its stoichiometry, have been analyzed and have revealed the excellent passivating efficiency of this material. At last, have been studied the formation conditions of the silicon nano-crystals in the SiN matrix. (O.M.)

  1. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  2. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  3. Investigation into solubility and diffusion in SiC-NbC, SiC-TiC, SiC-ZrC systems

    International Nuclear Information System (INIS)

    Safaraliev, G.K.; Tairov, Yu.M.; Tsvetkov, V.F.; Shabanov, Sh.Sh.

    1991-01-01

    An investigation is carried out which demonstrates solid-phase interaction between SiC and NbC, TiC and ZrC monocrystals. The monocrystals are subjected to hot pressing in SiC powder with dispersity of 5x10 -6 m. The pressing temperature is 2270-2570 K and pressure is varied in the range of 20-40 MPa. Element composition and the distribution profile in a thin layer near the boundary of SiC-NbC, SiC-TiC and SiC-ZrC are investigated by the Anger spectroscopy method. The obtained results permit to make the conclusion in the possibility of solid solution formation in investigated systems

  4. Materials and devices for quantum information processing in Si/SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sailer, Juergen

    2010-12-15

    In this thesis, we cover and discuss the complete way from material science, the fabrication of two-dimensional electron systems (2DES) in Si/SiGe heterostructures in molecular beam epitaxy (MBE), to quantum effects in few-electron devices based on these samples. We applied and compared two different approaches for the creation of pseudo-substrates that are as smooth, relaxed and defect free as possible. In the 'graded buffer' concept, starting from pure Si, the Ge content of the SiGe alloy is slowly and linearly increased until the desired Ge content is reached. In contrast, in the so-called 'low-temperature Si' concept, the SiGe alloy is deposited directly with the final Ge content, but onto a layer of highly defective Si. In terms of crystal defects, the 'graded buffer' turned out to be superior in comparison to the 'low-temperature Si' concept at the expense of a significantly higher material consumption. By continued optimization of the growth process, aiming at reducing the influence of the impurity, it nevertheless became possible to improve the charge carrier mobility from a mere 2000 cm{sup 2}/(Vs) to a record mobility exceeding 100 000 cm{sup 2}/(Vs). Within this work, we extended our MBE system with an electron beam evaporator for nuclear spin free {sup 28}Si. Together with the already existing effusion cell for {sup 70}Ge we were able to realize first 2DES in a nuclear spin free environment after successfully putting it to operation. The highest mobility 2DES in a nuclear spin free environment which have been realized in this thesis exhibited electron mobilities of up to 55 000 cm{sup 2}/(Vs). Quantum effects in Si/SiGe have been investigated in two- and zero-dimensional nanostructures. A remarkable phenomenon in the regime of the integer quantum Hall effect in Si/SiGe 2DES has been discovered and researched. For applications in quantum information processing and for the creation of qubits it is mandatory to

  5. Parametric excitation of a SiN membrane via piezoelectricity

    Directory of Open Access Journals (Sweden)

    Shuhui Wu

    2018-01-01

    Full Text Available We develop a stoichiometric silicon nitride (SiN membrane-based electromechanical system, in which the spring constant of the mechanical resonator can be dynamically controlled via piezoelectric actuation. The degenerate parametric amplifier is studied in this configuration. We observe the splitting of mechanical mode in the response spectra of a phase-sensitive parametric amplifier. In addition, we demonstrate that the quality factor Q of the membrane oscillator can be significantly enhanced by more than two orders of magnitude due to the coherent amplification, reaching an effective Q factor of ∼3 × 108 at room temperature. The nonlinear effect on the parametric amplification is also investigated, as well as the thermomechanical noise squeezing. This system offers the possibility to integrate electrical, optical and mechanical degrees of freedom without compromising the exceptional material properties of SiN membranes, and can be a useful platform for studying cavity optoelectromechanics.

  6. Parametric excitation of a SiN membrane via piezoelectricity

    Science.gov (United States)

    Wu, Shuhui; Sheng, Jiteng; Zhang, Xiaotian; Wu, Yuelong; Wu, Haibin

    2018-01-01

    We develop a stoichiometric silicon nitride (SiN) membrane-based electromechanical system, in which the spring constant of the mechanical resonator can be dynamically controlled via piezoelectric actuation. The degenerate parametric amplifier is studied in this configuration. We observe the splitting of mechanical mode in the response spectra of a phase-sensitive parametric amplifier. In addition, we demonstrate that the quality factor Q of the membrane oscillator can be significantly enhanced by more than two orders of magnitude due to the coherent amplification, reaching an effective Q factor of ˜3 × 108 at room temperature. The nonlinear effect on the parametric amplification is also investigated, as well as the thermomechanical noise squeezing. This system offers the possibility to integrate electrical, optical and mechanical degrees of freedom without compromising the exceptional material properties of SiN membranes, and can be a useful platform for studying cavity optoelectromechanics.

  7. Structure and chemistry of passivated SiC/SiO{sub 2} interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Houston Dycus, J.; Xu, Weizong; LeBeau, James M. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695-7907 (United States); Lichtenwalner, Daniel J.; Hull, Brett; Palmour, John W. [Power Devices R& D, Wolfspeed, A Cree Company, Research Triangle Park, North Carolina 27709 (United States)

    2016-05-16

    Here, we report on the chemistry and structure of 4H-SiC/SiO{sub 2} interfaces passivated either by nitric oxide annealing or Ba deposition. Using aberration corrected scanning transmission electron microscopy and spectroscopy, we find that Ba and N remain localized at SiC/SiO{sub 2} interface after processing. Further, we find that the passivating species can introduce significant changes to the near-interface atomic structure of SiC. Specifically, we quantify significant strain for nitric oxide annealed sample where Si dangling bonds are capped by N. In contrast, strain is not observed at the interface of the Ba treated samples. Finally, we place these results in the context of field effect mobility.

  8. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng, E-mail: rschen@ust.hk; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-08-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm{sup 2}/Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10{sup 6}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress.

  9. Chemical compatibility issues associated with use of SiC/SiC in advanced reactor concepts

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, Dane F. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-09-01

    Silicon carbide/silicon carbide (SiC/SiC) composites are of interest for components that will experience high radiation fields in the High Temperature Gas Cooled Reactor (HTGR), the Very High Temperature Reactor (VHTR), the Sodium Fast Reactor (SFR), or the Fluoride-cooled High-temperature Reactor (FHR). In all of the reactor systems considered, reactions of SiC/SiC composites with the constituents of the coolant determine suitability of materials of construction. The material of interest is nuclear grade SiC/SiC composites, which consist of a SiC matrix [high-purity, chemical vapor deposition (CVD) SiC or liquid phase-sintered SiC that is crystalline beta-phase SiC containing small amounts of alumina-yttria impurity], a pyrolytic carbon interphase, and somewhat impure yet crystalline beta-phase SiC fibers. The interphase and fiber components may or may not be exposed, at least initially, to the reactor coolant. The chemical compatibility of SiC/SiC composites in the three reactor environments is highly dependent on thermodynamic stability with the pure coolant, and on reactions with impurities present in the environment including any ingress of oxygen and moisture. In general, there is a dearth of information on the performance of SiC in these environments. While there is little to no excess Si present in the new SiC/SiC composites, the reaction of Si with O2 cannot be ignored, especially for the FHR, in which environment the product, SiO2, can be readily removed by the fluoride salt. In all systems, reaction of the carbon interphase layer with oxygen is possible especially under abnormal conditions such as loss of coolant (resulting in increased temperature), and air and/ or steam ingress. A global outline of an approach to resolving SiC/SiC chemical compatibility concerns with the environments of the three reactors is presented along with ideas to quickly determine the baseline compatibility performance of SiC/SiC.

  10. Heteroepitaxial growth of SiC films by carbonization of polyimide Langmuir-Blodgett films on Si

    Directory of Open Access Journals (Sweden)

    Goloudina S.I.

    2017-01-01

    Full Text Available High quality single crystal SiC films were prepared by carbonization of polyimide Langmuir-Blodgett films on Si substrate. The films formed after annealing of the polyimide films at 1000°C, 1100°C, 1200°C were studied by Fourier transform-infrared (FTIR spectroscopy, X-ray diffraction (XRD, Raman spectroscopy, transmission electon microscopy (TEM, transmission electron diffraction (TED, and scanning electron microscopy (SEM. XRD study and HRTEM cross-section revealed that the crystalline SiC film begins to grow on Si (111 substrate at 1000°C. According to the HRTEM cross-section image five planes in 3C-SiC (111 film are aligned with four Si(111 planes at the SiC/Si interface. It was shown the SiC films (35 nm grown on Si(111 at 1200°C have mainly cubic 3C-SiC structure with a little presence of hexagonal polytypes. Only 3C-SiC films (30 nm were formed on Si (100 substrate at the same temperature. It was shown the SiC films (30-35 nm are able to cover the voids in Si substrate with size up to 10 μm.

  11. Purity and radioactive decay behaviour of industrial 2D-reinforced SiCf/SiC composites

    International Nuclear Information System (INIS)

    Scholz, H.W.; Zucchetti, M.; Casteleyn, K.; Adelhelm, C.

    1994-01-01

    Ceramic matrix composites based on SiC with continuous fibres (SiC f /SiC) are considered promising structural materials for future fusion devices. It was still to clarify, whether impurities in industrial SiC f /SiC could jeopardise radiological advantages. Experimental impurity analyses revealed a two-dimensionally reinforced SiC f /SiC with the matrix produced by CVI as very pure. Chemo-spectrometric methods were combined with radioactivation methods (CPAA, NAA). A quantification of the main constituents Si, C and O was added. Calculations with the FISPACT-2.4 code and EAF-2 library identified elements detrimental for different low-activation criteria. For the neutron exposure, EEF reactor-study first wall and blanket conditions were simulated. The calculated SiC f /SiC included 48 trace elements. Even under conservative assumptions, all low-activation limits of European interest are fulfilled. Exclusively the hands-on recycling limit for the First Wall can intrinsically not be satisfied with SiC. The theoretical goal of a SiC f /SiC depleted of 28 Si (isotopic tailoring) is critically discussed. ((orig.))

  12. Transformation of sludge Si to nano-Si/SiOx structure by oxygen inward diffusion as precursor for high performance anodes in lithium ion batteries

    Science.gov (United States)

    Hua, Qiqi; Dai, Dongyang; Zhang, Chengzhi; Han, Fei; Lv, Tiezheng; Li, Xiaoshan; Wang, Shijie; Zhu, Rui; Liao, Haojie; Zhang, Shiguo

    2018-05-01

    Although several Si/C composite structures have been proposed for high-performance lithium-ion batteries (LIBs), they have still suffered from expensive and complex processes of nano-Si production. Herein, a simple, controllable oxygen inward diffusion was utilized to transform Si sludge obtained from the photovoltaic (PV) industry into the nano-Si/SiOx structure as a result of the high diffusion efficiency of O inside Si and high surface area of the sludge. After further process, a yolk/shell Si/C structure was obtained as an anode material for LIBs. This composite demonstrated an excellent cycling stability, with a high reversible capacity (˜ 1250 mAh/g for 500 cycles), by void space originally left by the SiOx accommodate inner Si expansion. We believe this is a rather simple way to convert the waste Si into a valuable nano-Si for LIB applications.

  13. Carrier recombination in tailored multilayer Si/Si{sub 1−x}Ge{sub x} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Mala, S.A. [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Tsybeskov, L., E-mail: tsybesko@njit.edu [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Lockwood, D.J.; Wu, X.; Baribeau, J.-M. [National Research Council, Ottawa, ON, Canada KIA 0R6 (Canada)

    2014-11-15

    Photoluminescence (PL) measurements were performed in Si/Si{sub 1−x}Ge{sub x} nanostructures with a single Si{sub 0.92}Ge{sub 0.08} nanometer-thick layer incorporated into Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. Under pulsed laser excitation, the PL decay associated with the Si{sub 0.92}Ge{sub 0.08} nano-layer is found to be nearly a 1000 times faster compared to that in Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. A model considering Si/SiGe hetero-interface composition and explaining the fast and slow time-dependent recombination rates is proposed.

  14. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  15. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  16. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    Energy Technology Data Exchange (ETDEWEB)

    Aseev, Pavel, E-mail: pavel.aseev@upm.es; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.; Alvi, Naveed ul Hassan; Calleja, Enrique [Instituto de Sistemas Optoelectrónicos y Microtecnología, Universidad Politécnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Mánuel, José M.; Jiménez, Juan J.; García, Rafael [Departamente Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Facultad de Ciencias, Universidad de Cádiz, Puerto Real, 11510 Cádiz (Spain); Morales, Francisco M. [Departamente Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Facultad de Ciencias, Universidad de Cádiz, Puerto Real, 11510 Cádiz (Spain); IMEYMAT: Institute of Research on Electron Microscopy and Materials of the University of Cádiz, 11510 Cádiz (Spain); Senichev, Alexander [Max Planck Institute of Microstructure Physics, Weinberg 2, 06120 Halle (Germany); Lienau, Christoph [Institute of Physics and Center of Interface Science, Carl von Ossietzky Universität Oldenburg, Ammerländer Heerstr. 114-118, 26129 Oldenburg (Germany); and others

    2015-02-16

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology.

  17. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    International Nuclear Information System (INIS)

    Aseev, Pavel; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.; Alvi, Naveed ul Hassan; Calleja, Enrique; Mánuel, José M.; Jiménez, Juan J.; García, Rafael; Morales, Francisco M.; Senichev, Alexander; Lienau, Christoph

    2015-01-01

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology

  18. An optically controlled SiC lateral power transistor based on SiC/SiCGe super junction structure

    International Nuclear Information System (INIS)

    Pu Hongbin; Cao Lin; Ren Jie; Chen Zhiming; Nan Yagong

    2010-01-01

    An optically controlled SiC/SiCGe lateral power transistor based on superjunction structure has been proposed, in which n-SiCGe/p-SiC superjunction structure is employed to improve device figure of merit. Performance of the novel optically controlled power transistor was simulated using Silvaco Atlas tools, which has shown that the device has a very good response to the visible light and the near infrared light. The optoelectronic responsivities of the device at 0.5 μm and 0.7 μm are 330 mA/W and 76.2 mA/W at 2 V based voltage, respectively. (semiconductor devices)

  19. An optically controlled SiC lateral power transistor based on SiC/SiCGe super junction structure

    Energy Technology Data Exchange (ETDEWEB)

    Pu Hongbin; Cao Lin; Ren Jie; Chen Zhiming; Nan Yagong, E-mail: puhongbin@xaut.edu.c [Xi' an University of Technology, Xi' an 710048 (China)

    2010-04-15

    An optically controlled SiC/SiCGe lateral power transistor based on superjunction structure has been proposed, in which n-SiCGe/p-SiC superjunction structure is employed to improve device figure of merit. Performance of the novel optically controlled power transistor was simulated using Silvaco Atlas tools, which has shown that the device has a very good response to the visible light and the near infrared light. The optoelectronic responsivities of the device at 0.5 {mu}m and 0.7 {mu}m are 330 mA/W and 76.2 mA/W at 2 V based voltage, respectively. (semiconductor devices)

  20. Si/C and H coadsorption at 4H-SiC{0001} surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wachowicz, E., E-mail: elwira@ifd.uni.wroc.pl [Institute of Experimental Physics, University of Wrocław, Plac M. Borna 9, PL-50-204 Wrocław (Poland); Interdisciplinary Centre for Mathematical and Computational Modelling, University of Warsaw, Pawińskiego 5a, PL-02-106 Warsaw (Poland)

    2016-06-15

    Highlights: • Si on C-terminated and C on Si-terminated surface adsorb in the H{sub 3} hollow site. • The preferred adsorption site is in contrary to the stacking order of bulk crystal. • The presence of hydrogen increases the adsorption energy of Si/C. • Hydrogen weakens the bonds between the adsorbed Si or C and the surface. • Carbon adsorbs on top of the surface carbon on the C-terminated surface. • With both C and H on Si-terminated surface the surface state vanishes. - Abstract: Density functional theory (DFT) study of adsorption of 0.25 monolayer of either Si or C on 4H-SiC{0001} surfaces is presented. The adsorption in high-symmetry sites on both Si- and C-terminated surfaces was examined and the influence of the preadsorbed 0.25 ML of hydrogen on the Si/C adsorption was considered. It was found out that for Si on C-terminated surface and C on Si-terminated the most favourable is threefolded adsorption site on both clean and H-precovered surface. This is contrary to the bulk crystal stacking order which would require adsorption on top of the topmost surface atom. In those cases, the presence of hydrogen weakens the bonding of the adsorbate. Carbon on the C-terminated surface, only binds on-top of the surface atom. The C−C bond-length is almost the same for the clean surface and for one with H and equals to ∼1.33 Å which is shorter by ∼0.2 than in diamond. The analysis of the electronic structure changes under adsorption is also presented.

  1. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  2. Electrical properties of SiO{sub 2}/SiC interfaces on 2°-off axis 4H-SiC epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Vivona, M., E-mail: marilena.vivona@imm.cnr.it [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Fiorenza, P. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy); Sledziewski, T.; Krieger, M. [Friedrich-Alexander-University (FAU) Erlangen-Nuremberg, Department of Physics, Staudtstrasse 7/Bld. A3, D-91058 Erlangen (Germany); Chassagne, T.; Zielinski, M. [NOVASiC, Savoie Technolac, BP267, F-73375 Le Bourget-du-Lac Cedex (France); Roccaforte, F. [CNR-IMM, Strada VIII, n. 5 – Zona Industriale, I-95121 Catania (Italy)

    2016-02-28

    Graphical abstract: - Highlights: • Processing and electrical characterization of MOS capacitors fabricated on 4H-SiC epilayers grown on 2°-off axis heavily doped substrates. • Excellent characteristics of the SiO{sub 2}/4H-SiC interface in terms of flatness, interface state density and oxide reliability. • Electrical behavior of the MOS devices comparable with that obtained for the state-of-the-art of 4°-off axis 4H-SiC material. • Demonstration of the maturity of the 2°-off axis material for application in 4H-SiC MOSFET device technology. - Abstract: In this paper, the electrical properties of the SiO{sub 2}/SiC interface on silicon carbide (4H-SiC) epilayers grown on 2°-off axis substrates were studied. After epilayer growth, chemical mechanical polishing (CMP) allowed to obtain an atomically flat surface with a roughness of 0.14 nm. Metal-oxide-semiconductor (MOS) capacitors, fabricated on this surface, showed an interface state density of ∼1 × 10{sup 12} eV{sup −1} cm{sup −2} below the conduction band, a value which is comparable to the standard 4°-off-axis material commonly used for 4H-SiC MOS-based device fabrication. Moreover, the Fowler–Nordheim and time-zero-dielectric breakdown analyses confirmed an almost ideal behavior of the interface. The results demonstrate the maturity of the 2°-off axis material for 4H-SiC MOSFET device fabrication.

  3. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  4. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  5. High resolution investigation of the 30Si(þ, þ)30Si reaction

    NARCIS (Netherlands)

    Walinga, J.; Rinsvelt, H.A. van; Endt, P.M.

    The differential cross section for elastic scattering of protons on 30Si was measured with surface barrier counters at four angles. Thirty-six 30Si(þ, γ)31P resonances are known in the Ep=1–2MeV region. Fifteen of these were also observed in the 30Si(þ, þ)30Si reaction, with natural widths varying

  6. Construction and characterization of spherical Si solar cells combined with SiC electric power inverter

    Science.gov (United States)

    Oku, Takeo; Matsumoto, Taisuke; Hiramatsu, Kouichi; Yasuda, Masashi; Shimono, Akio; Takeda, Yoshikazu; Murozono, Mikio

    2015-02-01

    Spherical silicon (Si) photovoltaic solar cell systems combined with an electric power inverter using silicon carbide (SiC) field-effect transistor (FET) were constructed and characterized, which were compared with an ordinary Si-based converter. The SiC-FET devices were introduced in the direct current-alternating current (DC-AC) converter, which was connected with the solar panels. The spherical Si solar cells were used as the power sources, and the spherical Si panels are lighter and more flexible compared with the ordinary flat Si solar panels. Conversion efficiencies of the spherical Si solar cells were improved by using the SiC-FET.

  7. Microstructure and initial growth characteristics of the low temperature microcrystalline silicon films on silicon nitride surface

    International Nuclear Information System (INIS)

    Park, Young-Bae; Rhee, Shi-Woo

    2001-01-01

    Microstructure and initial growth characteristics of the hydrogenated microcrystalline Si (μc-Si:H) films grown on hydrogenated amorphous silicon nitride (a-SiN x :H) surface at low temperature were investigated using high resolution transmission electron microscope and micro-Raman spectroscopy. With increasing the Si and Si - H contents in the SiN x :H surfaces, μc-Si crystallites, a few nanometers in size, were directly grown on amorphous nitride surfaces. It is believed that the crystallites were grown through the nucleation and phase transition from amorphous to crystal in a hydrogen-rich ambient of gas phase and growing surface. The crystallite growth characteristics on the dielectric surface were dependent on the stoichiometric (x=N/Si) ratio corresponding hydrogen bond configuration of the SiN x :H surface. Surface facetting and anisotropic growth of the Si crystallites resulted from the different growth rate on the different lattice planes of Si. No twins and stacking faults were observed in the (111) lattice planes of the Si crystallites surrounding the a-Si matrix. This atomic-scale structure was considered to be the characteristic of the low temperature crystallization of the μc-Si:H by the strain relaxation of crystallites in the a-Si:H matrix. [copyright] 2001 American Institute of Physics

  8. Removal of C and SiC from Si and FeSi during ladle refining and solidification

    Energy Technology Data Exchange (ETDEWEB)

    Klevan, Ole Svein

    1997-12-31

    The utilization of solar energy by means of solar cells requires the Si to be very pure. The purity of Si is important for other applications as well. This thesis mainly studies the total removal of carbon from silicon and ferrosilicon. The decarburization includes removal of SiC particles by stirring and during casting in addition to reduction of dissolved carbon by gas purging. It was found that for three commercial qualities of FeSi75, Refined, Gransil, and Standard lumpy, the refined quality is lowest in carbon, followed by Gransil and Standard. A decarburization model was developed that shows the carbon removal by oxidation of dissolved carbon to be a slow process at atmospheric pressure. Gas stirring experiments have shown that silicon carbide particles are removed by transfer to the ladle wall. The casting method of ferrosilicon has a strong influence on the final total carbon content in the commercial alloy. Shipped refined FeSi contains about 100 ppm total carbon, while the molten alloy contains roughly 200 ppm. The total carbon out of the FeSi-furnace is about 1000 ppm. It is suggested that low values of carbon could be obtained on an industrial scale by injection of silica combined with the use of vacuum. Also, the casting system could be designed to give low carbon in part of the product. 122 refs., 50 figs., 24 tabs.

  9. Oxidation Protection of Porous Reaction-Bonded Silicon Nitride

    Science.gov (United States)

    Fox, D. S.

    1994-01-01

    Oxidation kinetics of both as-fabricated and coated reaction-bonded silicon nitride (RBSN) were studied at 900 and 1000 C with thermogravimetry. Uncoated RBSN exhibited internal oxidation and parabolic kinetics. An amorphous Si-C-O coating provided the greatest degree of protection to oxygen, with a small linear weight loss observed. Linear weight gains were measured on samples with an amorphous Si-N-C coating. Chemically vapor deposited (CVD) Si3N4 coated RBSN exhibited parabolic kinetics, and the coating cracked severely. A continuous-SiC-fiber-reinforced RBSN composite was also coated with the Si-C-O material, but no substantial oxidation protection was observed.

  10. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  11. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  12. Electrical resistivity and thermal conductivity of SiC/Si ecoceramics prepared from sapele wood biocarbon

    Science.gov (United States)

    Parfen'eva, L. S.; Orlova, T. S.; Smirnov, B. I.; Smirnov, I. A.; Misiorek, H.; Mucha, J.; Jezowski, A.; Gutierrez-Pardo, A.; Ramirez-Rico, J.

    2012-10-01

    Samples of β-SiC/Si ecoceramics with a silicon concentration of ˜21 vol % have been prepared using a series of consecutive procedures (carbonization of sapele wood biocarbon, synthesis of high-porosity biocarbon with channel-type pores, infiltration of molten silicon into empty channels of the biocarbon, formation of β-SiC, and retention of residual silicon in channels of β-SiC). The electrical resistivity ρ and thermal conductivity κ of the β-SiC/Si ecoceramic samples have been measured in the temperature range 5-300 K. The values of ρ{Si/chan}( T) and κ{Si/chan}( T) have been determined for silicon Sichan located in β-SiC channels of the synthesized β-SiC/Si ecoceramics. Based on the performed analysis of the obtained results, the concentration of charge carriers (holes) in Sichan has been estimated as p ˜ 1019 cm-3. The factors that can be responsible for such a high value of p have been discussed. The prospects for practical application of β-SiC/Si ecoceramics have been considered.

  13. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  14. White photoluminescence from Si/SiO{sub 2} nanostructured film

    Energy Technology Data Exchange (ETDEWEB)

    Duong, P.H.; Ngan, N.T.T.; Tuan, C.A. [Institute of Materials Science, Vietnamese Academy of Science and Technology, 18 Hoang Quoc Viet, Hanoi (Viet Nam); Huy, P.T. [International Training Institute of Materials Science, Hanoi University of Technology, 1 Dai Co Viet, Hanoi (Viet Nam); Itoh, T. [Graduate School of Engineering Science, Osaka University, Toyonaka (Japan)

    2008-12-15

    We present in this work the results of PL measurement of Si-NC embedded in Si/SiO{sub 2} multilayer system. A very intense broad luminescence band was observed in the sample under illumination in vacuum by UV laser line. The PL intensity enhancement and quenching effect observed in different ambients can be attributed to the energy exchange from NC to MO. The storage of the annealed sample in vacuum for a long time drastically changed the PL properties of Si-NC. The origin of these phenomena will be discussed. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Status and prospects for SiC-SiC composite materials development for fusion applications

    International Nuclear Information System (INIS)

    Sharafat, S.; Jones, R.H.; Kohyama, A.; Fenici, P.

    1995-01-01

    Silicon carbide (SiC) composites are very attractive for fusion applications because of their low afterheat and low activation characteristics coupled with excellent high temperature properties. These composites are relatively new materials that will require material development as well as evaluation of hermiticity, thermal conductivity, radiation stability, high temperature strength, fatigue, thermal shock, and joining techniques. The radiation stability of SiC-SiC composites is a critical aspect of their application as fusion components and recent results will be reported. Many of the non-fusion specific issues are under evaluation by other ceramic composite development programs, such as the US national continuous fiber ceramic composites.The current development status of various SiC-SiC composites research and development efforts is given. Effect of neutron irradiation on the properties of SiC-SiC composite between 500 and 1200 C are reported. Novel high temperature properties specific to ceramic matrix composite (CMC) materials are discussed. The chemical stability of SiC is reviewed briefly. Ongoing research and development efforts for joining CMC materials including SiC-SiC composites are described. In conclusion, ongoing research and development efforts show extremely promising properties and behavior for SiC-SiC composites for fusion applications. (orig.)

  16. Si K-edge XANES study of SiOxCyHz amorphous polymeric materials

    International Nuclear Information System (INIS)

    Chaboy, J.; Barranco, A.; Yanguas-Gil, A.; Yubero, F.; Gonzalez-Elipe, A. R.

    2007-01-01

    This work reports on x-ray absorption spectroscopy study at the Si K edge of several amorphous SiO x C y H z polymers prepared by plasma-enhanced chemical-vapor deposition with different C/O ratios. SiO 2 and SiC have been used as reference materials. The comparison of the experimental Si K-edge x-ray absorption near-edge structure spectra with theoretical computations based on multiple scattering theory has allowed us to monitor the modification of the local coordination around Si as a function of the overall C/O ratio in this kind of materials

  17. High-performance a -Si/c-Si heterojunction photoelectrodes for photoelectrochemical oxygen and hydrogen evolution

    KAUST Repository

    Wang, Hsin Ping

    2015-05-13

    Amorphous Si (a-Si)/crystalline Si (c-Si) heterojunction (SiHJ) can serve as highly efficient and robust photoelectrodes for solar fuel generation. Low carrier recombination in the photoelectrodes leads to high photocurrents and photovoltages. The SiHJ was designed and fabricated into both photoanode and photocathode with high oxygen and hydrogen evolution efficiency, respectively, by simply coating of a thin layer of catalytic materials. The SiHJ photoanode with sol-gel NiOx as the catalyst shows a current density of 21.48 mA/cm2 at the equilibrium water oxidation potential. The SiHJ photocathode with 2 nm sputter-coated Pt catalyst displays excellent hydrogen evolution performance with an onset potential of 0.640 V and a solar to hydrogen conversion efficiency of 13.26%, which is the highest ever reported for Si-based photocathodes. © 2015 American Chemical Society.

  18. Microstructural optimization of high temperature SiC/SiC composites by nite process

    International Nuclear Information System (INIS)

    Shimoda, K.; Park, J.S.; Hinoki, T.; Kohyama, A.

    2007-01-01

    Full text of publication follows: SiC/SiC composites are one of the promising structural materials for future fusion reactor because of the excellent potentiality in thermal and mechanical properties under very severe environment including high temperature and high energy neutron bombardment. For fusion-grade SiC/SiC composites, high-crystallinity and near-stoichiometric characteristic are required to keep excellent stability against neutron irradiation. The realization of the reactor will be strongly depend on optimization of SiC/SiC composites microstructure, particularly in regard to the materials and processes used for the fiber, interphase and matrix constituents. One of the important accomplishments is the new process, called nano-particle infiltration and transient eutectic phase (NITE) process developed in our group. The microstructure of NITE-SiC/SiC composites, such as fiber volume fraction, porosity and type of pores, can be controlled precisely by the selection of sintering temperature/applied stress history. The objective of this study is to investigate thermal stability and mechanical properties of NITE-SiC/SiC composites at high-temperature. Two kinds of highly-densified SiC/SiC composites with the difference of fiber volume fraction were prepared, and were subjected to exposure tests from 1000 deg. C to 1500 deg. C in an argon-oxygen gas mixture with an oxygen partial pressure of 0.1 Pa. The thermal stability of the composites was characterized through mass change and TEM/SEM observation. The in-situ tensile tests at 1300 deg. C and 1500 deg. C were carried out in the same atmosphere. Most of SiC/SiC composites, even for the advanced CVI-SiC/SiC composites with multi-layered SiC/C inter-phases, underwent reduction in the maximum strength by about 20% at 1300 deg. C. In particular, this reduction was attributed to a slight burnout of the carbon interphase due to oxygen impurities in test atmosphere. However, there was no significant degradation for

  19. Microstructural optimization of high temperature SiC/SiC composites by nite process

    Energy Technology Data Exchange (ETDEWEB)

    Shimoda, K. [Kyoto Univ., Graduate School of Energy Science (Japan); Park, J.S. [Kyoto Univ., Institute of Advanced Energy (Japan); Hinoki, T.; Kohyama, A. [Kyoto Univ., lnstitute of Advanced Energy, Gokasho, Uji (Japan)

    2007-07-01

    Full text of publication follows: SiC/SiC composites are one of the promising structural materials for future fusion reactor because of the excellent potentiality in thermal and mechanical properties under very severe environment including high temperature and high energy neutron bombardment. For fusion-grade SiC/SiC composites, high-crystallinity and near-stoichiometric characteristic are required to keep excellent stability against neutron irradiation. The realization of the reactor will be strongly depend on optimization of SiC/SiC composites microstructure, particularly in regard to the materials and processes used for the fiber, interphase and matrix constituents. One of the important accomplishments is the new process, called nano-particle infiltration and transient eutectic phase (NITE) process developed in our group. The microstructure of NITE-SiC/SiC composites, such as fiber volume fraction, porosity and type of pores, can be controlled precisely by the selection of sintering temperature/applied stress history. The objective of this study is to investigate thermal stability and mechanical properties of NITE-SiC/SiC composites at high-temperature. Two kinds of highly-densified SiC/SiC composites with the difference of fiber volume fraction were prepared, and were subjected to exposure tests from 1000 deg. C to 1500 deg. C in an argon-oxygen gas mixture with an oxygen partial pressure of 0.1 Pa. The thermal stability of the composites was characterized through mass change and TEM/SEM observation. The in-situ tensile tests at 1300 deg. C and 1500 deg. C were carried out in the same atmosphere. Most of SiC/SiC composites, even for the advanced CVI-SiC/SiC composites with multi-layered SiC/C inter-phases, underwent reduction in the maximum strength by about 20% at 1300 deg. C. In particular, this reduction was attributed to a slight burnout of the carbon interphase due to oxygen impurities in test atmosphere. However, there was no significant degradation for

  20. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates

    International Nuclear Information System (INIS)

    Teng, F-Y; Ting, J-M; Sharma, Sahendra P; Liao, Kun-Hou

    2008-01-01

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs

  1. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates.

    Science.gov (United States)

    Teng, F-Y; Ting, Jyh-Ming; Sharma, Sahendra P; Liao, Kun-Hou

    2008-03-05

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs.

  2. Development and characterization of Si3N4 coated AlCrN ceramic cutting tool

    International Nuclear Information System (INIS)

    Souza, J.V.C.; Nono, M.C.A.; Martins, G.V.; Machado, J.P.B.; Silva, O.M.M.

    2009-01-01

    Nowadays, silicon nitride based cutting tools are used to machine cast iron from the automotive industry and nickel superalloys from the aero industries. Advances in manufacturing technologies (increased cutting speeds, dry machining, etc.) induced the fast commercial growth of physical vapor deposition (PVD) coatings for cutting tools, in order to increase their life time. In this work, a new composition of the Si 3 N 4 ceramic cutting tool was developed, characterized and subsequently coated, using a PVD process, with aluminum chromium nitride (AlCrN). The Si 3 N 4 substrate properties were analyzed by XRD, AFM, hardness and fracture toughness. The AlCrN coating was analyzed by AFM, grazing incidence X-ray diffraction (GIXRD) and hardness. The results showed that this PVD coating could be formed homogeneously, without cracks and promoted a higher surface hardness to the insert and consequently it can produce a better wear resistance during its application on high speed machining. (author)

  3. Wafer-scale high-throughput ordered arrays of Si and coaxial Si/Si(1-x)Ge(x) wires: fabrication, characterization, and photovoltaic application.

    Science.gov (United States)

    Pan, Caofeng; Luo, Zhixiang; Xu, Chen; Luo, Jun; Liang, Renrong; Zhu, Guang; Wu, Wenzhuo; Guo, Wenxi; Yan, Xingxu; Xu, Jun; Wang, Zhong Lin; Zhu, Jing

    2011-08-23

    We have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-in. wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultrahigh vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate an array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. Inspired by the excellent antireflection properties of the Si/SiGe wire arrays, we built solar cells based on the arrays of these wires containing radial junctions, an example of which exhibits an open circuit voltage (V(oc)) of 650 mV, a short-circuit current density (J(sc)) of 8.38 mA/cm(2), a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion. © 2011 American Chemical Society

  4. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    Science.gov (United States)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  5. Formation of AlFeSi phase in AlSi12 alloy with Ce addition

    Directory of Open Access Journals (Sweden)

    S. Kores

    2012-04-01

    Full Text Available The influence of cerium addition on the solidification sequence and microstructure constituents of the Al-Si alloys with 12,6 mass % Si was examined. The solidification was analyzed by a simple thermal analysis. The microstructures were examined with conventional light and scanning electron microscopy. Ternary AlSiCe phase was formed in the Al-Si alloys with added cerium during the solidification process. AlSiCe and β-AlFeSi phases solidified together in the region that solidified the last. Cerium addition influenced on the morphology of the α-AlFeSi phase solidification.

  6. Crystallization behavior of Li2O-SiO2, Na2O-SiO2 and Na2O-CaO-SiO2 glasses; Li2O-SiO2, Na2O-SiO2, Na2O-CaO-SiO2 kei glass no kessho sekishutsu kyodo

    Energy Technology Data Exchange (ETDEWEB)

    Tsutsumi, K.; Otake, J.; Nagasaka, T.; Hino, M. [Tohoku University, Sendai (Japan)

    1998-06-01

    It has been known that crystallization of mold powder is effective on the disturbance of heat transfer between mold and solidified shell in production of middle carbon steel slabs in continuous casting process. But it has not yet been made clear which composition of mold powder is the most suitable for crystallization. The crystallization behavior of Li2O-SiO2, Na2O-SiO2 and Na2O-CaO-SiO2 glasses was observed by differential thermal analysis (DTA) and hot-thermocouple methods with DTA in the present work. As a result, addition of alkaline metal and alkaline earth metal oxides to SiO2 increased the critical cooling rate for glass formation in binary system of Li2O-SiO2 and Na2O-SiO2 and Li2O-SiO2 system crystallized easier than Na2O-SiO2 system. In ternary system of Na2O-CaO-SiO2, addition of Na2O hurried the critical cooling rate at CaO/SiO2=0.93 mass ratio, but the rate was almost constant in the composition range of more than 15 mass% Na2O. The slag of CaO/SiO2=0.93 made the rate faster than the slag of CaO/SiO2=0.47 at constant content of 10mass% Na2O. 17 refs., 10 figs., 3 tabs.

  7. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  8. Growth Structure and Properties of Gradient Nanocrystalline Coatings of the Ti-Al-Si-Cu-N System

    Science.gov (United States)

    Ovchinnikov, S. V.; Pinzhin, Yu. P.

    2016-10-01

    Methods of electron microprobe analysis, X-ray structure analysis and electron microscopy were used to study the element composition and features of the structure-phase, elastic stress state of nanocrystalline coatings of the Ti- Al- Si- Cu- N system with gradient of copper concentration across their thickness. The authors established the effects of element composition modification, non-monotonous behavior of the lattice constant of alloyed nitride and rise in the bending-torsion value of the crystalline lattice in individual nanocrystals to values of around 400 degrees/μm with increase in copper concentration, whereas the sizes of alloyed nitride crystals remained practically unchanged. Mechanical (hardness), adhesion and tribological properties of coatings were examined. Comparative analysis demonstrates higher values of adhesion characteristics in the case of gradient coatings of the Ti- Al- Si- Cu- N system than in the case of single-layer (with constant element concentration) analogues.

  9. Thermally induced formation of SiC nanoparticles from Si/C/Si multilayers deposited by ultra-high-vacuum ion beam sputtering

    International Nuclear Information System (INIS)

    Chung, C-K; Wu, B-H

    2006-01-01

    A novel approach for the formation of SiC nanoparticles (np-SiC) is reported. Deposition of Si/C/Si multilayers on Si(100) wafers by ultra-high-vacuum ion beam sputtering was followed by thermal annealing in vacuum for conversion into SiC nanoparticles. The annealing temperature significantly affected the size, density, and distribution of np-SiC. No nanoparticles were formed for multilayers annealed at 500 0 C, while a few particles started to appear when the annealing temperature was increased to 700 0 C. At an annealing temperature of 900 0 C, many small SiC nanoparticles, of several tens of nanometres, surrounding larger submicron ones appeared with a particle density approximately 16 times higher than that observed at 700 0 C. The higher the annealing temperature was, the larger the nanoparticle size, and the higher the density. The higher superheating at 900 0 C increased the amount of stable nuclei, and resulted in a higher particle density compared to that at 700 0 C. These particles grew larger at 900 0 C to reduce the total surface energy of smaller particles due to the higher atomic mobility and growth rate. The increased free energy of stacking defects during particle growth will limit the size of large particles, leaving many smaller particles surrounding the large ones. A mechanism for the np-SiC formation is proposed in this paper

  10. Modulating the Surface State of SiC to Control Carrier Transport in Graphene/SiC.

    Science.gov (United States)

    Jia, Yuping; Sun, Xiaojuan; Shi, Zhiming; Jiang, Ke; Liu, Henan; Ben, Jianwei; Li, Dabing

    2018-05-28

    Silicon carbide (SiC) with epitaxial graphene (EG/SiC) shows a great potential in the applications of electronic and photoelectric devices. The performance of devices is primarily dependent on the interfacial heterojunction between graphene and SiC. Here, the band structure of the EG/SiC heterojunction is experimentally investigated by Kelvin probe force microscopy. The dependence of the barrier height at the EG/SiC heterojunction to the initial surface state of SiC is revealed. Both the barrier height and band bending tendency of the heterojunction can be modulated by controlling the surface state of SiC, leading to the tuned carrier transport behavior at the EG/SiC interface. The barrier height at the EG/SiC(000-1) interface is almost ten times that of the EG/SiC(0001) interface. As a result, the amount of carrier transport at the EG/SiC(000-1) interface is about ten times that of the EG/SiC(0001) interface. These results offer insights into the carrier transport behavior at the EG/SiC heterojunction by controlling the initial surface state of SiC, and this strategy can be extended in all devices with graphene as the top layer. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. NIMROD Simulations of the HIT-SI and HIT-SI3 Devices

    Science.gov (United States)

    Morgan, Kyle; Jarboe, Tom; Hossack, Aaron; Chandra, Rian; Everson, Chris

    2017-10-01

    The Helicity Injected Torus with Steady Inductive helicity injection (HIT-SI) experiment uses a set of inductively driven helicity injectors to apply non-axisymmetric current drive on the edge of the plasma, driving an axisymmetric spheromak equilibrium in a central confinement volume. Significant improvements have been made to extended MHD modeling of HIT-SI, with both the resolution of disagreement at high injector frequencies in HIT-SI in addition to successes with the new upgraded HIT-SI3 device. Previous numerical studies of HIT-SI, using a zero-beta eMHD model, focused on operations with a drive frequency of 14.5 kHz, and found reduced agreement with both the magnetic profile and current amplification at higher frequencies (30-70 kHz). HIT-SI3 has three helicity injectors which are able to operate with different mode structures of perturbations through the different relative temporal phasing of the injectors. Simulations that allow for pressure gradients have been performed in the parameter regimes of both devices using the NIMROD code and show improved agreement with experimental results, most notably capturing the observed Shafranov-shift due to increased beta observed at higher finj in HIT-SI and the variety of toroidal perturbation spectra available in HIT-SI3. This material is based upon work supported by the U.S. Department of Energy, Office of Science, Office of Fusion Energy Sciences under Award Number DE-FG02- 96ER54361.

  12. Non-switching to switching transferring mechanism investigation for Ag/SiO x /p-Si structure with SiO x deposited by HWCVD

    Science.gov (United States)

    Liu, Yanhong; Wang, Ruoying; Li, Zhongyue; Wang, Song; Huang, Yang; Peng, Wei

    2018-04-01

    We proposed and fabricated an Ag/SiO x /p-Si sandwich structure, in which amorphous SiO x films were deposited through hot wire chemical vapor deposition (HWCVD) using tetraethylorthosilicate (TEOS) as Si and O precursor. Experimental results indicate that the I–V properties of this structure transfer from non-switching to switching operation as the SiO x deposition temperature increased. The device with SiO x deposited at high deposition temperature exhibits typical bipolar switching properties, which can be potentially used in resistive switching random accessible memory (RRAM). The transferring mechanism from non-switching to switching can be ascribed to the change of structural and electronic properties of SiO x active layer deposited at different temperatures, as evidenced by analyzing FTIR spectrum and fitting its I–V characteristics curves. This work demonstrates a safe and practicable low-temperature device-grade SiO x film deposition technology by conducting HWCVD from TEOS.

  13. SI Notes.

    Science.gov (United States)

    Nelson, Robert A.

    1983-01-01

    Discusses legislation related to SI (International Systems of Units) in the United States. Indicates that although SI metric units have been officially recognized by law in the United States, U.S. Customary Units have never received a statutory basis. (JN)

  14. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  15. Investigation of SiO{sub 2} film growth on 4H-SiC by direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3} and H{sub 2}O vapor at varied process durations

    Energy Technology Data Exchange (ETDEWEB)

    Poobalan, Banu [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia); Moon, Jeong Hyun; Kim, Sang-Cheol; Joo, Sung-Jae; Bahng, Wook; Kang, In Ho; Kim, Nam-Kyun [Power Semiconductor Research Centre, Korea Electrotechnology Research Institute, PO Box 20, Changwon, Gyungnam 641120 (Korea, Republic of); Cheong, Kuan Yew, E-mail: cheong@eng.usm.my [Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti Sains Malaysia, 14300 Nibong Tebal, Seberang Perai Selatan, Penang (Malaysia)

    2014-11-03

    This study has revealed that HNO{sub 3} and H{sub 2}O vapors can be utilized as direct thermal oxidation or postoxidation annealing agents at a temperature above 1000 °C; as they play a major role in simultaneous oxidation/nitridation/hydrogenation processes at the bulk oxide and SiO{sub 2}/SiC interface. The varied process durations of the above-mentioned techniques contribute to the development of thicker gate oxides for high power device applications with improved electrical properties, lower interface-state density and higher breakdown voltage as compared to oxides grown through a more conventional wet (H{sub 2}O vapor only) oxidation technique. The study highlights the effects of hydrogen and nitrogen species on the passivation of structural defects at the bulk oxide and the SiO{sub 2}/SiC interface, which are revealed through the use of Time-of-Flight Secondary Ion Mass Spectroscopy and X-ray Photoelectron Spectroscopy. The physical properties of the substrate after oxide removal show that the surface roughness decreases as the process durations increase with longer hours of H{sub 2}O and HNO{sub 3} vapor exposures on the samples, which is mainly due to the significant reduction of carbon content at the SiO{sub 2}/SiC interface. - Highlights: • Direct thermal oxidation and postoxidation annealing techniques in HNO{sub 3}/H{sub 2}O vapor • SiO{sub 2} film growth in H{sub 2}O/HNO{sub 3}vapor at varied process durations • Thicker SiO{sub 2} film growth via annealing than direct growth in HNO{sub 3}/H{sub 2}O vapor • Nitrogen and hydrogen as passivation elements in SiO{sub 2}/SiC interface and SiO{sub 2} bulk • Significant reduction of carbon and Si-dangling bonds at the SiC/SiO{sub 2} interface.

  16. The Degradation Behavior of SiCf/SiO2 Composites in High-Temperature Environment

    Science.gov (United States)

    Yang, Xiang; Cao, Feng; Qing, Wang; Peng, Zhi-hang; Wang, Yi

    2018-04-01

    SiCf/SiO2 composites had been fabricated efficiently by Sol-Gel method. The oxidation behavior, thermal shock property and ablation behavior of SiCf/SiO2 composites was investigated. SiCf/SiO2 composites showed higher oxidation resistance in oxidation atmosphere, the flexural strength retention ratio was larger than 90.00%. After 1300 °C thermal shock, the mass retention ratio was 97.00%, and the flexural strength retention ratio was 92.60%, while after 1500 °C thermal shock, the mass retention ratio was 95.37%, and the flexural strength retention ratio was 83.34%. After 15 s ablation, the mass loss rate was 0.049 g/s and recession loss rate was 0.067 mm/s. The SiO2 matrix was melted in priority and becomes loosen and porous. With the ablation going on, the oxides were washed away by the shearing action of the oxyacetylene flame. The evaporation of SiO2 took away large amount of heat, which is also beneficial to the protection for SiCf/SiO2 composites.

  17. Additive-assisted synthesis of boride, carbide, and nitride micro/nanocrystals

    International Nuclear Information System (INIS)

    Chen, Bo; Yang, Lishan; Heng, Hua; Chen, Jingzhong; Zhang, Linfei; Xu, Liqiang; Qian, Yitai; Yang, Jian

    2012-01-01

    General and simple methods for the syntheses of borides, carbides and nitrides are highly desirable, since those materials have unique physical properties and promising applications. Here, a series of boride (TiB 2 , ZrB 2 , NbB 2 , CeB 6 , PrB 6 , SmB 6 , EuB 6 , LaB 6 ), carbide (SiC, TiC, NbC, WC) and nitride (TiN, BN, AlN, MgSiN 2 , VN) micro/nanocrystals were prepared from related oxides and amorphous boron/active carbon/NaN 3 with the assistance of metallic Na and elemental S. In-situ temperature monitoring showed that the reaction temperature could increase quickly to ∼850 °C, once the autoclave was heated to 100 °C. Such a rapid temperature increase was attributed to the intense exothermic reaction between Na and S, which assisted the formation of borides, carbides and nitrides. The as-obtained products were characterized by XRD, SEM, TEM, and HRTEM techniques. Results in this report will greatly benefit the future extension of this approach to other compounds. - Graphical abstract: An additive-assisted approach is successfully developed for the syntheses of borides, carbides and nitrides micro/nanocrystals with the assistance of the exothermic reaction between Na and S. Highlights: ► An additive-assisted synthesis strategy is developed for a number of borides, carbides and nitrides. ► The reaction mechanism is demonstrated by the case of SiC nanowires. ► The formation of SiC nanowires is initiated by the exothermic reaction of Na and S.

  18. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  19. Chemically activated graphene/porous Si@SiO{sub x} composite as anode for lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Tao, Hua-Chao [College of Materials and Chemical Engineering, China Three Gorges University, 8 Daxue Road, Yichang, Hubei 443002 (China); Collaborative Innovation Center for Microgrid of New Energy, Hubei Province (China); Yang, Xue-Lin, E-mail: xlyang@ctgu.edu.cn [College of Materials and Chemical Engineering, China Three Gorges University, 8 Daxue Road, Yichang, Hubei 443002 (China); Collaborative Innovation Center for Microgrid of New Energy, Hubei Province (China); Zhang, Lu-Lu; Ni, Shi-Bing [College of Materials and Chemical Engineering, China Three Gorges University, 8 Daxue Road, Yichang, Hubei 443002 (China); Collaborative Innovation Center for Microgrid of New Energy, Hubei Province (China)

    2014-10-15

    Chemically activated graphene/porous Si@SiO{sub x} (CAG/Si@SiO{sub x}) composite has been synthesized via magnesiothemic reduction of mesoporous SiO{sub 2} (MCM-48) to porous Si@SiO{sub x} and dispersing in the suspension of chemically activated graphene oxide (CAGO) followed by thermal reduction. The porous Si@SiO{sub x} particles are well encapsulated in chemically activated graphene (CAG) matrix. The resulting CAG/Si@SiO{sub x} composite exhibits a high reversible capacity and excellent cycling stability up to 763 mAh g{sup −1} at a current density of 100 mA g{sup −1} after 50 cycles. The porous structure of CAG layer and Si@SiO{sub x} is beneficial to accommodate volume expansion of Si during discharge and charge process and the interconnected CAG improves the electronic conductivity of composite. - Highlights: • Chemically activated graphene encapsulated porous Si composite was prepared. • The graphene offers a continuous electrically conductive network. • The porous structure can accommodate volume expansion of Si-based materials. • The composite exhibits excellent lithium storage performance.

  20. Preparation and Oxidation Resistance of Mo-Si-B Coating on Nb-Si Based Alloy Surface

    Directory of Open Access Journals (Sweden)

    PANG Jie

    2018-02-01

    Full Text Available Mo-Si-B coating was prepared on Nb-Si alloys to improve the high-temperature oxidation. The influence of the halide activators (NaF and AlF3 on Si-B co-depositing to obtain Mo-Si-B coating on Nb-Si alloys was analyzed by thermochemical calculations. The results show that NaF proves to be more suitable than AlF3 to co-deposit Si and B. Then Mo-Si-B can be coated on Nb-Si based alloys using detonation gun spraying of Mo followed by Si and B co-deposition. The fabricated coatings consist of outer MoSi2 layer with fine boride phase and inner unreacted Mo layer. The mass gain of the Mo-Si-B coating is 1.52mg/cm2 after oxidation at 1250℃ for 100h. The good oxidation resistance results in a protective borosilicate scale formed on the coating.

  1. The effect of SiC particle size on the properties of Cu–SiC composites

    International Nuclear Information System (INIS)

    Celebi Efe, G.; Zeytin, S.; Bindal, C.

    2012-01-01

    Graphical abstract: The relative densities of Cu–SiC composites sintered at 700 °C for 2 h are ranged from 97.3% to 91.8% for SiC with 1 μm particle size and 97.5% to 95.2% for SiC with 5 μm particle size, microhardness of composites ranged from 143 to 167 HV for SiC having 1 μm particle size and 156–182 HVN for SiC having 5 μm particle size and the electrical conductivity of composites changed between 85.9% IACS and 55.7% IACS for SiC with 1 μm particle size, 87.9% IACS and 65.2%IACS for SiC with 5 μm particle size. It was found that electrical conductivity of composites containing SiC with 5 μm particle size is better than that of Cu–SiC composites containing SiC with particle size of 1 μm. Highlights: ► In this research, the effect of SiC particle size on some properties of Cu–SiC composites were investigated. ► The mechanical properties were improved. ► The electrical properties were obtained at desirable level. -- Abstract: SiC particulate-reinforced copper composites were prepared by powder metallurgy (PM) method and conventional atmospheric sintering. Scanning electron microscope (SEM), X-ray diffraction (XRD) techniques were used to characterize the sintered composites. The effect of SiC content and particle size on the relative density, hardness and electrical conductivity of composites were investigated. The relative densities of Cu–SiC composites sintered at 700 °C for 2 h are ranged from 97.3% to 91.8% for SiC with 1 μm particle size and from 97.5% to 95.2% for SiC with 5 μm particle size. Microhardness of composites ranged from 143 to 167 HV for SiC having 1 μm particle size and from 156 to 182 HV for SiC having 5 μm particle size. The electrical conductivity of composites changed between 85.9% IACS and 55.7% IACS for SiC with 1 μm particle size, between 87.9% IACS and 65.2% IACS for SiC with 5 μm particle size.

  2. Structural and electronic properties of Si/SiO2 MOS structures with aligned 3C-SiC nanocrystals in the oxide

    International Nuclear Information System (INIS)

    Pongracz, A.; Battistig, G.; Duecso, Cs.; Josepovits, K.V.; Deak, P.

    2007-01-01

    Our group previously proved that a simple reactive annealing in CO containing gas produces 3C-SiC nanocrystals, which are epitaxially and void-free aligned in the Si substrate. By a further thermal oxidation step, these nanocrystals can be lifted from the Si and incorporated into the SiO 2 matrix, thereby creating a promising structure for charge storage. In this work the structural and electrical properties of such systems with nanocrystalline SiC will be presented. Prototype MOS structures with 3C-SiC nanocrystals were produced for current-voltage and capacitance-voltage measurements. The results indicate that the high-temperature annealing did not damage the MOS structure, despite the fact that the CO annealing changed the electrical properties of the system. There was a positive charge accumulation and a reversible carrier injection observed in the structure. We assume that the positive charges originated from oxygen vacancies and the charge injection is related to the presence of SiC nanocrystals

  3. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  4. Elevated Temperature Properties of Commercially Available NITE-SiC/SiC Composites

    International Nuclear Information System (INIS)

    Choi, Y.B.; Hinoki, T.; Kohyama, A.

    2007-01-01

    Full text of publication follows: Continuous fiber-reinforced ceramic matrix composites (CMCs) have been expected as a new type of material having high fracture resistance up to a high temperature. In recent years, there have been extensive efforts in our research group to develop high performance SiC/SiC composites for energy applications, where improvements in mechanical properties and damage resistance by innovative new fabrication process with emphasis on interface improvement have been greatly accomplished. One of the most outstanding accomplishments is the Nano-powder Infiltration and Transient Eutectic (NITE) process using PyC coated Tyranno-SA fibers. For making SiC/SiC composites more attractive and competitive for high temperature structural components and for other industrial applications, one of the key issues is to demonstrate its reliability and safety under severe environments. Also to demonstrate the potential to produce SiC/SiC by NITE process from large scale production line at industries is very important. This paper provides fundamental database of mechanical properties and microstructure of Cera-NITE, the trade name of NITE-SiC/SiC composites. The mechanical properties were evaluated by uni-axial tensile test from room temperature to high temperatures. The tensile properties, including elastic modulus, PLS and ultimate tensile strength, are superior to those of other conventional SiC/SiC composites. The macroscopic observation of Cera-NITE indicated high density as planned with almost no-porosity and cracks. Furthermore, Cera-NITE showed outstanding microstructural uniformity. The characteristic variation coming from the sampling location was hardly observed.. Further information about database of properties and microstructure at evaluated temperature will be provided. (authors)

  5. Silicon nitride photonics: from visible to mid-infrared wavelengths

    Science.gov (United States)

    Micó, Gloria; Bru, Luis A.; Pastor, Daniel; Doménech, David; Fernández, Juan; Sánchez, Ana; Cirera, Josep M.; Domínguez, Carlos; Muñoz, Pascual

    2018-02-01

    Silicon nitride has received a lot of attention during the last ten years, for applications such as bio-photonics, tele/datacom, optical signal processing and sensing. In this paper, firstly an updated review of the state of the art of silicon nitride photonics integration platforms will be provided. Secondly, our developments on a moderate confinement Si3N4 platform in the near-infrared will be presented. Finally, our steps towards establishing a Si3N4 based platform for broadband operation spanning from visible to mid-infrared wavelengths will be introduced.

  6. Mechanics of patterned helical Si springs on Si substrate.

    Science.gov (United States)

    Liu, D L; Ye, D X; Khan, F; Tang, F; Lim, B K; Picu, R C; Wang, G C; Lu, T M

    2003-12-01

    The elastic response, including the spring constant, of individual Si helical-shape submicron springs, was measured using a tip-cantilever assembly attached to a conventional atomic force microscope. The isolated, four-turn Si springs were fabricated using oblique angle deposition with substrate rotation, also known as the glancing angle deposition, on a templated Si substrate. The response of the structures was modeled using finite elements, and it was shown that the conventional formulae for the spring constant required modifications before they could be used for the loading scheme used in the present experiment.

  7. Axial Ge/Si nanowire heterostructure tunnel FETs.

    Energy Technology Data Exchange (ETDEWEB)

    Dayeh, Shadi A. (Los Alamos National Laboratory); Gin, Aaron V.; Huang, Jian Yu; Picraux, Samuel Thomas (Los Alamos National Laboratory)

    2010-03-01

    }20{sup o} off the <111> axis at about 300 nm away from the Ge/Si interface. This provides a natural marker for placing the gate contact electrodes and gate metal at appropriate location for desired high-on current and reduced ambipolarity as shown in Fig. 2. The 1D heterostructures allow band-edge engineering in the transport direction, not easily accessible in planar devices, providing an additional degree of freedom for designing tunnel FETs (TFETs). For instance, a Ge tunnel source can be used for efficient electron/hole tunneling and a Si drain can be used for reduced back-tunneling and ambipolar behavior. Interface abruptness on the other hand (particularly for doping) imposes challenges in these structures and others for realizing high performance TFETs in p-i-n junctions. Since the metal-semiconductor contacts provide a sharp interface with band-edge control, we use properly designed Schottky contacts (aided by 3D Silvaco simulations) as the tunnel barriers both at the source and drain and utilize the asymmetry in the Ge/Si channel bandgap to reduce ambipolar transport behavior generally observed in TFETs. Fig. 3 shows the room-temperature transfer curves of a Ge/Si heterostructure TFET (H-TFET) for different V{sub DS} values showing a maximum on-current of {approx}7 {micro}A, {approx}170 mV/decade inverse subthreshold slope and 5 orders of magnitude I{sub on}/I{sub off} ratios for all V{sub DS} biases considered here. This high on-current value is {approx}1750 X higher than that obtained with Si p-i-n{sup +} NW TFETs and {approx}35 X higher than that obtained with CNT TFET. The I{sub on}/I{sub off} ratio and inverse subthreshold slope compare favorably to that of Si {approx} 10{sup 3} I{sub on}/I{sub off} and {approx} 800 mV/decade SS{sup -1} but lags behind those of CNT TFET due to poor PECVD nitride gate oxide quality ({var_epsilon}{sub r} {approx} 3-4). The asymmetry in the Schottky barrier heights used here eliminates the stringent requirements of abrupt

  8. Prediction of novel hard phases of Si{sub 3}N{sub 4}: First-principles calculations

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Lin; Hu, Meng; Wang, Qianqian; Xu, Bo; Yu, Dongli; Liu, Zhongyuan; He, Julong, E-mail: hjl@ysu.edu.cn

    2015-08-15

    Exploration of novel hard metastable phases of silicon nitride was performed using a recently developed particle-swarm optimization method within the CALYPSO software package. Three potential hard metastable phases of t-Si{sub 3}N{sub 4}, m-Si{sub 3}N{sub 4}, and o-Si{sub 3}N{sub 4} were predicted. These phases are mechanically and dynamically stable at ambient pressure based on their elastic constants and phonon dispersions. t-Si{sub 3}N{sub 4} and m-Si{sub 3}N{sub 4} exhibit lower energies than γ-Si{sub 3}N{sub 4} at pressures below 2.5 GPa and 2.9 GPa, respectively, which promise that the formers could be obtained by quenching from γ-Si{sub 3}N{sub 4}. o-Si{sub 3}N{sub 4} is a better high-pressure metastable phase than CaTi{sub 2}O{sub 4}-type Si{sub 3}N{sub 4} proposed by Tatsumi et al. and it can come from the transition of γ-Si{sub 3}N{sub 4} under 198 GPa. The theoretical band gaps of t-Si{sub 3}N{sub 4}, m-Si{sub 3}N{sub 4}, and o-Si{sub 3}N{sub 4} at ambient pressure were 3.15 eV, 3.90 eV, and 3.36 eV, respectively. At ambient pressure, the Vickers hardness values of t-Si{sub 3}N{sub 4} (32.6 GPa), m-Si{sub 3}N{sub 4} (31.5 GPa), and o-Si{sub 3}N{sub 4} (36.1 GPa) are comparable to β-Si{sub 3}N{sub 4} and γ-Si{sub 3}N{sub 4}. With the pressure increasing, t-Si{sub 3}N{sub 4}, m-Si{sub 3}N{sub 4}, and o-Si{sub 3}N{sub 4} will change from the brittle to ductile state at about 15.7 GPa, 7.3 GPa and 28.9 GPa, respectively. - Graphical abstract: This figure shows the crystal structures of three Si{sub 3}N{sub 4} predicted in this manuscript, and left to right: t-Si{sub 3}N{sub 4}, m-Si{sub 3}N{sub 4} and o-Si{sub 3}N{sub 4}. - Highlights: • We explored three metastable phases of Si{sub 3}N{sub 4} — t-Si{sub 3}N{sub 4}, m-Si{sub 3}N{sub 4}, and o-Si{sub 3}N{sub 4}. • The enthalpies of t and m- are much lower than that of γ at ambient pressure. • ois one further high pressure phase than γ. • o-Si{sub 3}N{sub 4} is the most hardest phase in Si

  9. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  10. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  11. Decreased bacteria activity on Si3N4 surfaces compared with PEEK or titanium

    Directory of Open Access Journals (Sweden)

    Puckett S

    2012-09-01

    Full Text Available Deborah Gorth,1 Sabrina Puckett,1 Batur Ercan,1 Thomas J Webster,1 Mohamed Rahaman,2 B Sonny Bal31School of Engineering and Department of Orthopaedics, Brown University, Providence, RI, 2Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO, 3Department of Orthopaedic Surgery, School of Medicine, University of Missouri, Columbia, MO, USAAbstract: A significant need exists for orthopedic implants that can intrinsically resist bacterial colonization. In this study, three biomaterials that are used in spinal implants – titanium (Ti, poly-ether-ether-ketone (PEEK, and silicon nitride (Si3N4 – were tested to understand their respective susceptibility to bacterial infection with Staphylococcus epidermidis, Staphlococcus aureus, Pseudomonas aeruginosa, Escherichia coli and Enterococcus. Specifically, the surface chemistry, wettability, and nanostructured topography of respective biomaterials, and the effects on bacterial biofilm formation, colonization, and growth were investigated. Ti and PEEK were received with as-machined surfaces; both materials are hydrophobic, with net negative surface charges. Two surface finishes of Si3N4 were examined: as-fired and polished. In contrast to Ti and PEEK, the surface of Si3N4 is hydrophilic, with a net positive charge. A decreased biofilm formation was found, as well as fewer live bacteria on both the as-fired and polished Si3N4. These differences may reflect differential surface chemistry and surface nanostructure properties between the biomaterials tested. Because protein adsorption on material surfaces affects bacterial adhesion, the adsorption of fibronectin, vitronectin, and laminin on Ti, PEEK, and Si3N4 were also examined. Significantly greater amounts of these proteins adhered to Si3N4 than to Ti or PEEK. The findings of this study suggest that surface properties of biomaterials lead to differential adsorption of physiologic proteins, and that this

  12. Evidence of surface loss as ubiquitous limiting damping mechanism in SiN micro- and nanomechanical resonators

    DEFF Research Database (Denmark)

    Villanueva, Luis Guillermo; Schmid, Silvan

    2014-01-01

    Silicon nitride (SiN) micro- and nanomechanical resonators have attracted a lot of attention in various research fields due to their exceptionally high quality factors (Qs). Despite their popularity, the origin of the limiting loss mechanisms in these structures has remained controversial. In thi...

  13. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, Andrey; Tichelaar, F.D.; Verhoeven, J.; Louis, Eric; Bijkerk, Frederik

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10–20 nm were produced by sequential deposition of Si and implantation of 1 keV View the MathML source ions. Only about 3% of the implanted carbon was transferred into the SiC, with a thin, 0.5–1 nm, buried SiC layer being

  14. Effects of MeV Si ions bombardment on the thermoelectric generator from SiO{sub 2}/SiO{sub 2} + Cu and SiO{sub 2}/SiO{sub 2} + Au nanolayered multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Chacha, J., E-mail: chacha_john79@hotmail.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Smith, C., E-mail: cydale@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States); Pugh, M., E-mail: marcuspughp@yahoo.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Colon, T. [Department of Mechanical Engineering, Alabama A and M University, Normal, AL (United States); Heidary, K., E-mail: kaveh.heidary@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Johnson, R.B., E-mail: barry@w4wb.com [Department of Physics, Alabama A and M University, Normal, AL (United States); Ila, D., E-mail: ila@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States)

    2011-12-15

    The defects and disorder in the thin films caused by MeV ions bombardment and the grain boundaries of these nanoscale clusters increase phonon scattering and increase the chance of an inelastic interaction and phonon annihilation. We prepared the thermoelectric generator devices from 100 alternating layers of SiO{sub 2}/SiO{sub 2} + Cu multi-nano layered superlattice films at the total thickness of 382 nm and 50 alternating layers of SiO{sub 2}/SiO{sub 2} + Au multi-nano layered superlattice films at the total thickness of 147 nm using the physical vapor deposition (PVD). Rutherford Backscattering Spectrometry (RBS) and RUMP simulation have been used to determine the stoichiometry of the elements of SiO{sub 2}, Cu and Au in the multilayer films and the thickness of the grown multi-layer films. The 5 MeV Si ions bombardments have been performed using the AAMU-Center for Irradiation of Materials (CIM) Pelletron ion beam accelerator to make quantum (nano) dots and/or quantum (quantum) clusters in the multilayered superlattice thin films to decrease the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and cross plane electrical conductivity. To characterize the thermoelectric generator devices before and after Si ion bombardments we have measured Seebeck coefficient, cross-plane electrical conductivity, and thermal conductivity in the cross-plane geometry for different fluences.

  15. Thermal conductance of the AlN/Si and AlN/SiC interfaces calculated with taking into account the detailed phonon spectra of the materials and the interface conditions

    Energy Technology Data Exchange (ETDEWEB)

    Kazan, M. [LNIO, ICD, CNRS (FRE2848), Universite de Technologie de Troyes, 10010-Troyes (France); Pereira, S.; Correia, M.R. [CICECO and I3N, University of Aveiro, Aveiro-3810-193 (Portugal); Masri, P. [GES, CNRS-UMR 5650, Universite de Montpellier II, Montpellier-34095 (France)

    2010-01-15

    We present a calculation of the thermal conductance (TC) of the interface between aluminium nitride (AlN) and silicon (Si) and that between AlN and silicon carbide (SiC) with taking into account the detailed phonon spectra of the materials, as obtained from first principles calculations, and the interface conditions. On the basis of the results obtained, we discuss the relation between the interface TC, the interface conditions, and the mismatches between the acoustic waves velocities and the phonon densities of states of the materials in contact. Our calculation method is expected to provide a reliable tool for thermal management strategy, independently from the substrate choice (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Antioxidant migration resistance of SiOx layer in SiOx/PLA coated film.

    Science.gov (United States)

    Huang, Chongxing; Zhao, Yuan; Su, Hongxia; Bei, Ronghua

    2018-02-01

    As novel materials for food contact packaging, inorganic silicon oxide (SiO x ) films are high barrier property materials that have been developed rapidly and have attracted the attention of many manufacturers. For the safe use of SiO x films for food packaging it is vital to study the interaction between SiO x layers and food contaminants, as well as the function of a SiO x barrier layer in antioxidant migration resistance. In this study, we deposited a SiO x layer on polylactic acid (PLA)-based films to prepare SiO x /PLA coated films by plasma-enhanced chemical vapour deposition. Additionally, we compared PLA-based films and SiO x /PLA coated films in terms of the migration of different antioxidants (e.g. t-butylhydroquinone [TBHQ], butylated hydroxyanisole [BHA], and butylated hydroxytoluene [BHT]) via specific migration experiments and then investigated the effects of a SiO x layer on antioxidant migration under different conditions. The results indicate that antioxidant migration from SiO x /PLA coated films is similar to that for PLA-based films: with increase of temperature, decrease of food simulant polarity, and increase of single-sided contact time, the antioxidant migration rate and amount in SiO x /PLA coated films increase. The SiO x barrier layer significantly reduced the amount of migration of antioxidants with small and similar molecular weights and similar physical and chemical properties, while the degree of migration blocking was not significantly different among the studied antioxidants. However, the migration was affected by temperature and food simulant. Depending on the food simulants considered, the migration amount in SiO x /PLA coated films was reduced compared with that in PLA-based films by 42-46%, 44-47%, and 44-46% for TBHQ, BHA, and BHT, respectively.

  17. Study of SiNx:Hy passivant layers for AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Redondo-Cubero, A.; Gago, R.; Romero, M.F.; Gonzalez-Posada, F.; Brana, A.F.; Munoz, E.; Jimenez, A.

    2008-01-01

    In this work, hydrogenated silicon nitride (SiN x :H y ) grown by chemical vapour deposition as passivant layers for high electron mobility transistors (HEMT) have been studied. The film composition and bonding structure were determined by ion beam analysis and X-ray absorption spectroscopy techniques, respectively. The effects of gas precursors (SiH 4 /N 2 and SiH 4 /NH 3 ) and film/substrate interface on the film growth have been addressed. The growth on different substrates (Si, GaN, AlGaN), and the effects of plasma pre-treatments have been studied before the growth and the film growth evolution. Results yield no significant differences in all the analysed samples. This points out the relevant role of SiHn radicals as growth precursor species and that intrinsic characteristics of the SiNx:Hy layers are not affected by the film/substrate interface. Hence, improved performance of HEMT with surface plasma pre-treatments before passivation should be related to extrinsic mechanisms (such as creation of defects in AlGaN surface, removal of the surface contamination or ion-induced roughness). (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Modelling structure and properties of amorphous silicon boron nitride ceramics

    Directory of Open Access Journals (Sweden)

    Johann Christian Schön

    2011-06-01

    Full Text Available Silicon boron nitride is the parent compound of a new class of high-temperature stable amorphous ceramics constituted of silicon, boron, nitrogen, and carbon, featuring a set of properties that is without precedent, and represents a prototypical random network based on chemical bonds of predominantly covalent character. In contrast to many other amorphous materials of technological interest, a-Si3B3N7 is not produced via glass formation, i.e. by quenching from a melt, the reason being that the binary components, BN and Si3N4, melt incongruently under standard conditions. Neither has it been possible to employ sintering of μm-size powders consisting of binary nitrides BN and Si3N4. Instead, one employs the so-called sol-gel route starting from single component precursors such as TADB ((SiCl3NH(BCl2. In order to determine the atomic structure of this material, it has proven necessary to simulate the actual synthesis route.Many of the exciting properties of these ceramics are closely connected to the details of their amorphous structure. To clarify this structure, it is necessary to employ not only experimental probes on many length scales (X-ray, neutron- and electron scattering; complex NMR experiments; IR- and Raman scattering, but also theoretical approaches. These address the actual synthesis route to a-Si3B3N7, the structural properties, the elastic and vibrational properties, aging and coarsening behaviour, thermal conductivity and the metastable phase diagram both for a-Si3B3N7 and possible silicon boron nitride phases with compositions different from Si3N4: BN = 1 : 3. Here, we present a short comprehensive overview over the insights gained using molecular dynamics and Monte Carlo simulations to explore the energy landscape of a-Si3B3N7, model the actual synthesis route and compute static and transport properties of a-Si3BN7.

  19. Formation of SiNx:H by PECVD: optimization of the optical, bulk passivation and structural properties for photovoltaic applications

    International Nuclear Information System (INIS)

    Lelievre, J.F.

    2007-04-01

    The hydrogenated silicon nitride SiNx:H is widely used as antireflection coating and passivation layer in the manufacture of silicon photovoltaic cells. The aim of this work was to implement a low frequency (440 kHz) PECVD reactor and to characterize the obtained SiN layers. After having determined the parameters of the optimal deposition, the physico-chemical structure of the layers has been studied. The optical properties have been studied with the aim to improve the antireflection coating of the photovoltaic cells. The surface and bulk passivation properties, induced by the SiN layer in terms of its stoichiometry, have been analyzed and have revealed the excellent passivating efficiency of this material. At last, have been studied the formation conditions of the silicon nano-crystals in the SiN matrix. (O.M.)

  20. Cubic Gallium Nitride on Micropatterned Si (001) for Longer Wavelength LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Durniak, Mark T. [Rensselaer Polytechnic Inst., Troy, NY (United States). Dept. of Materials Science and Engineering; Chaudhuri, Anabil [Univ. of New Mexico, Albuquerque, NM (United States). Center for High Technology Materials; Smith, Michael L. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Material Sciences; Allerman, Andrew A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Material Sciences; Lee, S. C. [Univ. of New Mexico, Albuquerque, NM (United States). Center for High Technology Materials; Brueck, S. R. J. [Univ. of New Mexico, Albuquerque, NM (United States). Center for High Technology Materials; Wetzel, Christian [Rensselaer Polytechnic Inst., Troy, NY (United States). Dept. of Physics, Applied Physics, and Astronomy and Dept. of Materials Science and Engineering

    2016-03-01

    GaInN/GaN heterostructures of cubic phase have the potential to overcome the limitations of wurtzite structures commonly used for light emitting and laser diodes. Wurtzite GaInN suffers from large internal polarization fields, which force design compromises ( 0001 ) towards ultra-narrow quantum wells and reduce recombination volume and efficiency. Cubic GaInN microstripes grown at Rensselaer Polytechnic Institute by metal organic vapor phase epitaxy on micropatterned Si , with {111} v-grooves oriented along Si ( 001 ) , offer a system free of internal polarization fields, wider quantum wells, and smaller <00$\\bar1$> bandgap energy. We prepared 6 and 9 nm Ga x In 1-x N/GaN single quantum well structures with peak wavelength ranges from 520 to 570 nm with photons predominately polarized perpendicular to the grooves. We estimate a cubic InN composition range of 0 < x < 0.5 and an upper limit of the internal quantum efficiency of 50%. Stripe geometry and polarization may be suitable for mode confinement and reduced threshold stimulated emission.

  1. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    International Nuclear Information System (INIS)

    Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel

  2. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)

    2008-07-30

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.

  3. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  4. Energetic prediction on the stability of A2Mg12Si7, A2Mg4Si3, and AMgSi in the A2Si–Mg2Si system (A = Ca, Sr and Ba) and their calculated electronic structures

    International Nuclear Information System (INIS)

    Imai, Yoji; Mori, Yoshihisa; Nakamura, Shigeyuki; Takarabe, Ken-ichi

    2014-01-01

    Highlights: • Formation energies of A 2 Mg 4 Si 3 , A 2 Mg 12 Si 7 , and AMgSi (A = Ca,Sr,Ba) were calculated. • All AMgSi are quite stable compared to mixture of A 2 Si and Mg 2 Si. • Ba 2 Mg 4 Si 3 and Sr 2 Mg 4 Si 3 are predicted to be stable, but Ca 2 Mg 4 Si 3 is not. • Ca 2 Mg 12 Si 7 and Sr 2 Mg 12 Si 7 are energetically unstable. • Stability of Ba 2 Mg 12 Si 7 is a tender subject. -- Abstract: In order to evaluate the relative stability of A 2 Mg 4 Si 3 , A 2 Mg 12 Si 7 , and AMgSi (A = Ca, Sr, and Ba) in the A 2 Si–Mg 2 Si system, electronic energy changes in the formation of these compounds were calculated using a density-functional theory with the Perdew–Wang generalized gradient approximations. It was found that (1) AMgSi’s are quite stable compared to equi-molar mixture of A 2 Si and Mg 2 Si, (2) Ba 2 Mg 4 Si 3 and Sr 2 Mg 4 Si 3 are also stable, (3) Ca 2 Mg 4 Si 3 and Ca 2 Mg 12 Si 7 are less stable than the mixture of CaMgSi and Mg 2 Si, and (4) Stability of Ba 2 Mg 12 Si 7 is a tender subject and Sr 2 Mg 12 Si 7 is energetically unstable compared to the mixture of Sr 2 Mg 4 Si 3 (or, SrMgSi) and Mg 2 Si. The presence of Sr 2 Mg 12 Si 7 may be due to the vibrational and/or configurational entropy, which are not treated in the present study. From the calculated electronic densities of state, complex compounds of SrMgSi and Mg 2 Si have both p-type and n-type character, depending on the ratio of SrMgSi and Mg 2 Si in that compound

  5. Palladium transport in SiC

    International Nuclear Information System (INIS)

    Olivier, E.J.; Neethling, J.H.

    2012-01-01

    Highlights: ► We investigate the reaction of Pd with SiC at typical HTGR operating temperatures. ► The high temperature mobility of palladium silicides within polycrystalline SiC was studied. ► Corrosion of SiC by Pd was seen in all cases. ► The preferential corrosion and penetration of Pd along grain boundaries in SiC was found. ► The penetration and transport of palladium silicides in SiC along grain boundaries was found. - Abstract: This paper reports on a transmission electron microscopy (TEM) and scanning electron microscopy (SEM) study of Pd corroded SiC. The reaction of Pd with different types of SiC at typical HTGR operating temperatures was examined. In addition the high temperature mobility of palladium silicides within polycrystalline SiC was investigated. The results indicated corrosion of the SiC by Pd in all cases studied. The corrosion leads to the formation of palladium silicides within the SiC, with the predominant phase found being Pd 2 Si. Evidence for the preferential corrosion and penetration of Pd along grain boundaries in polycrystalline SiC was found. The penetration and transport, without significant corrosion, of palladium silicides into polycrystalline SiC along grain boundaries was also observed. Implications of the findings with reference to the use of Tri Isotropic particles in HTGRs will be discussed.

  6. Marker experiments in growth studies of Ni2Si, Pd2Si, and CrSi2 formed both by thermal annealing and by ion mixing

    International Nuclear Information System (INIS)

    Hung, L.S.; Mayer, J.W.; Pai, C.S.; Lau, S.S.

    1985-01-01

    Inert markers (evaporated tungsten and silver) were used in growth studies of silicides formed both by thermal annealing and by ion mixing in the Ni/Si, Pd/Si, and Cr/Si systems. The markers were initially imbedded inside silicides and backscattering spectrometry was used to determine the marker displacement after different processing conditions. The results obtained in thermal annealing are quite consistent with that found in previous investigations. Ni is the dominant diffusing species in Ni 2 Si, while Si is the diffusing species in CrSi 2 . In Pd 2 Si, both Pd and Si are moving species with Pd the faster of the two. In contrast, in growth of silicides by ion irradiation Si is the faster diffusing species in all three systems

  7. Marbled texture of sputtered Al/Si alloy thin film on Si

    Energy Technology Data Exchange (ETDEWEB)

    Gentile, M.G. [Physics Department and NIS Interdepartmental Center, University of Torino, via P. Giuria 1, 10125 Torino (Italy); Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Muñoz-Tabares, J.A.; Chiodoni, A. [Istituto Italiano di Tecnologia, Center for Space Human Robotics, Corso Trento 21, 10129 Torino (Italy); Sgorlon, C. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Para, I. [Department of Applied Science and Technology (DISAT), Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Torino (Italy); Carta, R.; Richieri, G. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Bejtka, K. [Istituto Italiano di Tecnologia, Center for Space Human Robotics, Corso Trento 21, 10129 Torino (Italy); Merlin, L. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Vittone, E. [Physics Department and NIS Interdepartmental Center, University of Torino, via P. Giuria 1, 10125 Torino (Italy)

    2016-08-01

    DC magnetron sputtering is a commonly used technique for the fabrication of silicon based electronic devices, since it provides high deposition rates and uniform large area metallization. However, in addition to the thickness uniformity, coating optical uniformity is a crucial need for semiconductor industrial processes, due to the wide use of optical recognition tools. In the silicon-based technology, aluminum is one of the most used materials for the metal contact. Both the pre-deposition substrate cleaning and the sputtering conditions determine the quality and the crystalline properties of the final Al deposited film. In this paper is shown that not all the mentioned conditions lead to good quality and uniform Al films. In particular, it is shown that under certain standard process conditions, Al/Si alloy (1% Si) metallization on a [100] Si presents a non-uniform reflectivity, with a marbled texture caused by flakes with milky appearance. This optical inhomogeneity is found to be caused by the coexistence of randomly orient Al/Si crystal, with heteroepitaxial Al/Si crystals, both grown on Si substrate. Based on the microstructural analysis, some strategies to mitigate or suppress this marbled texture of the Al thin film are proposed and discussed. - Highlights: • Sputtered Al/Si layers deposited on Si present evident optical non-uniformity • It could be an issue for optical recognition tools used in semiconductor industries • Optical non-uniformity is due to randomly oriented growth of Al grains. • Substrate misorientation and process temperature can mitigate the problem.

  8. Analysis of Si/SiGe Heterostructure Solar Cell

    Directory of Open Access Journals (Sweden)

    Ashish Kumar Singh

    2014-01-01

    Full Text Available Sunlight is the largest source of carbon-neutral energy. Large amount of energy, about 4.3 × 1020 J/hr (Lewis, 2005, is radiated because of nuclear fusion reaction by sun, but it is unfortunate that it is not exploited to its maximum level. Various photovoltaic researches are ongoing to find low cost, and highly efficient solar cell to fulfil looming energy crisis around the globe. Thin film solar cell along with enhanced absorption property will be the best, so combination of SiGe alloy is considered. The paper presented here consists of a numerical model of Si/Si1-xGex heterostructure solar cell. The research has investigated characteristics such as short circuit current density (Jsc, generation rate (G, absorption coefficient (α, and open circuit voltage (Voc with optimal Ge concentration. The addition of Ge content to Si layer will affect the property of material and can be calculated with the use of Vegard’s law. Due to this, short circuit current density increases.

  9. C-H and C-C activation of n -butane with zirconium hydrides supported on SBA15 containing N-donor ligands: [(≡SiNH-)(≡SiX-)ZrH2], [(≡SiNH-)(≡SiX-)2ZrH], and[(≡SiN=)(≡SiX-)ZrH] (X = -NH-, -O-). A DFT study

    KAUST Repository

    Pasha, Farhan Ahmad

    2014-07-01

    Density functional theory (DFT) was used to elucidate the mechanism of n-butane hydrogenolysis (into propane, ethane, and methane) on well-defined zirconium hydrides supported on SBA15 coordinated to the surface via N-donor surface pincer ligands: [(≡SiNH-)(≡SiO-)ZrH2] (A), [(≡SiNH-)2ZrH2] (B), [(≡SiNH-)(≡SiO-) 2ZrH] (C), [(≡SiNH-)2(≡SiO-)ZrH] (D), [(≡SiN=)(≡Si-O-)ZrH] (E), and [(≡SiN=)(≡SiNH-)ZrH] (F). The roles of these hydrides have been investigated in C-H/C-C bond activation and cleavage. The dihydride A linked via a chelating [N,O] surface ligand was found to be more active than B, linked to the chelating [N,N] surface ligand. Moreover, the dihydride zirconium complexes are also more active than their corresponding monohydrides C-F. The C-C cleavage step occurs preferentially via β-alkyl transfer, which is the rate-limiting step in the alkane hydrogenolysis. The energetics of the comparative pathways over the potential energy surface diagram (PES) reveals the hydrogenolysis of n-butane into propane and ethane. © 2014 American Chemical Society.

  10. Sr-Al-Si co-segregated regions in eutectic Si phase of Sr-modified Al-10Si alloy.

    Science.gov (United States)

    Timpel, M; Wanderka, N; Schlesiger, R; Yamamoto, T; Isheim, D; Schmitz, G; Matsumura, S; Banhart, J

    2013-09-01

    The addition of 200 ppm strontium to an Al-10 wt% Si casting alloy changes the morphology of the eutectic silicon phase from coarse plate-like to fine fibrous networks. In order to clarify this modification mechanism the location of Sr within the eutectic Si phase has been investigated by a combination of high-resolution methods. Whereas three-dimensional atom probe tomography allows us to visualise the distribution of Sr on the atomic scale and to analyse its local enrichment, transmission electron microscopy yields information about the crystallographic nature of segregated regions. Segregations with two kinds of morphologies were found at the intersections of Si twin lamellae: Sr-Al-Si co-segregations of rod-like morphology and Al-rich regions of spherical morphology. Both are responsible for the formation of a high density of multiple twins and promote the anisotropic growth of the eutectic Si phase in specific crystallographic directions during solidification. The experimental findings are related to the previously postulated mechanism of "impurity induced twinning". Copyright © 2012 Elsevier B.V. All rights reserved.

  11. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. SHS synthesis of Si-SiC composite powders using Mg and reactants from industrial waste

    Science.gov (United States)

    Chanadee, Tawat

    2017-11-01

    Si-SiC composite powders were synthesized by self-propagating high-temperature synthesis (SHS) using reactants of fly ash-based silica, sawdust-based activated carbon, and magnesium. Fly ash-based silica and sawdust-based activated carbon were prepared from coal mining fly ash and Para rubber-wood sawdust, respectively. The work investigated the effects of the synthesis atmosphere (air and Ar) on the phase and morphology of the SHS products. The SHS product was leached by a two-step acid leaching processes, to obtain the Si-SiC composite powder. The SHS product and SHS product after leaching were characterized by X-ray diffractometry, scanning electron microscopy and energy dispersive X-ray spectrometry. The results indicated that the SHS product synthesized in air consisted of Si, SiC, MgO, and intermediate phases (SiO2, Mg, Mg2SiO4, Mg2Si), whereas the SHS product synthesized in Ar consisted of Si, SiC, MgO and a little Mg2SiO4. The SiC content in the leached-SHS product was higher when Ar was used as the synthesis atmosphere. As well as affecting the purity, the synthesis atmospheres also affected the average crystalline sizes of the products. The crystalline size of the product synthesized in Ar was smaller than that of the product synthesized in air. All of the results showed that fly ash and sawdust could be effective waste-material reactants for the synthesis of Si-SiC composite powders.

  13. Compressibility and thermal expansion of cubic silicon nitride

    DEFF Research Database (Denmark)

    Jiang, Jianzhong; Lindelov, H.; Gerward, Leif

    2002-01-01

    The compressibility and thermal expansion of the cubic silicon nitride (c-Si3N4) phase have been investigated by performing in situ x-ray powder-diffraction measurements using synchrotron radiation, complemented with computer simulations by means of first-principles calculations. The bulk...... compressibility of the c-Si3N4 phase originates from the average of both Si-N tetrahedral and octahedral compressibilities where the octahedral polyhedra are less compressible than the tetrahedral ones. The origin of the unit cell expansion is revealed to be due to the increase of the octahedral Si-N and N-N bond...

  14. Fabrication and characterization of the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors

    Science.gov (United States)

    Qing-Wen, Song; Xiao-Yan, Tang; Yan-Jing, He; Guan-Nan, Tang; Yue-Hu, Wang; Yi-Meng, Zhang; Hui, Guo; Ren-Xu, Jia; Hong-Liang, Lv; Yi-Men, Zhang; Yu-Ming, Zhang

    2016-03-01

    In this paper, the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFFETs) have been fabricated and characterized. A sandwich- (nitridation-oxidation-nitridation) type process was used to grow the gate dielectric film to obtain high channel mobility. The interface properties of 4H-SiC/SiO2 were examined by the measurement of HF I-V, G-V, and C-V over a range of frequencies. The ideal C-V curve with little hysteresis and the frequency dispersion were observed. As a result, the interface state density near the conduction band edge of 4H-SiC was reduced to 2 × 1011 eV-1·cm-2, the breakdown field of the grown oxides was about 9.8 MV/cm, the median peak field-effect mobility is about 32.5 cm2·V-1·s-1, and the maximum peak field-effect mobility of 38 cm2·V-1·s-1 was achieved in fabricated lateral 4H-SiC MOSFFETs. Projcet supported by the National Natural Science Foundation of China (Grant Nos. 61404098, 61176070, and 61274079), the Doctoral Fund of Ministry of Education of China (Grant Nos. 20110203110010 and 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), and the Key Specific Projects of Ministry of Education of China (Grant No. 625010101).

  15. First-principles calculations of orientation dependence of Si thermal oxidation based on Si emission model

    Science.gov (United States)

    Nagura, Takuya; Kawachi, Shingo; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Kageshima, Hiroyuki; Endoh, Tetsuo; Shiraishi, Kenji

    2018-04-01

    It is expected that the off-state leakage current of MOSFETs can be reduced by employing vertical body channel MOSFETs (V-MOSFETs). However, in fabricating these devices, the structure of the Si pillars sometimes cannot be maintained during oxidation, since Si atoms sometimes disappear from the Si/oxide interface (Si missing). Thus, in this study, we used first-principles calculations based on the density functional theory, and investigated the Si emission behavior at the various interfaces on the basis of the Si emission model including its atomistic structure and dependence on Si crystal orientation. The results show that the order in which Si atoms are more likely to be emitted during thermal oxidation is (111) > (110) > (310) > (100). Moreover, the emission of Si atoms is enhanced as the compressive strain increases. Therefore, the emission of Si atoms occurs more easily in V-MOSFETs than in planar MOSFETs. To reduce Si missing in V-MOSFETs, oxidation processes that induce less strain, such as wet or pyrogenic oxidation, are necessary.

  16. Solid-state 27Al and 29Si NMR investigations on Si-substituted hydrogarnets

    International Nuclear Information System (INIS)

    Rivas Mercury, J.M.; Pena, P.; Aza, A.H. de; Turrillas, X.; Sobrados, I.; Sanz, J.

    2007-01-01

    Partially deuterated Ca 3 Al 2 (SiO 4 ) 3-x (OH) 4x hydrates prepared by a reaction in the presence of D 2 O of synthetic tricalcium aluminate with different amounts of amorphous silica were characterized by 29 Si and 27 Al magic-angle spinning nuclear magnetic resonance (NMR) spectroscopy. The 29 Si NMR spectroscopy was used for quantifying the non-reacted silica and the resulting hydrated products. The incorporation of Si into Ca 3 Al 2 (SiO 4 ) 3-x (OH) 4x was followed by 27 Al NMR spectroscopy: Si:OH ratios were determined quantitatively from octahedral Al signals ascribed to Al(OH) 6 and Al(OSi)(OH) 5 environments. The NMR data obtained were consistent with the concentrations of the Al and Si species deduced from transmission electron microscopy energy-dispersive spectrometry and Rietveld analysis of both X-ray and neutron diffraction data

  17. Mechanical properties of MeV ion-irradiated SiC/SiC composites characterized by indentation technique

    International Nuclear Information System (INIS)

    Park, J.Y.; Park, K.H.; Kim, W.; Kishimoto, H.; Kohyama, A.

    2007-01-01

    Full text of publication follows: SiC/SiC composites have been considered as a structural material for advanced fusion concepts. In the core of fusion reactor, those SiC/SiC composites are experienced the complex attacks such as strong neutron, high temperature and transmuted gases. One of the vital data for designing the SiC/SiC composites to the fusion reactor is mechanical properties under the severe neutron irradiation. In this work, various SiC/SiC composites were prepared by the different fabrication processes like CVI (chemical vapor infiltration), WA-CVI (SiC whisker assisted CVI) and hot-pressed method. The expected neutron irradiation was simulated by a silicon self-ion irradiation at a DuET facility; Dual-beam for Energy Technologies, Kyoto University. The irradiation temperature were 600 deg. C and 1200 deg. C, and the irradiation does were 5 dpa and 20 dpa, respectively. The 5.1 MeV Si ions were irradiated to the intrinsic CVI-SiC, SiC whisker reinforced SiC and SiC composites produced by hot-press method. The mechanical properties like hardness, elastic modulus and fracture toughness were characterized by an indentation technique. The ion irradiation caused the increase of the hardness and fracture toughness, which was dependent on the irradiation temperature. SiC whisker reinforcement in the SiC matrix accelerated the increase of the fracture toughness by the ion irradiation. For SiC/SiC composites after the ion irradiation, this work will provide the additional data for the mechanical properties as well as the effect of SiC whisker reinforcement. (authors)

  18. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  19. Formation of permeation barriers on ceramic SiC/SiC composites

    International Nuclear Information System (INIS)

    Racault, C.; Fenici, P.

    1996-01-01

    The effectiveness as permeation barriers of the following CVD and PVD (sputtering) coatings has been investigated: TiC+Al 2 O 3 (CVD), SiC(CVD), SiO 2 (CVD), TiN(CVD), TiN(CVD)+TiN(PVD) and SiC(CVD)+Al 2 O 3 (PVD). The substrate material was a SiC/SiC composite, proposed as low activation structural material for fusion applications. Permeation measurements were performed in the temperature range 300-750 K using deuterium at pressures in the range 0.5-150 kPa. A linear dependence of permeation rate on pressure was measured. The efficiency of the coatings as deuterium permeation barriers is discussed in terms of coating microstructure. The best result was obtained with a bilayer of TiN(CVD) (15 μm) +TiN(PVD) (8 μm). (orig.)

  20. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  1. A TEM study of strained SiGe/Si and related heteroepitaxial structures

    International Nuclear Information System (INIS)

    Benedetti, Alessandro

    2002-01-01

    The role of SiGe/Si heterostructures and related materials has become increasingly important within the last few decades. In order to increase the scale of integration, however, devices with active elements not larger than few tens of nanometer have been recently introduced. There is, therefore, a strong need for an analytical technique capable of giving information about submicron-sized components. An investigation on a nanometre scale can be performed by the combination of a fully equipped Transmission Electron Microscope (TEM) with a Field Emission Gun (PEG) electron source, which enables one to use a wide range of analytical techniques with an electron probe as small as 0.5 nm. In this work, two different types of SiGe/Si-based devices were investigated. Strained-Si n-channel MOSFETs. The use of Strained-Si n-channel grown on SiGe should improve both carrier mobility and transconductance with respect to conventional MOSFETs. Materials analysed in this work showed an extremely high transconductance but a rather low mobility. In order to relate their microstructural properties to their electrical performance, as well as to improve the device design, a full quantitative and qualitative structural characterisation was performed. SiGe Multiple Quantum Wells (MQW) IR detectors Light detection is achieved by collecting the photogenerated carriers, injected from the SiGe QWs layers into the Si substrate. A key factor is the Ge profile across a single QW, since it governs the band structure and therefore the device performances. Four different TEM techniques were used to determine the Ge distribution across a single well, showing an overall good agreement among the results. The Ge profiles broadening, consistent with data available in literature, was successfully explained and theoretically predicted by the combined effect of Ge segregation and gas dwell times within the reactor. (author)

  2. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  3. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  4. 3Y-TZP/Si2N2O composite obtained by pressureless sintering

    International Nuclear Information System (INIS)

    Santos, Carlos Augusto Xavier

    2006-01-01

    Zirconia 3YTZP presents excellent properties at room temperature. These properties decrease as the temperature increases because high temperature acts negatively over the stress induced transformation toughening in the matrix. The addition of Si 3 N 4 and SiC in a Y-TZP matrix is very interesting because leads to formation of silicon oxynitride and it increases the mechanical properties like toughness and hardness. Certainly the mechanical properties increment is limited by several difficulties which have appeared during processing and heating of these materials. This paper studies the Y-TZP/Si 2 N 2 0 pressureless sintered composite, under different temperatures, showing the behavior of 20 vol %Si 3 N 4 -SiC when added in YTZP matrix and heated under no pressure system. Al 2 O 3 and Y 2 O 3 were used as sintering aids. The mixture was milled and molded by cold isostatic pressure. Samples were heated at 1500 deg, 1600 deg and 17000 deg C x 2h without pressure under atmospheric conditions using Si 3 N 4 bed-powder. Samples were characterized by XRD and density, hardness, toughness, bending strength were measured. The structure of the material was observed in SEMITEM/EPMA to verify the distribution and composition of the materials in the composite and the contact between filler surface and the matrix. The formation of SiON 2 was observed in the sintered material due to reaction between both nitride and carbide with Y - TZP matrix. Furthermore the material showed an increment of both hardness and toughness as temperature increases. The samples presented considerable resistance to oxidation below 1000 deg C. (author)

  5. Mass Spectrometric Investigation of Silicon Extremely Enriched in (28)Si: From (28)SiF4 (Gas Phase IRMS) to (28)Si Crystals (MC-ICP-MS).

    Science.gov (United States)

    Pramann, Axel; Rienitz, Olaf

    2016-06-07

    A new generation of silicon crystals even further enriched in (28)Si (x((28)Si) > 0.999 98 mol/mol), recently produced by companies and institutes in Russia within the framework of a project initiated by PTB, were investigated with respect to their isotopic composition and molar mass M(Si). A modified isotope dilution mass spectrometric (IDMS) method treating the silicon as the matrix containing a so-called virtual element (VE) existing of the isotopes (29)Si and (30)Si solely and high resolution multicollector inductively coupled plasma mass spectrometry (MC-ICP-MS) were applied in combination. This method succeeds also when examining the new materials holding merely trace amounts of (29)Si (x((29)Si) ≈ 5 × 10(-6) mol/mol) and (30)Si (x((30)Si) ≈ 7 × 10(-7) mol/mol) extremely difficult to detect with lowest uncertainty. However, there is a need for validating the enrichment in (28)Si already in the precursor material of the final crystals, silicon tetrafluoride (SiF4) gas prior to crystal production. For that purpose, the isotopic composition of selected SiF4 samples was determined using a multicollector magnetic sector field gas-phase isotope ratio mass spectrometer. Contaminations of SiF4 by natural silicon due to storing and during the isotope ratio mass spectrometry (IRMS) measurements were observed and quantified. The respective MC-ICP-MS measurements of the corresponding crystal samples show-in contrast-several advantages compared to gas phase IRMS. M(Si) of the new crystals were determined to some extent with uncertainties urel(M) < 1 × 10(-9). This study presents a clear dependence of the uncertainty urel(M(Si)) on the degree of enrichment in (28)Si. This leads to a reduction of urel(M(Si)) during the past decade by almost 3 orders of magnitude and thus further reduces the uncertainty of the Avogadro constant NA which is one of the preconditions for the redefinition of the SI unit kilogram.

  6. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  7. Perspectives of the Si3N4-TiN ceramic composite as a biomaterial and manufacturing of complex-shaped implantable devices by electrical discharge machining (EDM).

    Science.gov (United States)

    Bucciotti, Francesco; Mazzocchi, Mauro; Bellosi, Alida

    2010-01-01

    In this work we investigated the suitability of electroconductive silicon nitride/titanium nitride composite for biomedical implantable devices with particular attention on the processing route that allows the net-shaping of complex components by electrical discharge machining (EDM). The composite, constituted mainly of a beta-Si3N4, dispersed TiN grains and a glassy grain boundary phase, exhibited a low density and high hardness, strength and toughness. Bulk, surface characteristics and properties of the Si3N4-TiN composite were analyzed. After the EDM process, the microstructure of the machined surface was examined. The obtained results showed that the Si3N4-TiN ceramic composite together with the EDM manufacturing process might potentially play a key role in implantable load-bearing prosthesis applications.

  8. SiC/SiC composite fabricated with carbon nanotube interface layer and a novel precursor LPVCS

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Shuang, E-mail: zhsh6007@126.com [Science and Technology on Advanced Ceramic Fibers and Composites Laboratory, National University of Defense Technology, Changsha 410073 (China); School of Mechanical, Aerospace, and Civil Engineering, University of Manchester, Manchester M13 9PL (United Kingdom); Zhou, Xingui; Yu, Jinshan [Science and Technology on Advanced Ceramic Fibers and Composites Laboratory, National University of Defense Technology, Changsha 410073 (China); Mummery, Paul [School of Mechanical, Aerospace, and Civil Engineering, University of Manchester, Manchester M13 9PL (United Kingdom)

    2014-02-15

    Highlights: • The CNTs were distributed uniformly on the SiC fibers in the fabric by CVD process. • The microstructural evolution of the CNTs interface coating was studied. • The closed porosity was investigated by X-ray tomography. • The liquid precursor LPVCS exhibited high densification efficiency. - Abstract: Continuous SiC fiber reinforced SiC matrix composites (SiC/SiC) have been studied as promising candidate materials for nuclear applications. Three-dimensional SiC/SiC composite was fabricated via polymer impregnation and pyrolysis (PIP) process using carbon nanotubes (CNTs) as the interface layer and LPVCS as the polymer precursor. The microstructural evolution of the fiber/matrix interface was studied. The porosity, mechanical properties, thermal and electrical conductivities of the SiC/SiC composite were investigated. The results indicated that the high densification efficiency of the liquid precursor LPVCS resulted in a low porosity of the SiC/SiC composite. The SiC/SiC composite exhibited non-brittle fracture behavior, however, bending strength and fracture toughness of the composite were relatively low because of the absence of CNTs as the interface layer. The thermal and electrical conductivities of the SiC/SiC composite were low enough to meet the requirements desired for flow channel insert (FCI) applications.

  9. Influence of air exposure duration and a-Si capping layer thickness on the performance of p-BaSi2/n-Si heterojunction solar cells

    Directory of Open Access Journals (Sweden)

    Ryota Takabe

    2016-08-01

    Full Text Available Fabrication of p-BaSi2(20nm/n-Si heterojunction solar cells was performed with different a-Si capping layer thicknesses (da-Si and varying air exposure durations (tair prior to the formation of a 70-nm-thick indium-tin-oxide electrode. The conversion efficiencies (η reached approximately 4.7% regardless of tair (varying from 12–150 h for solar cells with da-Si = 5 nm. In contrast, η increased from 5.3 to 6.6% with increasing tair for those with da-Si = 2 nm, in contrast to our prediction. For this sample, the reverse saturation current density (J0 and diode ideality factor decreased with tair, resulting in the enhancement of η. The effects of the variation of da-Si (0.7, 2, 3, and 5 nm upon the solar cell performance were examined while keeping tair = 150 h. The η reached a maximum of 9.0% when da-Si was 3 nm, wherein the open-circuit voltage and fill factor also reached a maximum. The series resistance, shunt resistance, and J0 exhibited a tendency to decrease as da-Si increased. These results demonstrate that a moderate oxidation of BaSi2 is a very effective means to enhance the η of BaSi2 solar cells.

  10. Palladium transport in SiC

    Energy Technology Data Exchange (ETDEWEB)

    Olivier, E.J., E-mail: jolivier@nmmu.ac.za [Centre for High Resolution Transmission Electron Microscopy, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Neethling, J.H. [Centre for High Resolution Transmission Electron Microscopy, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer We investigate the reaction of Pd with SiC at typical HTGR operating temperatures. Black-Right-Pointing-Pointer The high temperature mobility of palladium silicides within polycrystalline SiC was studied. Black-Right-Pointing-Pointer Corrosion of SiC by Pd was seen in all cases. Black-Right-Pointing-Pointer The preferential corrosion and penetration of Pd along grain boundaries in SiC was found. Black-Right-Pointing-Pointer The penetration and transport of palladium silicides in SiC along grain boundaries was found. - Abstract: This paper reports on a transmission electron microscopy (TEM) and scanning electron microscopy (SEM) study of Pd corroded SiC. The reaction of Pd with different types of SiC at typical HTGR operating temperatures was examined. In addition the high temperature mobility of palladium silicides within polycrystalline SiC was investigated. The results indicated corrosion of the SiC by Pd in all cases studied. The corrosion leads to the formation of palladium silicides within the SiC, with the predominant phase found being Pd{sub 2}Si. Evidence for the preferential corrosion and penetration of Pd along grain boundaries in polycrystalline SiC was found. The penetration and transport, without significant corrosion, of palladium silicides into polycrystalline SiC along grain boundaries was also observed. Implications of the findings with reference to the use of Tri Isotropic particles in HTGRs will be discussed.

  11. Fabrication and microstructural analysis of UN-U{sub 3}Si{sub 2} composites for accident tolerant fuel applications

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Kyle D., E-mail: kylej@kth.se; Raftery, Alicia M.; Lopes, Denise Adorno; Wallenius, Janne

    2016-08-15

    In this study, U{sub 3}Si{sub 2} was synthesized via the use of arc-melting and mixed with UN powders, which together were sintered using the SPS method. The study revealed a number of interesting conclusions regarding the stability of the system – namely the formation of a probable but as yet unidentified ternary phase coupled with the reduction of the stoichiometry in the nitride phase – as well as some insights into the mechanics of the sintering process itself. By milling the silicide powders and reducing its particle size ratio compared to UN, it was possible to form a high density UN-U{sub 3}Si{sub 2} composite, with desirable microstructural characteristics for accident tolerant fuel applications. - Highlights: • U{sub 3}Si{sub 2} fabricated from elemental uranium and silicon through arc melting. • Homogeneity of the silicides assessed through densitometry, XRD, SEM and EDS, chemical etching and optical microscopy. • UN powder fabricated using hydriding-nitriding method. • No phase transformations detected when sintering using silicide particle sizes less than UN particle size. • High density composite (98%TD) fabricated with silicide grain coating using spark plasma sintering at 1450 °C.

  12. Determination of molecular stopping cross section of {sup 12}C, {sup 16}O, {sup 28}Si, {sup 35}Cl, {sup 58}Ni, {sup 79}Br, and {sup 127}I in silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Barradas, N.P., E-mail: nunoni@ctn.ist.utl.pt [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Unversidade de Lisboa, Estrada Nacional 10 ao km 139.7, 2695-066 Bobadela LRS (Portugal); Bergmaier, A. [Institut für Angewandte Physik und Messtechnik, Fakultät für Luft und Raumfahrttechnik, Werner-Heisenberg-Weg 39, D-85577 Neubiberg (Germany); Mizohata, K. [Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 University of Helsinki (Finland); Msimanga, M. [iThemba LABS Gauteng, National Research Foundation, Private Bag 11, WITS 2050, Johannesburg (South Africa); Department of Physics, Tshwane University of Technology, Private Bag X680, Pretoria 0001 (South Africa); Räisänen, J. [Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 University of Helsinki (Finland); Sajavaara, T. [Department of Physics, University of Jyväskylä, Survontie 9, 40014 Jyväskylä (Finland); Simon, A. [International Atomic Energy Agency, Division of Physical and Chemical Sciences, Vienna International Centre, P.O. Box 100, A-1400 Vienna (Austria); Institute of Nuclear Research of the Hungarian Academy of Sciences, (ATOMKI), P.O. Box 51, H-4001 Debrecen (Hungary)

    2015-10-01

    Silicon nitride is a technologically important material in a range of applications due to a combination of important properties. Ion beam analysis techniques, and in particular, heavy ion elastic recoil detection analysis can be used to determine the stoichiometry of silicon nitride films, which often deviates from the ideal Si{sub 3}N{sub 4}, as well as the content of impurities such as hydrogen, even in the presence of other materials or in a matrix containing heavier elements. Accurate quantification of IBA results depends on the basic data used in the data analysis. Quantitative depth profiling relies on the knowledge of the stopping power cross sections of the materials studied for the ions involved, which in the case of HI-ERDA is both the primary beam, and the recoiled species. We measured the stopping cross section of {sup 12}C, {sup 16}O, {sup 28}Si, {sup 35}Cl, {sup 58}Ni, {sup 79}Br, and {sup 127}I in a well-characterised silicon nitride membrane. The measurements were made by independent groups utilising different experimental setups and methods. In some cases there is extensive overlap of the energy range in different experiments, allowing a comparison of the different results. The four independent data sets reported in this work are in excellent agreement with each other, in the cases where similar energy ranges were measured. On the other hand, the data are in most cases higher than calculations made with the interpolative schemes SRIM and MSTAR together with the Bragg rule. Better agreement is found with MSTAR in some of the cases studied. This work is a significant extension of the heavy ion stopping power data base for silicon nitride.

  13. Moessbauer and channeling experiments on TeSi and SmSi

    International Nuclear Information System (INIS)

    Kemerink, G.J.; Boerma, D.O.; Waard, H. de; Wit, J.C. de; Drentje, S.A.

    1980-01-01

    Considerable effort is made to obtain an insight in the structural and electronic properties of ion implanted elemental semiconductors. This research is strongly stimulated by the many applications of semi-conductor devices. We report here on Moessbauer studies of 129 TeSi and 153 SmSi, using the 27.8 keV transition in 129 I and the 103.2 keV transition in 153 Eu, respectively, and on channeling experiments on 128 TeSi and 152 SmSi with a 2 MeV α-beam from the Groningen Van de Graaff generator. In the Moessbauer experiments we used Cu 129 I and EuF 3 .1/2H 2 O as absorber materials. Source and absorber were held at 4.2 K. The implantations were generally done at room temperature with an implantation energy of 100-115 keV. For the Moessbauer and channeling measurements we applied similar Si single crystals and the same implantation and annealing conditions. Crystals with low doses could only be investigated with the Moessbauer effect

  14. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  15. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  16. Specimen size effect considerations for irradiation studies of SiC/SiC

    Energy Technology Data Exchange (ETDEWEB)

    Youngblood, G.E.; Henager, C.H. Jr.; Jones, R.H. [Pacific Northwest National Lab., Richland, WA (United States)

    1996-10-01

    For characterization of the irradiation performance of SiC/SiC, limited available irradiation volume generally dictates that tests be conducted on a small number of relatively small specimens. Flexure testing of two groups of bars with different sizes cut from the same SiC/SiC plate suggested the following lower limits for flexure specimen number and size: Six samples at a minimum for each condition and a minimum bar size of 30 x 6.0 x 2.0 mm{sup 3}.

  17. Analysis on the sequence of formation of Ti{sub 3}SiC{sub 2} and Ti{sub 3}SiC{sub 2}/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Radhakrishnan, R.; Bhaduri, S.B. [Idaho Univ., Moscow, ID (United States). Dept. of Mining and Metallurgy; Henager, C.H. Jr. [Pacific Northwest Lab., Richland, WA (United States)

    1995-05-01

    Ti{sub 3}SiC{sub 2}, a compound in the ternary Ti-Si-C system, is reported to be ductile. This paper reports the sequence of formation of Ti{sub 3}SiC{sub 2} and Ti{sub 3}SiC{sub 2}/SiC composites involving either combustion synthesis or by displacement reaction, respectively. Onset of exothermic reaction temperatures were determined using Differential Thermal Analysis (DTA). Phases present after the exothermic temperatures were analyzed by X-Ray diffraction. Based on these observations, a route to formation of Ti{sub 3}SiC{sub 2} and Ti{sub 3}SiC{sub 2}/SiC composites is proposed for the two`s thesis methods.

  18. X-ray absorption spectroscopy study on SiC-side interface structure of SiO2–SiC formed by thermal oxidation in dry oxygen

    Science.gov (United States)

    Isomura, Noritake; Kosaka, Satoru; Kataoka, Keita; Watanabe, Yukihiko; Kimoto, Yasuji

    2018-06-01

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is demonstrated to measure the fine atomic structure of SiO2–SiC interfaces. The SiC-side of the interface can be measured by fabricating thin SiO2 films and using SiC-selective EXAFS measurements. Fourier transforms of the oscillations of the EXAFS spectra correspond to radial-structure functions and reveal a new peak of the first nearest neighbor of Si for m-face SiC, which does not appear in measurements of the Si-face. This finding suggests that the m-face interface could include a structure with shorter Si–C distances. Numerical calculations provide additional support for this finding.

  19. Investigation on fabrication of SiC/SiC composite as a candidate material for fuel sub-assembly

    International Nuclear Information System (INIS)

    Lee, Jae-Kwang; Naganuma, Masayuki; Park, Joon-Soo; Kohyama, Akira

    2005-01-01

    The possibility of SiC/SiC (Silicon carbide fiber reinforced Silicon carbide) composites application for fuel sub-assembly of Fast Breeder Reactor was investigated. To select a raw material of SiC/SiC composites, a few kinds of SiC nano powder was estimated by SEM observation and XRD analysis. Furthermore, SiC monolithic was sintered from them and estimated by flexural test. SiC nano-powder which showed good sinterability, it was used for fabrication of SiC/SiC composites by Hot Pressing method. From the sintering condition of 1800, 1820degC temperature and 15, 20 MPa pressure, SiC/SiC composite was fabricated and then estimated by tensile test. SiC/SiC composite, which made by 1820degC and 20 MPa condition, showed the highest mechanical strength by the monotonic tensile test. SiC/SiC composite, which made by 1800degC and 15 MPa condition, showed a stable fracture behavior at the monotonic and cyclic tensile test. And then, the hoop stress of ideal model of SiC/SiC composites was discussed. It was confirmed that applicability of SiC/SiC composites by Hot Pressing method for fuel sub-assembly structural material. To make it real attractive one, to maintain the reliability and safety as a high temperature structural material, the design and process study on SiC/Sic composites material will be continued. (author)

  20. Phenomenological inelastic constitutive equations for SiC and SiC fibers under irradiation

    International Nuclear Information System (INIS)

    El-Azab, A.; Ghoniem, N.M.

    1994-01-01

    Experimental data on irradiation-induced dimensional changes and creep in β-SiC and SiC fibers is analyzed, with the objective of studying the constitutive behavior of these materials under high-temperature irradiation. The data analysis includes empirical representation of irradiation-induced dimensional changes in SiC matrix and SiC fibers as function of time and irradiation temperature. The analysis also includes formulation of simple scaling laws to extrapolate the existing data to fusion conditions on the basis of the physical mechanisms of radiation effects on crystalline solids. Inelastic constitutive equations are then developed for SCS-6 SiC fibers, Nicalon fibers and CVD SiC. The effects of applied stress, temperature, and irradiation fields on the deformation behavior of this class of materials are simultaneously represented. Numerical results are presented for the relevant creep functions under the conditions of the fusion reactor (ARIES IV) first wall. The developed equations can be used in estimating the macro mechanical properties of SiC-SiC composite systems as well as in performing time-dependent micro mechanical analysis that is relevant to slow crack growth and fiber pull-out under fusion conditions

  1. Si cycling in a forest biogeosystem - the importance of transient state biogenic Si pools

    Science.gov (United States)

    Sommer, M.; Jochheim, H.; Höhn, A.; Breuer, J.; Zagorski, Z.; Busse, J.; Barkusky, D.; Meier, K.; Puppe, D.; Wanner, M.; Kaczorek, D.

    2013-07-01

    The relevance of biological Si cycling for dissolved silica (DSi) export from terrestrial biogeosystems is still in debate. Even in systems showing a high content of weatherable minerals, like Cambisols on volcanic tuff, biogenic Si (BSi) might contribute > 50% to DSi (Gerard et al., 2008). However, the number of biogeosystem studies is rather limited for generalized conclusions. To cover one end of controlling factors on DSi, i.e., weatherable minerals content, we studied a forested site with absolute quartz dominance (> 95%). Here we hypothesise minimal effects of chemical weathering of silicates on DSi. During a four year observation period (05/2007-04/2011), we quantified (i) internal and external Si fluxes of a temperate-humid biogeosystem (beech, 120 yr) by BIOME-BGC (version ZALF), (ii) related Si budgets, and (iii) Si pools in soil and beech, chemically as well as by SEM-EDX. For the first time two compartments of biogenic Si in soils were analysed, i.e., phytogenic and zoogenic Si pool (testate amoebae). We quantified an average Si plant uptake of 35 kg Si ha-1 yr-1 - most of which is recycled to the soil by litterfall - and calculated an annual biosilicification from idiosomic testate amoebae of 17 kg Si ha-1. The comparatively high DSi concentrations (6 mg L-1) and DSi exports (12 kg Si ha-1 yr-1) could not be explained by chemical weathering of feldspars or quartz dissolution. Instead, dissolution of a relictic, phytogenic Si pool seems to be the main process for the DSi observed. We identified canopy closure accompanied by a disappearance of grasses as well as the selective extraction of pine trees 30 yr ago as the most probable control for the phenomena observed. From our results we concluded the biogeosystem to be in a transient state in terms of Si cycling.

  2. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  3. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  4. Incorporating Si3 N4 into PEEK to Produce Antibacterial, Osteocondutive, and Radiolucent Spinal Implants.

    Science.gov (United States)

    Pezzotti, Giuseppe; Marin, Elia; Adachi, Tetsuya; Lerussi, Federica; Rondinella, Alfredo; Boschetto, Francesco; Zhu, Wenliang; Kitajima, Takashi; Inada, Kosuke; McEntire, Bryan J; Bock, Ryan M; Bal, B Sonny; Mazda, Osam

    2018-04-24

    Polyetheretherketone (PEEK) is a popular polymeric biomaterial which is primarily used as an intervertebral spacer in spinal fusion surgery; but it is developed for trauma, prosthodontics, maxillofacial, and cranial implants. It has the purported advantages of an elastic modulus which is similar to native bone and it can be easily formed into custom 3D shapes. Nevertheless, PEEK's disadvantages include its poor antibacterial resistance, lack of bioactivity, and radiographic transparency. This study presents a simple approach to correcting these three shortcomings while preserving the base polymer's biocompatibility, chemical stability, and elastic modulus. The proposed strategy consists of preparing a PEEK composite by dispersing a minor fraction (i.e., 15 vol%) of a silicon nitride (Si 3 N 4 ) powder within its matrix. In vitro tests of PEEK composites with three Si 3 N 4 variants-β-Si 3 N 4 , α-Si 3 N 4 , and β-SiYAlON-demonstrate significant improvements in the polymer's osteoconductive versus SaOS-2 cells and bacteriostatic properties versus gram-positive Staphylococcus epidermidis bacteria. These properties are clearly a consequence of adding the bioceramic dispersoids, according to chemistry similar to that previously demonstrated for bulk Si 3 N 4 ceramics in terms of osteogenic behavior (vs both osteosarcoma and mesenchymal progenitor cells) and antibacterial properties (vs both gram-positive and gram-negative bacteria). © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  6. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  7. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  8. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  9. Friction and wear study of NR/SBR blends with Si3N4Filler

    Science.gov (United States)

    GaneshKumar, A.; Balaganesan, G.; Sivakumar, M. S.

    2018-04-01

    The aim of this paper is to investigate mechanical and frictional properties of natural rubber/styrene butadiene rubber (NR/SBR) blends with and without silicon nitride (Si3N4) filler. The rubber is surface modified by silane coupling agent (Si-69) for enhancing hydrophobic property. The Si3N4of percentage 0 1, 3, 5 and 7, is incorporated into NR/SBR rubber compounds with 20% precipitated silica. The specimens with and without fillers are prepared as per standard for tensile and friction testing. Fourier transform infrared (FTIR) spectroscopy test is conducted and it is inferred that the coupling agent is covalently bonded on the surface of Si3N4 particles and an organic coating layer is formed. The co-efficient of friction and specific wear rate of NR/SBR blends are examined using an in-house built friction tester in a disc-on-plate (DOP) configuration. The specimens are tested to find coefficient of friction (COF) against steel grip antiskid plate under dry, mud, wet and oil environmental conditions. It is found that the increase in tensile strength and modulus at low percentage of Si3N4 dispersion. It is also observed that increase in sliding friction co-efficient and decrease in wear rate for 1% of Si3N4 dispersion in NR/SBR blends. The friction tested surfaces are inspected using Scanning Electron Microscope (SEM) and 3D non contact surface profiler.

  10. Control of the graphene growth rate on capped SiC surface under strong Si confinement

    International Nuclear Information System (INIS)

    Çelebi, C.; Yanık, C.; Demirkol, A.G.; Kaya, İsmet İ.

    2013-01-01

    Highlights: ► Graphene is grown on capped SiC surface with well defined cavity size. ► Graphene growth rate linearly increases with the cavity height. ► Graphene uniformity is reduced with thickness. - Abstract: The effect of the degree of Si confinement on the thickness and morphology of UHV grown epitaxial graphene on (0 0 0 −1) SiC is investigated by using atomic force microscopy and Raman spectroscopy measurements. Prior to the graphene growth process, the C-face surface of a SiC substrate is capped by another SiC comprising three cavities on its Si-rich surface with depths varying from 0.5 to 2 microns. The Si atoms, thermally decomposed from the sample surface during high temperature annealing of the SiC cap /SiC sample stack, are separately trapped inside these individual cavities at the sample/cap interface. Our analyses show that the growth rate linearly increases with the cavity height. It was also found that stronger Si confinement yields more uniform graphene layers.

  11. C/SiC/MoSi2-Si multilayer coatings for carbon/carbon composites for protection against oxidation

    International Nuclear Information System (INIS)

    Zhang Yulei; Li Hejun; Qiang Xinfa; Li Kezhi; Zhang Shouyang

    2011-01-01

    Highlights: → A C/SiC/MoSi 2 -Si multilayer coating was prepared on C/C by slurry and pack cementation. → Multilayer coating can protect C/C for 300 h at 1873 K or 103 h at 1873 K in air. → The penetration cracks in the coating result in the weight loss of the coated C/C. → The fracture of the coated C/C in wind tunnel result from the excessive local stress. - Abstract: To improve the oxidation resistance of carbon/carbon (C/C) composites, a C/SiC/MoSi 2 -Si multilayer oxidation protective coating was prepared by slurry and pack cementation. The microstructure of the as-prepared coating was characterized by scanning electron microscopy, X-ray diffraction and energy dispersive spectroscopy. The isothermal oxidation and erosion resistance of the coating was investigated in electrical furnace and high temperature wind tunnel. The results showed that the multilayer coating could effectively protect C/C composites from oxidation in air for 300 h at 1773 K and 103 h at 1873 K, and the coated samples was fractured after erosion for 27 h at 1873 K h in wind tunnel. The weight loss of the coated specimens was considered to be caused by the formation of penetration cracks in the coating. The fracture of the coated C/C composites might result from the excessive local stress in the coating.

  12. Fabrication of poly-crystalline Si-based Mie resonators via amorphous Si on SiO2 dewetting.

    Science.gov (United States)

    Naffouti, Meher; David, Thomas; Benkouider, Abdelmalek; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Bidault, Sebastien; Bonod, Nicolas; Abbarchi, Marco

    2016-02-07

    We report the fabrication of Si-based dielectric Mie resonators via a low cost process based on solid-state dewetting of ultra-thin amorphous Si on SiO2. We investigate the dewetting dynamics of a few nanometer sized layers annealed at high temperature to form submicrometric Si-particles. Morphological and structural characterization reveal the polycrystalline nature of the semiconductor matrix as well as rather irregular morphologies of the dewetted islands. Optical dark field imaging and spectroscopy measurements of the single islands reveal pronounced resonant scattering at visible frequencies. The linewidth of the low-order modes can be ∼20 nm in full width at half maximum, leading to a quality factor Q exceeding 25. These values reach the state-of-the-art ones obtained for monocrystalline Mie resonators. The simplicity of the dewetting process and its cost-effectiveness opens the route to exploiting it over large scales for applications in silicon-based photonics.

  13. Precipitation kinetics of Al-1.12 Mg{sub 2}Si-0.35 Si and Al-1.07 Mg{sub 2}Si-0.33 Cu alloys

    Energy Technology Data Exchange (ETDEWEB)

    Gaber, A. [Physics Department, Faculty of Science, Assiut University, Assiut 71516 (Egypt); Gaffar, M.A. [Physics Department, Faculty of Science, Assiut University, Assiut 71516 (Egypt)]. E-mail: mgaafar@aucegypt.edu; Mostafa, M.S. [Physics Department, Faculty of Science, Assiut University, Assiut 71516 (Egypt); Zeid, E.F. Abo [Physics Department, Faculty of Science, Assiut University, Assiut 71516 (Egypt)

    2007-02-21

    The kinetics of hardening precipitates of Al-1.12 wt.% Mg{sub 2}Si-0.35 wt.% Si (excess Si) and Al-1.07 wt.% Mg{sub 2}Si-0.33 wt.% Cu (balanced + Cu) alloys have been investigated by means of differential scanning calorimetry and hardness measurements. The excess Si enhances the precipitation kinetics and improves the strength of the material. On the other hand, however addition of Cu assist formation of the Q' phase which positively changed the alloy strength. The high binding energy between vacancies and solute atoms (Si and Mg) enhances the combination of Si, Mg and vacancies to form Si-Mg-vacancy clusters. These clusters act as nucleation sites for GP-zones. The coexistence of the {beta}'- and Q'-precipitates in the balanced + Cu alloy results in a higher peak age hardening compared to the alloy with Si in excess.

  14. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  15. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  16. Influence of Si ion implantation on structure and morphology of g-C{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Varalakshmi, B.; Sreenivasulu, K.V. [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India); Asokan, K. [Inter University Accelerator Centre (IUAC), Aruna Asaf Ali Marg, Near Vasant Kunj, New Delhi 110067 (India); Srikanth, V.V.S.S., E-mail: vvsssse@uohyd.ernet.in [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India)

    2016-07-15

    Effect of Si ion implantation on structural and morphological features of graphite-like carbon nitride (g-C{sub 3}N{sub 4}) was investigated. g-C{sub 3}N{sub 4} was prepared by using a simple atmospheric thermal decomposition process. The g-C{sub 3}N{sub 4} pellets were irradiated with a Si ion beam of energy 200 keV with different fluencies. Structural, morphological and elemental, and phase analysis of the implanted samples in comparison with the pristine samples was carried out by using X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) with energy dispersive spectroscopy (EDS) and Fourier transform infrared spectroscopy (FTIR) techniques, respectively. The observations revealed that Si ion implantation results in a negligible change in the crystallite size and alteration of the network-like to the sheet-like morphology of g-C{sub 3}N{sub 4} and Si ions in the g-C{sub 3}N{sub 4} network.

  17. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  18. New Insights into Understanding Irreversible and Reversible Lithium Storage within SiOC and SiCN Ceramics.

    Science.gov (United States)

    Graczyk-Zajac, Magdalena; Reinold, Lukas Mirko; Kaspar, Jan; Sasikumar, Pradeep Vallachira Warriam; Soraru, Gian-Domenico; Riedel, Ralf

    2015-02-24

    Within this work we define structural properties of the silicon carbonitride (SiCN) and silicon oxycarbide (SiOC) ceramics which determine the reversible and irreversible lithium storage capacities, long cycling stability and define the major differences in the lithium storage in SiCN and SiOC. For both ceramics, we correlate the first cycle lithiation or delithiation capacity and cycling stability with the amount of SiCN/SiOC matrix or free carbon phase, respectively. The first cycle lithiation and delithiation capacities of SiOC materials do not depend on the amount of free carbon, while for SiCN the capacity increases with the amount of carbon to reach a threshold value at ~50% of carbon phase. Replacing oxygen with nitrogen renders the mixed bond Si-tetrahedra unable to sequester lithium. Lithium is more attracted by oxygen in the SiOC network due to the more ionic character of Si-O bonds. This brings about very high initial lithiation capacities, even at low carbon content. If oxygen is replaced by nitrogen, the ceramic network becomes less attractive for lithium ions due to the more covalent character of Si-N bonds and lower electron density on the nitrogen atom. This explains the significant difference in electrochemical behavior which is observed for carbon-poor SiCN and SiOC materials.

  19. New Insights into Understanding Irreversible and Reversible Lithium Storage within SiOC and SiCN Ceramics

    Directory of Open Access Journals (Sweden)

    Magdalena Graczyk-Zajac

    2015-02-01

    Full Text Available Within this work we define structural properties of the silicon carbonitride (SiCN and silicon oxycarbide (SiOC ceramics which determine the reversible and irreversible lithium storage capacities, long cycling stability and define the major differences in the lithium storage in SiCN and SiOC. For both ceramics, we correlate the first cycle lithiation or delithiation capacity and cycling stability with the amount of SiCN/SiOC matrix or free carbon phase, respectively. The first cycle lithiation and delithiation capacities of SiOC materials do not depend on the amount of free carbon, while for SiCN the capacity increases with the amount of carbon to reach a threshold value at ~50% of carbon phase. Replacing oxygen with nitrogen renders the mixed bond Si-tetrahedra unable to sequester lithium. Lithium is more attracted by oxygen in the SiOC network due to the more ionic character of Si-O bonds. This brings about very high initial lithiation capacities, even at low carbon content. If oxygen is replaced by nitrogen, the ceramic network becomes less attractive for lithium ions due to the more covalent character of Si-N bonds and lower electron density on the nitrogen atom. This explains the significant difference in electrochemical behavior which is observed for carbon-poor SiCN and SiOC materials.

  20. Joining of SiCf/SiC composites for thermonuclear fusion reactors

    International Nuclear Information System (INIS)

    Ferraris, M.; Badini, C.; Montorsi, M.; Appendino, P.; Scholz, H.W.

    1994-01-01

    Due to their favourable radiological behaviour, SiC f /SiC composites are promising structural materials for future use in fusion reactors. A problem to cope with is the joining of the ceramic composite material (CMC) to itself for more complex structures. Maintenance concepts for a reactor made of SiC f /SiC will demand a method of joining. The joining agents should comply with the low-activation approach of the base material. With the acceptable elements Si and Mg, sandwich structures of composite/metal/composite were prepared in Ar atmosphere at temperatures just above the melting points of the metals. Another promising route is the use of joining agents of boro-silicate glasses: their composition can be tailored to obtain softening temperatures of interest for fusion applications. The glassy joint can be easily ceramised to improve thermomechanical properties. The joining interfaces were investigated by SEM-EDS, XRD and mechanical tests. ((orig.))

  1. On the interplay between Si(110) epilayer atomic roughness and subsequent 3C-SiC growth direction

    Science.gov (United States)

    Khazaka, Rami; Michaud, Jean-François; Vennéguès, Philippe; Nguyen, Luan; Alquier, Daniel; Portail, Marc

    2016-11-01

    In this contribution, we performed the growth of a 3C-SiC/Si/3C-SiC layer stack on a Si(001) substrate by means of chemical vapor deposition. We show that, by tuning the growth conditions, the 3C-SiC epilayer can be grown along either the [111] direction or the [110] direction. The key parameter for the growth of the desired 3C-SiC orientation on the Si(110)/3C-SiC(001)/Si(001) heterostructure is highlighted and is linked to the Si epilayer surface morphology. The epitaxial relation between the layers has been identified using X-ray diffraction and transmission electron microscopy (TEM). We showed that, regardless of the top 3C-SiC epilayer orientation, domains rotated by 90° around the growth direction are present in the epilayer. Furthermore, the difference between the two 3C-SiC orientations was investigated by means of high magnification TEM. The results indicate that the faceted Si(110) epilayer surface morphology results in a (110)-oriented 3C-SiC epilayer, whereas a flat hetero-interface has been observed between 3C-SiC(111) and Si(110). The control of the top 3C-SiC growth direction can be advantageous for the development of new micro-electro-mechanical systems.

  2. Gold-coated iron nanoparticles in transparent Si{sub 3}N{sub 4} matrix thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Marcos, J. [Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Madrid (Spain); Cespedes, E. [Keele University, Institute for Science and Technology in Medicine, Guy Hilton Research Centre (United Kingdom); Jimenez-Villacorta, F. [Northeastern University, Department of Chemical Engineering (United States); Munoz-Martin, A. [Universidad Autonoma de Madrid, Centro de Microanalisis de Materiales (Spain); Prieto, C., E-mail: cprieto@icmm.csic.es [Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Madrid (Spain)

    2013-06-15

    A new method to prepare thin films containing gold-coated iron nanoparticles is presented. The ternary Fe-Au-Si{sub 3}N{sub 4} system prepared by sequential sputtering has revealed a progressive variation of microstructures from Au/Fe/Au/Si{sub 3}N{sub 4} multilayers to iron nanoparticles. Microstructural characterization by transmission electron microscopy, analysis of the magnetic properties and probing of the iron short-range order by X-ray absorption spectroscopy confirm the existence of a gold-coated iron nanoparticles of 1-2 nm typical size for a specific range of iron and gold contents per layer in the transparent silicon nitride ceramic matrix.

  3. Si nanoparticle-decorated Si nanowire networks for Li-ion battery anodes

    KAUST Repository

    Hu, Liangbing

    2011-01-01

    We designed and fabricated binder-free, 3D porous silicon nanostructures for Li-ion battery anodes, where Si nanoparticles electrically contact current collectors via vertically grown silicon nanowires. When compared with a Si nanowire anode, the areal capacity was increased by a factor of 4 without having to use long, high temperature steps under vacuum that vapour-liquid-solid Si nanowire growth entails. © 2011 The Royal Society of Chemistry.

  4. Si nanocrystals embedded in SiO2: Optical studies in the vacuum ultraviolet range

    DEFF Research Database (Denmark)

    Pankratov, V.; Osinniy, Viktor; Kotlov, A.

    2011-01-01

    done. It is demonstrated that the experimentally determined blueshift of the photoluminescence excitation and absorption spectra is larger than the theoretical predictions. The influence of point defects in the SiO2 matrix on the optical and luminescence properties of the embedded Si nanocrystals...... is discussed. Moreover, it is demonstrated that no energy transfer takes place between the SiO2 and Si nanocrystals when the excitation energy is higher than the band-to-band transition energy in SiO2....

  5. Effect of MoSi2 addition and particle size of SiC on pressureless sintering behavior and mechanical properties of ZrB2–SiC–MoSi2 composites

    Directory of Open Access Journals (Sweden)

    Mehri Mashhadi

    2016-07-01

    Full Text Available In the present paper, ZrB2–SiC–MoSi2 composites were prepared by pressureless sintering at temperatures of 2050, 2100 and 2150 °C for 1 h under argon atmosphere. In order to prepare composite samples, ZrB2 powder was milled for 2 h, then the reinforcing particles including of micron and nano-sized SiC powder were added. MoSi2 was added to ZrB2 from 0 to 5 wt.% as sintering aid. The mixtures were formed and, after the pyrolysis, they were sintered. Densification, microstructure and mechanical properties of ZrB2–SiC composites were investigated. The shrinkage of samples was measured, and the microstructure of samples was examined using scanning electron microscopy (SEM, equipped with EDS spectroscopy. In order to examine the oxidation behavior, the samples were heat treated at 1500 °C in air and then their weight changes were measured. Room temperature mechanical properties were examined. Mass fraction of MoSi2, particle size of SiC powder and sintering temperature have a great effect on relative density, porosity, shrinkage, hardness, fracture toughness, oxidation resistance and microstructure of these composites. The highest relative density, hardness, fracture toughness and weight changes of 98.7%, 16.17 GPa, 3 MPa m1/2 and 0.28%, respectively, were obtained in ZrB2–10 wt.%SiCnano–4 wt.%MoSi2 composites sintered at 2150 °C.

  6. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  7. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  8. Surface-site-selective study of valence electronic structures of clean Si(100)-2x1 using Si-L23VV Auger electron-Si-2p photoelectron coincidence spectroscopy

    International Nuclear Information System (INIS)

    Kakiuchi, Takuhiro; Nagaoka, Shinichi; Hashimoto, Shogo; Fujita, Narihiko; Tanaka, Masatoshi; Mase, Kazuhiko

    2010-01-01

    Valence electronic structures of a clean Si(100)-2x1 surface are investigated in a surface-site-selective way using Si-L 23 VV Auger electron-Si-2p photoelectron coincidence spectroscopy. The Si-L 23 VV Auger electron spectra measured in coincidence with Si-2p photoelectrons emitted from the Si up-atoms or Si 2nd-layer of Si(100)-2x1 suggest that the position where the highest density of valence electronic states located in the vicinity of the Si up-atoms is shifted by 0.8 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. Furthermore, the valence band maximum in the vicinity of the Si up-atoms is indicated to be shifted by 0.1 eV towards lower binding energy relative to that in the vicinity of the Si 2nd-layer. These results are direct evidence of the transfer of negative charge from the Si 2nd-layer to the Si up-atoms. (author)

  9. Radiation effects and micromechanics of SiC/SiC composites

    International Nuclear Information System (INIS)

    Ghoniem, N.M.

    1992-01-01

    The basic displacement damage process in SiC has been fully explored, and the mechanisms identified. Major modifications have been made to the theory of damage dosimetry in Fusion, Fission and Ion Simulation studies of Sic. For the first time, calculations of displacements per atoms in SiC can be made in any irradiation environment. Applications to irradiations in fusion first wall neutron spectra (ARIES and PROMETHEUS) as well as in fission spectra (HIFIR and FFTF) are given. Nucleation of helium-filled cavities in SiC was studied, using concepts of stability theory to determine the size of the critical nucleus under continuous generation of helium and displacement damage. It is predicted that a bimodal distribution of cavity sizes is likely to occur in heavily irradiated SiC. A study of the chemical compatibility of SiC composite structures with fusion reactor coolants at high-temperatures was undertaken. It was shown that SiC itself is chemically very stable in helium coolants in the temperature range 500--1000 degree C. However, current fiber/matrix interfaces, such as C and BN are not. The fracture mechanics of high-temperature matrix cracks with bridging fibers is now in progress. A fundamentally unique approach to study the propagation and interaction of cracks in a composite was initiated. The main focus of our research during the following period will be : (1) Theory and experiments for the micro-mechanics of high-temperature failure; and (2) Analysis of radiation damage and microstructure evolution

  10. Mechanism of Si intercalation in defective graphene on SiC

    KAUST Repository

    Kaloni, Thaneshwor P.; Cheng, Yingchun; Schwingenschlö gl, Udo; Upadhyay Kahaly, M.

    2012-01-01

    Previously reported experimental findings on Si-intercalated graphene on SiC(0001) seem to indicate the possibility of an intercalation process based on the migration of the intercalant through atomic defects in the graphene sheet. We employ density

  11. Si-FeSi2/C nanocomposite anode materials produced by two-stage high-energy mechanical milling

    Science.gov (United States)

    Yang, Yun Mo; Loka, Chadrasekhar; Kim, Dong Phil; Joo, Sin Yong; Moon, Sung Whan; Choi, Yi Sik; Park, Jung Han; Lee, Kee-Sun

    2017-05-01

    High capacity retention Silicon-based nanocomposite anode materials have been extensively explored for use in lithium-ion rechargeable batteries. Here we report the preparation of Si-FeSi2/C nanocomposite through scalable a two-stage high-energy mechanical milling process, in which nano-scale Si-FeSi2 powders are besieged by the carbon (graphite/amorphous phase) layer; and investigation of their structure, morphology and electrochemical performance. Raman analysis revealed that the carbon layer structure comprised of graphitic and amorphous phase rather than a single amorphous phase. Anodes fabricated with the Si-FeSi2/C showed excellent electrochemical behavior such as a first discharge capacity of 1082 mAh g-1 and a high capacity retention until the 30th cycle. A remarkable coulombic efficiency of 99.5% was achieved within a few cycles. Differential capacity plots of the Si-FeSi2/C anodes revealed a stable lithium reaction with Si for lithiation/delithiation. The enhanced electrochemical properties of the Si-FeSi2/C nanocomposite are mainly attributed to the nano-size Si and stable solid electrolyte interface formation and highly conductive path driven by the carbon layer.

  12. Minimum bar size for flexure testing of irradiated SiC/SiC composite

    International Nuclear Information System (INIS)

    Youngblood, G.E.; Jones, R.H.

    1998-01-01

    This report covers material presented at the IEA/Jupiter Joint International Workshop on SiC/SiC Composites for Fusion structural Applications held in conjunction with ICFRM-8, Sendai, Japan, Oct. 23-24, 1997. The minimum bar size for 4-point flexure testing of SiC/SiC composite recommended by PNNL for irradiation effects studies is 30 x 6 x 2 mm 3 with a span-to-depth ratio of 10/1

  13. Pinning-free GaAs MIS structures with Si interface control layers formed on (4 x 6) reconstructed (0 0 1) surface

    Energy Technology Data Exchange (ETDEWEB)

    Anantathanasarn, Sanguan; Hasegawa, Hideki

    2003-06-30

    (0 0 1)-Oriented GaAs metal-insulator-semiconductor (MIS) structures having a silicon interface control layer (Si ICL) were fabricated on surfaces having Ga-rich (4x6) reconstructions. Si ICL was grown by molecular beam epitaxy. MIS structures were fabricated by partially converting Si ICL to SiN{sub x} by direct nitridation, and further depositing a thick SiO{sub 2} layer on top as the main passivation dielectric by plasma-assisted chemical vapor deposition. Reflection high-energy electron diffraction, in situ X-ray photoelectron spectroscopy and MIS capacitance-voltage (C-V) techniques were used for characterization. The initial surface reconstruction was found to have a surprisingly strong effect on the degree of Fermi level pinning at the MIS interface. In contrast to the standard As-rich (2x4) surface, which results in strongly pinned MIS interfaces, the novel SiO{sub 2}/SiN{sub x}/Si ICL/GaAs MIS structures formed on ''genuine'' (4x6) surface realized complete unpinning of Fermi level over the entire band gap with a minimum interface state density of 4x10{sup 10} cm{sup -2} eV{sup -1} range.

  14. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  15. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  16. Diodes of nanocrystalline SiC on n-/n+-type epitaxial crystalline 6H-SiC

    Science.gov (United States)

    Zheng, Junding; Wei, Wensheng; Zhang, Chunxi; He, Mingchang; Li, Chang

    2018-03-01

    The diodes of nanocrystalline SiC on epitaxial crystalline (n-/n+)6H-SiC wafers were investigated, where the (n+)6H-SiC layer was treated as cathode. For the first unit, a heavily boron doped SiC film as anode was directly deposited by plasma enhanced chemical vapor deposition method on the wafer. As to the second one, an intrinsic SiC film was fabricated to insert between the wafer and the SiC anode. The third one included the SiC anode, an intrinsic SiC layer and a lightly phosphorus doped SiC film besides the wafer. Nanocrystallization in the yielded films was illustrated by means of X-ray diffraction, transmission electronic microscope and Raman spectrum respectively. Current vs. voltage traces of the obtained devices were checked to show as rectifying behaviors of semiconductor diodes, the conduction mechanisms were studied. Reverse recovery current waveforms were detected to analyze the recovery performance. The nanocrystalline SiC films in base region of the fabricated diodes are demonstrated as local regions for lifetime control of minority carriers to improve the reverse recovery properties.

  17. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  18. Structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 phases from first-principles calculations

    Science.gov (United States)

    Li, X. D.; Li, K.; Wei, C. H.; Han, W. D.; Zhou, N. G.

    2018-06-01

    The structural, electronic, elastic, and thermodynamic properties of CaSi, Ca2Si, and CaSi2 are systematically investigated by using first-principles calculations method based on density functional theory (DFT). The calculated formation enthalpies and cohesive energies show that CaSi2 possesses the greatest structural stability and CaSi has the strongest alloying ability. The structural stability of the three phases is compared according to electronic structures. Further analysis on electronic structures indicates that the bonding of these phases exhibits the combinations of metallic, covalent, and ionic bonds. The elastic constants are calculated, and the bulk modulus, shear modulus, Young's modulus, Poisson's ratio, and anisotropy factor of polycrystalline materials are deduced. Additionally, the thermodynamic properties were theoretically predicted and discussed.

  19. Thermophysical and mechanical properties of SiC/SiC composites

    International Nuclear Information System (INIS)

    Zinkle, S.J.; Snead, L.L.

    1998-01-01

    The key thermophysical and mechanical properties for SiC/SiC composites are summarized, including temperature-dependent tensile properties, elastic constants, thermal conductivity, thermal expansion, and specific heat. The effects of neutron irradiation on the thermal conductivity and dimensional stability (volumetric swelling, creep) of SiC is discussed. The estimated lower and upper temperatures limits for structural applications in high power density fusion applications are 400 and 1000 C due to thermal conductivity degradation and void swelling considerations, respectively. Further data are needed to more accurately determine these estimated temperature limits

  20. Effect of interstitial nitrogen on magnetism and entropy change of LaFe11.7Si1.3 compound

    International Nuclear Information System (INIS)

    Balli, M.; Rosca, M.; Fruchart, D.; Gignoux, D.

    2009-01-01

    Crystal structure, magnetism and magnetocaloric properties of LaFe 11.7 Si 1.3 N y (y=0, 1.3) compounds have been studied by X-ray diffraction and magnetic measurements. The LaFe 11.7 Si 1.3 N y compounds present a cubic NaZn 13 -type structure. Insertion of 1.3 nitrogen atoms per LaFe 11.7 Si 1.3 formula increases the lattice parameter and Curie temperature from 11.467 to 11.733 A and from 190 to ∼230 K, respectively. Besides, the absorption of nitrogen drives drastically the magnetic transition from first to second order and accordingly strongly decreases the magnetocaloric effect compared to the parent alloy. Under an external field change of 5 T, the value of isothermal entropy change -ΔS is about 28 and 3.5 J/kg K for LaFe 11.7 Si 1.3 and LaFe 11.7 Si 1.3 N 1.3 , respectively, close to their Curie temperature. However, the relative cooling power RCP(S) of the nitride is about half that of the parent alloy

  1. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  2. Characterization of SiCf/SiC and CNT/SiC composite materials produced by liquid phase sintering

    International Nuclear Information System (INIS)

    Lee, J.K.; Lee, S.P.; Cho, K.S.; Byun, J.H.; Bae, D.S.

    2011-01-01

    This paper dealt with the microstructure and mechanical properties of SiC based composites reinforced with different reinforcing materials. The composites were fabricated using reinforcing materials of carbon nanotubes (CNT) and Tyranno Lox-M SiC chopped fibers. The volume fraction of carbon nanotubes was also varied in this composite system. An Al 2 O 3 -Y 2 O 3 powder mixture was used as a sintering additive in the consolidation of the SiC matrix. The characterization of the composites was investigated by means of SEM and three point bending tests. These composites showed a dense morphology of the matrix region, by the creation of a secondary phase. The composites reinforced with SiC chopped fibers possessed a flexural strength of about 400 MPa at room temperature. The flexural strength of the carbon nanotubes composites had a tendency to decrease with increased volume fraction of the reinforcing material.

  3. Effects of SiC amount on phase compositions and properties of Ti3SiC2-based composites

    Institute of Scientific and Technical Information of China (English)

    蔡艳芝; 殷小玮; 尹洪峰

    2015-01-01

    The phase compositions and properties of Ti3SiC2-based composites with SiC addition of 5%−30% in mass fraction fabricated by in-situ reaction and hot pressing sintering were studied. SiC addition effectively prevented TiC synthesis but facilitated SiC synthesis. The Ti3SiC2/TiC−SiC composite had better oxidation resistance when SiC added quantity reached 20% but poorer oxidation resistance with SiC addition under 15%than Ti3SiC2/TiC composite at higher temperatures. There were more than half of the original SiC and a few Ti3SiC2 remaining in Ti3SiC2/TiC−SiC with 20% SiC addition, but all constituents in Ti3Si2/TiC composite were oxidized after 12 h in air at 1500 °C. The oxidation scale thickness of TS30, 1505.78μm, was near a half of that of T, 2715μm, at 1500 °C for 20 h. Ti3SiC2/TiC composite had a flexural strength of 474 MPa, which was surpassed by Ti3SiC2/TiC−SiC composites when SiC added amount reached 15%. The strength reached the peak of 518 MPa at 20%SiC added amount.

  4. Laser cladding of Al-Si/SiC composite coatings : Microstructure and abrasive wear behavior

    NARCIS (Netherlands)

    Anandkumar, R.; Almeida, A.; Vilar, R.; Ocelik, V.; De Hosson, J.Th.M.

    2007-01-01

    Surface coatings of an Al-Si-SiC composite were produced on UNS A03560 cast Al-alloy substrates by laser cladding using a mixture of powders of Al-12 wt.% Si alloy and SiC. The microstructure of the coatings depends considerably on the processing parameters. For a specific energy of 26 MJ/m2 the

  5. Synthesis, characterization, and wear and friction properties of variably structured SiC/Si elements made from wood by molten Si impregnation

    DEFF Research Database (Denmark)

    Dhiman, Rajnish; Rana, Kuldeep; Bengu, Erman

    2012-01-01

    We have synthesized pre-shaped SiC/Si ceramic material elements from charcoal (obtained from wood) by impregnation with molten silicon, which takes place in a two-stage process. In the first process, a porous structure of connected micro-crystals of β-SiC is formed, while, in the second process...

  6. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  7. Microhardness evaluation alloys Hf-Si-B; Avaliacao de microdureza de ligas Hf-Si-B

    Energy Technology Data Exchange (ETDEWEB)

    Gigolotti, Joao Carlos Janio; Costa, Eliane Fernandes Brasil [Centro Universitario de Volta Redonda (UNIFOA), Volta Redonda, RJ (Brazil); Nunes, Carlos Angelo; Rocha, Elisa Gombio; Coelho, Gilberto Carvalho, E-mail: carlosjanio@uol.com.br, E-mail: eliane-costabrasi@hotmail.com, E-mail: cnunes@demar.eel.usp.br, E-mail: elisarocha@alunos.eel.usp.br, E-mail: coelho@demar.eel.usp.br [Universidade de Sao Paulo (USP), Lorena, SP (Brazil)

    2014-08-15

    The technological advance has generated increasing demand for materials that can be used under high temperature, what includes intermetallic MR-Si-B (MR = refractory metal) alloys with multiphase structures, that can also be applied in oxide environments. Thus, this work had for objective the micro hardness study of the Hf-Si-B system alloys, heat treated at 1600 deg C, in the Hf rich region. Hf-Si-B alloys had been produced with blades of Hf (min. 99.8%), Si (min. 99.998%) and B (min. 99.5%), in the voltaic arc furnace and heat treated at 1600 deg C under argon atmosphere. The relationship of the phases had been previously identified by X-ray diffraction and contrast in backscattered electron imaging mode. The alloys had their hardness analyzed by method Vickers (micro hardness) with load of 0.05 kgf and 0.2 kgf and application time of 20 s. The results, obtained from the arithmetic mean of measurements for each alloy on the heterogeneous region, showed a mean hardness of 11.08 GPA, with small coefficient of variation of 3.8%. The borides HfB2 (19.34 GPa) e HfB - 11.76 GPa, showed the hardness higher than the silicides Hf2Si (8.57 GPa), Hf5Si3 (9.63 GPa), Hf3Si2 (11.66 GPa), Hf5Si4 (10.00 GPa), HfSi (10.02 GPa) e HfSi2 (8.61 GPa). (author)

  8. Fiber/matrix interfaces for SiC/SiC composites: Multilayer SiC coatings

    Energy Technology Data Exchange (ETDEWEB)

    Halverson, H.; Curtin, W.A. [Virginia Polytechnic Institute and State Univ., Blacksburg, VA (United States)

    1996-08-01

    Tensile tests have been performed on composites of CVI SiC matrix reinforced with 2-d Nicalon fiber cloth, with either pyrolitic carbon or multilayer CVD SiC coatings [Hypertherm High-Temperature Composites Inc., Huntington Beach, CA.] on the fibers. To investigate the role played by the different interfaces, several types of measurements are made on each sample: (i) unload-reload hysteresis loops, and (ii) acoustic emission. The pyrolitic carbon and multilayer SiC coated materials are remarkably similar in overall mechanical responses. These results demonstrate that low-modulus, or compliant, interface coatings are not necessary for good composite performance, and that complex, hierarchical coating structures may possibly yield enhanced high-temperature performance. Analysis of the unload/reload hysteresis loops also indicates that the usual {open_quotes}proportional limit{close_quotes} stress is actually slightly below the stress at which the 0{degrees} load-bearing fibers/matrix interfaces slide and are exposed to atmosphere.

  9. Effect of heat treatment on microstructure and mechanical properties of PIP-SiC/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Shuang, E-mail: zhsh6007@126.com [Key Laboratory of Advanced Ceramic Fibres and Composites, College of Aerospace and Materials Engineering, National University of Defense Technology, Changsha 410073 (China); School of Mechanical, Aerospace, and Civil Engineering, University of Manchester, Manchester M13 9PL (United Kingdom); Zhou, Xingui; Yu, Jinshan [Key Laboratory of Advanced Ceramic Fibres and Composites, College of Aerospace and Materials Engineering, National University of Defense Technology, Changsha 410073 (China); Mummery, Paul [School of Mechanical, Aerospace, and Civil Engineering, University of Manchester, Manchester M13 9PL (United Kingdom)

    2013-01-01

    Continuous SiC fibre reinforced SiC matrix composites (SiC/SiC) have been studied as materials for heat resistant and nuclear applications. Thermal stability is one of the key issues for SiC/SiC composites. In this study, 3D SiC/SiC composites are fabricated via the polymer impregnation and pyrolysis (PIP) process, and then heat treated at 1400 Degree-Sign C, 1600 Degree-Sign C and 1800 Degree-Sign C in an inert atmosphere for 1 h, respectively. The effect of heat treatment on microstructure and mechanical properties of the composites is investigated. The results indicate that the mechanical properties of the SiC/SiC composites are significantly improved after heat treatment at 1400 Degree-Sign C mainly because the mechanical properties of the matrix are greatly improved due to crystallisation. With the increasing of heat treatment temperature, the properties of the composites are conversely decreased because of severe damage of the fibres and the matrix.

  10. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  11. Hot Corrosion Behavior of Stainless Steel with Al-Si/Al-Si-Cr Coating

    Science.gov (United States)

    Fu, Guangyan; Wu, Yongzhao; Liu, Qun; Li, Rongguang; Su, Yong

    2017-03-01

    The 1Cr18Ni9Ti stainless steel with Al-Si/Al-Si-Cr coatings is prepared by slurry process and vacuum diffusion, and the hot corrosion behavior of the stainless steel with/without the coatings is studied under the condition of Na2SO4 film at 950 °C in air. Results show that the corrosion kinetics of stainless steel, the stainless steel with Al-Si coating and the stainless steel with Al-Si-Cr coating follow parabolic laws in several segments. After 24 h corrosion, the sequence of the mass gain for the three alloys is the stainless steel with Al-Si-Cr coating coating coating. The corrosion products of the three alloys are layered. Thereinto, the corrosion products of stainless steel without coating are divided into two layers, where the outside layer contains a composite of Fe2O3 and FeO, and the inner layer is Cr2O3. The corrosion products of the stainless steel with Al-Si coating are also divided into two layers, of which the outside layer mainly consists of Cr2O3, and the inner layer is mainly SiO2. The corrosion film of the stainless steel with Al-Si-Cr coating is thin and dense, which combines well with substrate. Thereinto, the outside layer is mainly Cr2O3, and the inside layer is Al2O3. In the matrix of all of the three alloys, there exist small amount of sulfides. Continuous and protective films of Cr2O3, SiO2 and Al2O3 form on the surface of the stainless steel with Al-Si and Al-Si-Cr coatings, which prevent further oxidation or sulfide corrosion of matrix metals, and this is the main reason for the much smaller mass gain of the two alloys than that of the stainless steel without any coatings in the 24 h hot corrosion process.

  12. Optimum structures for gamma-ray radiation resistant SiC-MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Mitomo, Satoshi; Matsuda, Takuma; Murata, Koichi; Yokoseki, Takashi [Saitama University, Sakuraku (Japan); National Institutes for Quantum and Radiological Science and Technology (QST), Takasaki (Japan); Makino, Takahiro; Takeyama, Akinori; Onoda, Shinobu; Ohshima, Takeshi [National Institutes for Quantum and Radiological Science and Technology (QST), Takasaki (Japan); Okubo, Shuichi; Tanaka, Yuki; Kandori, Mikio; Yoshie, Toru [Sanken Electric Co., Ltd., Niiza, Saitama (Japan); Hijikata, Yasuto [Saitama University, Sakuraku (Japan)

    2017-04-15

    In order to develop highly radiation-tolerant SiC MOSFETs, we investigated the dependence of the gamma-ray radiation response on the gate oxide thickness and nitridation processes, used for oxide growth and p-well implantation. SiC MOSFETs with a thick gate oxide (60 nm) showed a rapid decrease in the threshold voltage shift ΔV{sub th} of more than 400 kGy, and transitioned to the normally-on state at lower doses than those with a thin gate oxide (35 nm). The MOSFETs with gate oxides treated with lower concentrations of N{sub 2}O (10%) demonstrated a higher radiation tolerance (ΔV{sub th}, channel mobility, and subthreshold swing) than with a 100% N{sub 2}O treatment. The MOSFETs with more p-well implantation steps (three steps) showed a smaller negative shift of the threshold voltage relative to those implanted with two steps. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Low-temperature Au/a-Si wafer bonding

    International Nuclear Information System (INIS)

    Jing, Errong; Xiong, Bin; Wang, Yuelin

    2011-01-01

    The Si/SiO 2 /Ti/Au–Au/Ti/a-Si/SiO 2 /Si bonding structure, which can also be used for the bonding of non-silicon material, was investigated for the first time in this paper. The bond quality test showed that the bond yield, bond repeatability and average shear strength are higher for this bonding structure. The interfacial microstructure analysis indicated that the Au-induced crystallization of the amorphous silicon process leads to big Si grains extending across the bond interface and Au filling the other regions of the bond interface, which result into a strong and void-free bond interface. In addition, the Au-induced crystallization reaction leads to a change in the IR images of the bond interface. Therefore, the IR microscope can be used to evaluate and compare the different bond strengths qualitatively. Furthermore, in order to verify the superiority of the bonding structure, the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si (i.e. no Ti/Au layer on the a-Si surface) and Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structures (i.e. Au thermocompression bonding) were also investigated. For the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si bonding structure, the poor bond quality is due to the native oxide layer on the a-Si surface, and for the Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structure, the poor bond quality is caused by the wafer surface roughness which prevents intimate contact and limits the interdiffusion at the bond interface.

  14. Corroboration of Raman and AFM mapping to study Si nanocrystals embedded in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Rani, Ekta, E-mail: ades.ekta@gmail.com [Laser Physics Applications Section, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Ingale, Alka A. [Laser Physics Applications Section, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Chaturvedi, A. [Laser Material Processing Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Joshi, M.P.; Kukreja, L.M. [Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Laser Material Processing Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India)

    2016-07-05

    Raman and atomic force microscopy (AFM) mapping on the same selected area are used to get unique information about the morphology of Si nanocrystals (NCs) embedded in SiO{sub 2}, which is difficult to obtain by any other conventional technique. The sensitivity of Raman spectroscopy to surface/interface and confinement effects in NCs is effectively used to correlate the Raman intensity profile in Raman mapping with the topography obtained from AFM to understand that Si NCs are clustered in i) smaller clusters (∼100 nm) organized closely in two dimensions (2D) and ii) big (∼2 μm) three dimensional (3D) isolated clusters, although the growth is carried out to be multilayer (Si/SiO{sub 2}). Raman mapping performed by varying the focal spot along the depth shows stacking of larger (>∼60 Å) to smaller sizes (<∼40 Å) Si NCs from bottom to top for some clusters. To understand the observed morphologies, further study of specially grown Si–SiO{sub 2} nanocomposites is performed, which suggest formation of smaller Si NCs at the top due to annealing at 800 °C in Si rich SiO{sub 2} and possible existence of thermal gradient in an insulating matrix of SiO{sub 2.} Larger Si NCs are formed in the laser induced plume (plasma) itself. - Graphical abstract: a) Schematic showing the expected stacking of Si NCs obtained from Raman mapping, performed by changing focal spot along the depth, b) top, c) middle and d) bottom region of the cluster. - Highlights: • Methodology is developed to obtain Raman and AFM mapping at same selected area. • To get unique information, difficult to obtain using other conventional techniques. • Clusters (∼100 nm–2 μm) of Si nanocrystals embedded in SiO{sub 2} matrix are formed. • Stacking of Si nanocrystals from bottom to top (10–1 nm) is observed in some clusters. • Stacking of Si nanocrystals is understood as due to annealing and thermal gradient.

  15. Self-assembled patches in PtSi/n-Si (111) diodes

    Science.gov (United States)

    Afandiyeva, I. M.; Altιndal, Ş.; Abdullayeva, L. K.; Bayramova, A. İ.

    2018-05-01

    Using the effect of the temperature on the capacitance–voltage (C–V) and conductance–voltage (G/ω–V) characteristics of PtSi/n-Si (111) Schottky diodes the profile of apparent doping concentration (N Dapp), the potential difference between the Fermi energy level and the bottom of the conduction band (V n), apparent barrier height (Φ Bapp), series resistance (R s) and the interface state density N ss have been investigated. From the temperature dependence of (C–V) it was found that these parameters are non-uniformly changed with increasing temperature in a wide temperature range of 79–360 K. The voltage and temperature dependences of apparent carrier distribution we attributed to the existence of self-assembled patches similar the quantum wells, which formed due to the process of PtSi formation on semiconductor and the presence of hexagonal voids of Si (111).

  16. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  17. Protection and systemic translocation of siRNA following oral administration of chitosan/siRNA nanoparticles

    DEFF Research Database (Denmark)

    Gonzalez, Borja Ballarin; Dagnæs-Hansen, Frederik; Fenton, Robert A.

    2013-01-01

    , gastrointestinal (GI) deposition, and translocation into peripheral tissue of nonmodified siRNA after oral gavage of chitosan/siRNA nanoparticles in mice. In contrast to naked siRNA, retained structural integrity and deposition in the stomach, proximal and distal small intestine, and colon was observed at 1 and 5...... hours for siRNA within nanoparticles. Furthermore, histological detection of fluorescent siRNA at the apical regions of the intestinal epithelium suggests mucoadhesion provided by chitosan. Detection of intact siRNA in the liver, spleen, and kidney was observed 1 hour after oral gavage, with an organ...

  18. Self-organization of nanocluster δ-layers at ion-beam-mixed Si-SiO2 interfaces

    International Nuclear Information System (INIS)

    Roentzsch, L.

    2003-11-01

    This diploma thesis presents experimental evidence of a theoretical concept which predicts the self-organization of δ-layers of silicon nanoclusters in the buried oxide of a MOS-like structure. This approach of ''bottom-up'' structuring might be of eminent importance in view of future semiconductor memory devices. Unconventionally, a 15 nm thin SiO 2 layer, which is enclosed by a 50 nm poly-Si capping layer and the Si substrate, is irradiated with Si + ions. Ion impact drives the system to a state far from thermodynamic equilibrium, i.e. the local composition of the target is modified to a degree unattainable in common processes. A region of SiO x (x 2 matrix at a distance of ∼3 nm from the Si substrate. The physical mechanisms of ion mixing of the two Si-SiO 2 interfaces and subsequent phase separation, which result in the desired sample structure, are elucidated from the viewpoint of computer simulations. In addition, experimental evidence is presented based on various methods, including TEM, RBS, and SIMS. A novel method of Si nanocluster decoration is of particular importance which applies Ge as contrast enhancing element in TEM studies of tiny Si nanoclusters. (orig.)

  19. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  20. Stability of Ta-encapsulating Si clusters on Si(111)-(7x7) surfaces

    CERN Document Server

    Uchida, N; Miyazaki, T; Kanayama, T

    2003-01-01

    Tantalum containing Si cluster ions TaSi sub 1 sub 0 sub - sub 1 sub 3 H sub x sup + were synthesized in an ion trap and deposited onto Si(111)-(7x7) surfaces with a kinetic energy of 18 eV. Scanning tunnelling microscope observations revealed that the clusters adsorbed on the surface without decomposition, consistent with ab initio calculation results, that predicted the clusters would have stable Si-cage structures with a Ta atom at the centre. (rapid communication)