WorldWideScience

Sample records for si ions bombardment

  1. Angular and energy dependence of ion bombardment of Mo/Si multilayers

    DEFF Research Database (Denmark)

    Voorma, H.J.; Louis, E.; Bijkerk, F.

    1997-01-01

    The process of ion bombardment is investigated for the fabrication of Mo/Si multilayer x-ray mirrors using e-beam evaporation. The ion treatment is applied immediately after deposition of each of the Si layers to smoothen the layers by removing an additional thickness of the Si layer. In this stu......, the angular dependence of the etch yield, obtained from the in situ reflectivity measurements, is investigated in order o determine the optimal ion beam parameters for the production of multilayer mirrors on curved substrates....

  2. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  3. Origin of Si(LMM) Auger Electron Emission from Silicon and Si-Alloys by keV Ar+ Ion Bombardment

    Science.gov (United States)

    Iwami, Motohiro; Kim, Su Chol; Kataoka, Yoshihide; Imura, Takeshi; Hiraki, Akio; Fujimoto, Fuminori

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar+ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  4. Surface damage studies of ETFE polymer bombarded with low energy Si ions (≤100 keV)

    International Nuclear Information System (INIS)

    Minamisawa, Renato Amaral; Almeida, Adelaide De; Budak, Satilmis; Abidzina, Volha; Ila, Daryush

    2007-01-01

    Surface studies of ethylenetetrafluoroethylene (ETFE), bombarded with Si in a high-energy tandem Pelletron accelerator, have recently been reported. Si ion bombardment with a few MeV to a few hundred keV energies was shown to be sufficient to produce damage on ETFE film. We report here the use of a low energy implanter with Si ion energies lower than 100 keV, to induce changes on ETFE films. In order to determine the radiation damage, ETFE bombarded films were simulated with SRIM software and analyzed with optical absorption photometry (OAP), Raman and Fourier transform infrared-attenuated total reflectance (FTIR-ATR) spectroscopy to show quantitatively the physical and chemical property changes. Carbonization occurs following higher dose implantation, and hydroperoxides were formed following dehydroflorination of the polymer

  5. Origin of Si(LMM) Auger electron emission from silicon and Si-alloys by keV Ar/sup +/ ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Iwami, M; Kim, S; Kataoka, Y; Imura, T; Hiraki, A [Osaka Univ., Suita (Japan). Faculty of Engineering

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar/sup +/ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  6. Impact of Ion Bombardment on the Structure and Magnetic Properties of Fe78Si13B9 Amorphous Alloy

    Science.gov (United States)

    Wu, Yingwei; Peng, Kun

    2018-06-01

    Amorphous Fe78Si13B9 alloy ribbons were bombarded by ion beams with different incident angles ( θ ). The evolution of the microstructure and magnetic properties of ribbons caused by ion beam bombardment was investigated by x-ray diffraction, transmission electron microscope and vibrating sample magnetometer analysis. Low-incident-angle bombardment led to atomic migration in the short range, and high-incident-angle bombardment resulted in the crystallization of amorphous alloys. Ion bombardment induces magnetic anisotropy and affects magnetic properties. The effective magnetic anisotropy was determined by applying the law of approach to saturation, and it increased with the increase of the ion bombardment angle. The introduction of effective magnetic anisotropy will reduce the permeability and increase the relaxation frequency. Excellent high-frequency magnetic properties can be obtained by selecting suitable ion bombardment parameters.

  7. Topography development on selected inert gas and self-ion bombarded Si

    International Nuclear Information System (INIS)

    Vishnyakov, V.; Carter, G.; Goddard, D.T.; Nobes, M.J.

    1995-01-01

    An AFM and SEM study of the topography induced by 20 keV Si + , Ar + and Xe + ion bombardment of Si at 45 o incidence angles and for ion fluences between 10 17 and 10 20 cm -2 has been undertaken at room temperature. All species generate an atomic scale random roughness, the magnitude of which does not increase extensively with ion fluence, suggesting the operation of a local relaxation process. This nanometre scale roughness forms, for Ar and Xe, a background for coarser micrometre scale structures such as pits, chevrons and waves. Apart from isolated etch pits Si + irradiation generates no repetitive micrometre scale structures. Xe + irradiation produces well developed transverse waves while Ar + irradiation results in isolated chevron-like etch pit trains and ripple patches. This latter pattern evolves, with increasing ion fluence, to a corrugated facet structure. The reasons for the different behaviours are still not fully clarified. (author)

  8. Ion bombardment induced surface topography modification of clean and contaminated single crystal Cu and Si

    International Nuclear Information System (INIS)

    Lewis, G.W.; Kiriakides, G.; Carter, G.; Nobes, M.J.

    1982-01-01

    Among the several factors which lead to depth resolution deterioration during sputter profiling, surface morphological modification resulting from local differences of sputtering rate can be important. This paper reports the results of direct scanning, electron microscopic studies obtained quasi-dynamically during increasing fluence ion bombardment of the evolution of etch pit structures on Si and Cu, and how such elaboration may be suppressed. It also reports on the elaboration of contaminant-induced cone generation for different ion species bombardment. The influence of such etch pit and cone generation on achievable depth resolution is assessed. (author)

  9. Effects of MeV Si ions bombardment on the thermoelectric generator from SiO{sub 2}/SiO{sub 2} + Cu and SiO{sub 2}/SiO{sub 2} + Au nanolayered multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Chacha, J., E-mail: chacha_john79@hotmail.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Smith, C., E-mail: cydale@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States); Pugh, M., E-mail: marcuspughp@yahoo.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Colon, T. [Department of Mechanical Engineering, Alabama A and M University, Normal, AL (United States); Heidary, K., E-mail: kaveh.heidary@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Johnson, R.B., E-mail: barry@w4wb.com [Department of Physics, Alabama A and M University, Normal, AL (United States); Ila, D., E-mail: ila@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States)

    2011-12-15

    The defects and disorder in the thin films caused by MeV ions bombardment and the grain boundaries of these nanoscale clusters increase phonon scattering and increase the chance of an inelastic interaction and phonon annihilation. We prepared the thermoelectric generator devices from 100 alternating layers of SiO{sub 2}/SiO{sub 2} + Cu multi-nano layered superlattice films at the total thickness of 382 nm and 50 alternating layers of SiO{sub 2}/SiO{sub 2} + Au multi-nano layered superlattice films at the total thickness of 147 nm using the physical vapor deposition (PVD). Rutherford Backscattering Spectrometry (RBS) and RUMP simulation have been used to determine the stoichiometry of the elements of SiO{sub 2}, Cu and Au in the multilayer films and the thickness of the grown multi-layer films. The 5 MeV Si ions bombardments have been performed using the AAMU-Center for Irradiation of Materials (CIM) Pelletron ion beam accelerator to make quantum (nano) dots and/or quantum (quantum) clusters in the multilayered superlattice thin films to decrease the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and cross plane electrical conductivity. To characterize the thermoelectric generator devices before and after Si ion bombardments we have measured Seebeck coefficient, cross-plane electrical conductivity, and thermal conductivity in the cross-plane geometry for different fluences.

  10. Ion bombardment induced ripple topography on amorphous solids

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Paton, F.; Williams, J.S.

    1977-01-01

    Earlier studies of the ion bombardment induced ripple morphology on the surfaces of amorphous solids when compared with geomorphological effects are shown to possess many similar features. The present study, with 40 keV Ar + ion bombarded Si suggests that analogies are incomplete, however, and that greater similarities with the process of macroscopic sandblasting (corrosion) exist. It is shown that the genesis of wave like structures on Si is from isolated features, which have the appearance of ripple trains, which are faceted. It is suggested that these features result from particle flux enhancement processes near surface dimples generated by stress induced surface lifting. (author)

  11. Secondary ion yield changes in Si and GaAs due to topography changes during O+2 or Cs+ ion bombardment

    International Nuclear Information System (INIS)

    Stevie, F.A.; Kahora, P.M.; Simons, D.S.; Chi, P.

    1988-01-01

    Changes in secondary ion yields of matrix and dopant species have been correlated with changes in surface topography during O + 2 bombardment of Si and GaAs. In Si, profiles were measured in (100) wafers at 6- and 8-keV impact energy. At 6 keV, a yield increase of about 70% occurred for Si + over a depth range of 2.5 to 3.5 μm, with changes in other species ranging from a decrease of ∼20% for Si + 3 to an increase of more than 25% for O + . The development of a rippled surface topography was observed in scanning electron micrographs over the same depth range. Similar effects occurred over a 3--5 μm depth range for 8-keV ions, and in (111) silicon at a depth of 3 to 4 μm for 6-keV ions. No differences were noted between p- and n-type silicon, or implanted and unimplanted silicon. In GaAs, profiles were measured in (100) wafers at 2.5-, 5.5-, and 8-keV impact energies. At 8 keV, a yield increase of about 70% was found for GaO + in the range 0.6--1.0 μm, with smaller changes for other matrix species. At 5.5 keV, similar effects were observed, but over a depth interval of 0.3 to 0.7 μm. No yield changes were detected at 2.5-keV impact energy. The yield changes at the higher energies were again correlated with the onset of changes in topography. No change in ion yield or surface topography was noted for Cs + bombardment of Si or GaAs. The topography and ion yield changes are affected by the angle of incidence and, for Si, the oxygen coverage. The results show that the practice of normalizing secondary ion mass spectrometry dopant profiles to a matrix signal must be modified for situations where matrix yield changes occur

  12. Evolution of atomic-scale surface structures during ion bombardment: A fractal simulation

    International Nuclear Information System (INIS)

    Shaheen, M.A.; Ruzic, D.N.

    1993-01-01

    Surfaces of interest in microelectronics have been shown to exhibit fractal topographies on the atomic scale. A model utilizing self-similar fractals to simulate surface roughness has been added to the ion bombardment code TRIM. The model has successfully predicted experimental sputtering yields of low energy (less then 1000 eV) Ar on Si and D on C using experimentally determined fractal dimensions. Under ion bombardment the fractal surface structures evolve as the atoms in the collision cascade are displaced or sputtered. These atoms have been tracked and the evolution of the surface in steps of one monolayer of flux has been determined. The Ar--Si system has been studied for incidence energies of 100 and 500 eV, and incidence angles of 0 degree, 30 degree, and 60 degree. As expected, normally incident ion bombardment tends to reduce the roughness of the surface, whereas large angle ion bombardment increases the degree of surface roughness. Of particular interest though, the surfaces are still locally self-similar fractals after ion bombardment and a steady state fractal dimension is reached, except at large angles of incidence

  13. Enhancement of sp3 hybridized C in amorphous carbon films by Ar ion bombardment and Si incorporation

    International Nuclear Information System (INIS)

    Jung, Hae-Suk; Park, Hyung-Ho; Mendieta, I.R.; Smith, D.A.

    2003-01-01

    We report an effective method of increasing the sp 3 hybridization fraction in sputtered amorphous carbon (a-C) film by the combination of Ar ion bombardment and Si incorporation. In the deposition of an a-C film, Ar ion bombardment by controlling the applied bias voltage plays a role in creating high stress in film and causes the local bonding configuration to change to a sp 3 hybridized bond. Simultaneously, the incorporated Si in an a-C network breaks the sp 2 hybridized bonded ring and promotes the formation of a sp 3 hybridized bond. This enhancement of the sp 3 hybridized bonding characteristic is maximized for an a-C film with 23 at. % of Si and 100-150 V of applied bias voltage. In this region, the increase of resistivity, optical band gap, and mechanical hardness of a-C is attributed to the reduction of the sp 2 hybridized bonded ring and increased fraction of the sp 3 hybridized bond. However, at a higher bias voltage above 150 V, the enhancement effect is reduced due to the resputtering and thermally activated reconversion of a sp 3 to a sp 2 hybridized bond

  14. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  15. Si(LMM) Auger electron emission from Si alloys by keV Ar/sup +/ ion bombardment, new effect and application

    Energy Technology Data Exchange (ETDEWEB)

    Hiraki, A; Kim, S; Imura, T; Iwami, M [Osaka Univ., Suita (Japan). Faculty of Engineering

    1979-09-01

    Si(LMM) Auger spectra excited by keV ion bombardment were studied in Si alloyed with several elements (Au, Cu, Pd, Ni, C, and H). The spectra differed completely from those of pure Si. The main characteristics are (1) the spectra are composed of two well-separated peaks (88 and 92 eV) called the atomic-like peak (88 eV) and the bulk-like peak (92 eV); and (2) the atomic-like peak is enhanced with respect to the bulk-like peak, and this enhancement becomes more obvious as the concentration of partner elements of the alloys are increased. The possible application of the present phenomena is proposed as a technique for detecting the homogeneity of Si alloy films in the three-dimensional sense - as an example, the three-dimensional distribution of hydrogen in hydrogenated amorphous silicon (a-Si-H).

  16. Anomalous microstructural changes in III-nitrides under ion bombardment

    International Nuclear Information System (INIS)

    Kucheyev, S.O.; Williams, J.S.; Jagadish, C.

    2002-01-01

    Full text: Group-III nitrides (GaN, AlGaN, and InGaN) are currently a 'hot topic' in the physics and material research community due to very important technological applications of these materials in (opto)electronics. In the fabrication of III-nitride-based devices, ion bombardment represents a very attractive processing tool. However, ion-beam-produced lattice disorder and its undesirable consequences limit technological applications of ion implantation. Hence, studies of ion-beam-damage processes in Ill-nitrides are not only physically interesting but also technologically important. In this study, wurtzite GaN, AlGaN, and InGaN films exposed to ion bombardment under a wide range of irradiation conditions are studied by a combination of transmission electron microscopy (TEM), environmental scanning electron microscopy (ESEM), energy dispersive x-ray spectrometry (EDS), atomic force microscopy (AFM), cathodoluminescence (CL), and Rutherford backscattering/channeling (RBS/C) spectrometry. Results show that, unlike the situation for mature semiconductors such as Si and GaAs, Ill-nitrides exhibit a range of intriguing behavior involving extreme microstructural changes under ion bombardment. In this presentation, the following aspects are discussed: (i) formation of lattice defects during ion bombardment, (ii) ion-beam-induced phase transformations, (iii) ion-beam-produced stoichiometric imbalance and associated material decomposition, and (iv) an application of charging phenomena during ESEM imaging for studies of electrical isolation in GaN by MeV light ion irradiation. Emphasis is given to the (powerful) application of electron microscopy techniques for the understanding of physical processes occurring in Ill-nitrides under ion bombardment. Copyright (2002) Australian Society for Electron Microscopy Inc

  17. The crystalline-to-amorphous transition in ion-bombarded silicon

    International Nuclear Information System (INIS)

    Mueller, G.; Kalbitzer, S.

    1980-01-01

    Hydrogen-free, but defect-rich a-Si can be obtained by ion bombardment of c-Si. The formation of such material has been studied in detail using carrier-removal measurements in the characterization of the bombardment damage. In order to develop an overall view of the disordering process these data are discussed together with results obtained on similar films by Rutherford back-scattering, electron spin resonance, electron microscopy and optical measurements. It is concluded that amorphous material generally evolves from an intermediate crystalline phase supersaturated with point defects. The transition occurs locally at the sites of energetic ion impacts into critically predamaged crystalline material. As a consequence, an amorphous layer is built up from small clusters with dimensions typically of the order of 50 A. From the net expansion of the bombarded layers it is concluded that regions of lower atomic density are locally present, very likely a consequence of a structural mismatch between individual amorphous clusters. In this way a heterogeneous defect structure may build up in these films which determines their electronic properties. (author)

  18. Peculiarities of phase transformations in molybdenum-silicon system under ion bombardment

    International Nuclear Information System (INIS)

    Gurskij, L.I.; Zelenin, V.A.; Bobchenok, Yu.L.

    1984-01-01

    The problems of effect of ion bombardment and thermal treatment on the mechanisms of formation of transition layers and structural transformations in the molybdenum-silicon system, where the interface is subjected to ion bombardment through a film of molybdenum, are considered. The method of electron diffraction analysis has been applied to establish that at the molybdenum-silicon interface a transitional region appears during irradiation which has a semiamorphous structure at the doses up to 8x10 14 ion/cm 2 , while at higher doses it transforms into polycrystalline intermediate layer which consists of MoB and the compound close in composition to MoSisub(0.65). Due to thermal treatment for 60873 K a large-grain phase (Mo 3 Si+MoSi 2 ) appears in the transition layer below which a large-grain silicon layer is placed

  19. Effects of low and high energy ion bombardment on ETFE polymer

    Science.gov (United States)

    Minamisawa, R. A.; De Almeida, A.; Abidzina, V.; Parada, M. A.; Muntele, I.; Ila, D.

    2007-04-01

    The polymer ethylenetetrafluoroethylene (ETFE) is used as anti-adherent coatings for food packages and radiation dosimeters. In this work, we compare the damage induced in ETFE bombarded with 100 keV Si ions with that induced by 1 MeV proton bombardment. The damage depends on the type, energy and intensity of the irradiation. Irradiated films were analyzed with optical absorption photospectrometry, Raman spectroscopy and Fourier transform infrared (FTIR) spectroscopy to determine the chemical nature of the structural changes caused by ion irradiation. Computer simulations were performed to evaluate the radiation damage.

  20. Angular dependence of secondary ion emission from silicon bombarded with inert gas ions

    International Nuclear Information System (INIS)

    Wittmaack, K.

    1984-01-01

    The emission of positive and negative, atomic and molecular secondary ions sputtered from silicon has been studied under ultrahigh vacuum conditions. The sample was bombarded with 2-12 keV Ar + and Xe + ions at angles of incidence between 0 0 and 60 0 to the surface normal. The angular dependence of the secondary ion intensity as well as the energy spectra of Si + and Si - were found to differ significantly. The effect is attributed mostly do differences in the rate of neutralization. The stability of molecular ions appears to be independent of the charge state. Supporting evidence is provided for the idea that multiply charged secondary ions are due to Auger de-excitation of sputtered atoms in vacuum. (orig.)

  1. Ion bombardment modification of surfaces

    International Nuclear Information System (INIS)

    Auciello, O.

    1984-01-01

    An historical overview of the main advances in the understanding of bombardment-induced surface topography is presented. The implantation and sputtering mechanisms which are relevant to ion bombardment modification of surfaces and consequent structural, electronic and compositional changes are described. Descriptions of plasma and ion-beam sputtering-induced film formation, primary ion-beam deposition, dual beam techniques, cluster of molecule ion-beam deposition, and modification of thin film properties by ion bombardment during deposition are presented. A detailed account is given of the analytical and computational modelling of topography from the viewpoint of first erosion theory. Finally, an account of the possible application and/or importance of textured surfaces in technologies and/or experimental techniques not considered in previous chapters is presented. refs.; figs.; tabs

  2. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  3. Adhesion of silver films to ion-bombarded alumina

    International Nuclear Information System (INIS)

    Erck, R.A.; Fenske, G.R.

    1990-01-01

    This paper reports on silver films deposited on alumina substrates using ion bombardment. Adhesion strength was measured as a function of deposition conditions, sputter-cleaning time, and bombarding ion species, using a pull-type adhesion tester. Argon- and argon/oxygen-ion sputtering produced large increases in adhesion strength, with the greatest increases occurring for oxygen-ion bombardment. Adhesion strength increased monotonically as a function of ion sputtering time. At a given deposition rate, further enhancement of adhesion is seen with concurrent ion bombardment

  4. A model for the build-up of disordered material in ion bombarded Si

    International Nuclear Information System (INIS)

    Nelson, R.S.

    1977-01-01

    A new model based on experimental observation is developed for the build-up of disordered material in ion bombarded silicon. The model assumes that disordered zones are created in a background of migrating point defects, these zones then act as neutral sinks for such defects which interact with the zones and cause recrystallization. A simple steady state rate theory is developed to describe the build-up of disordered material with ion dose as a function of temperature. In general the theory predicts two distinct behaviour patterns depending on the temperature and the ion mass, namely a linear build-up with dose to complete disorder for heavy bombarding ions and a build-up to saturation at a relatively low level for light ions such as protons. However, in some special circumstances a transition region is predicted where the build-up of disorder approximately follows a (dose)sup(1/2) relationship before reverting to a linear behaviour at high dose. (author)

  5. Statistical characterization of surface defects created by Ar ion bombardment of crystalline silicon

    International Nuclear Information System (INIS)

    Ghazisaeidi, M.; Freund, J. B.; Johnson, H. T.

    2008-01-01

    Ion bombardment of crystalline silicon targets induces pattern formation by the creation of mobile surface species that participate in forming nanometer-scale structures. The formation of these mobile species on a Si(001) surface, caused by sub-keV argon ion bombardment, is investigated through molecular dynamics simulation of Stillinger-Weber [Phys. Rev. B 31, 5262 (1985)] silicon. Specific criteria for identifying and classifying these mobile atoms based on their energy and coordination number are developed. The mobile species are categorized based on these criteria and their average concentrations are calculated

  6. Low-energy ion bombardment to tailor the interfacial and mechanical properties of polycrystalline 3C-silicon carbide

    International Nuclear Information System (INIS)

    Liu Fang; Li, Carolina H.; Pisano, Albert P.; Carraro, Carlo; Maboudian, Roya

    2010-01-01

    Low-energy Ar + ion bombardment of polycrystalline 3C-silicon carbide (poly-SiC) films is found to be a promising surface modification method to tailor the mechanical and interfacial properties of poly-SiC. The film average stress decreases as the ion energy and the bombardment time increase. Furthermore, this treatment is found to change the strain gradient of the films from positive to negative values. The observed changes in stress and strain gradient are explained by ion peening and thermal spikes models. In addition, the poly-SiC films show a significant enhancement in corrosion resistance by this treatment, which is attributed to a reduction in surface energy and to an increase in the compressive stress in the near-surface region.

  7. Surface roughening under ion bombardment

    International Nuclear Information System (INIS)

    Bhatia, C.S.

    1982-01-01

    Ion bombardment can cause roughening of a surface. Inadequate step coverage and poor adhesion of films on such surfaces are of concern. An extreme case of surface roughening results in cone formation under ion bombardment. The results of the investigation, using scanning electron microscopy, is discussed in terms of the role of (a) embedded particles, (b) impurities and (c) surface migration in cone formation on the target surface. (Auth.)

  8. Enhancement of optical absorption of Si (100) surfaces by low energy N+ ion beam irradiation

    Science.gov (United States)

    Bhowmik, Dipak; Karmakar, Prasanta

    2018-05-01

    The increase of optical absorption efficiency of Si (100) surface by 7 keV and 8 keV N+ ions bombardment has been reported here. A periodic ripple pattern on surface has been observed as well as silicon nitride is formed at the ion impact zones by these low energy N+ ion bombardment [P. Karmakar et al., J. Appl. Phys. 120, 025301 (2016)]. The light absorption efficiency increases due to the presence of silicon nitride compound as well as surface nanopatterns. The Atomic Force Microscopy (AFM) study shows the formation of periodic ripple pattern and increase of surface roughness with N+ ion energy. The enhancement of optical absorption by the ion bombarded Si, compared to the bare Si have been measured by UV - visible spectrophotometer.

  9. The interpretation of ellipsometric measurements of ion bombardment of noble gases on semiconductor surfaces

    NARCIS (Netherlands)

    Holtslag, A.H.M.; Slager, U.C.; van Silfhout, Arend

    1985-01-01

    Low energy noble gas ion bombardment and thermal desorption studies were carried out on Si(111) and analysed, in situ, using spectroscopic ellipsometry. The amorphous layer thickness and implanted noble gas fraction were calculated.

  10. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  11. Using MDECR-PECVD to study the impact of ion bombardment energy on microstructural properties of μc-Si:H thin film grown from an SiF{sub 4}/H{sub 2} chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Junkang; Florea, Ileana; Bulkin, Pavel V.; Maurice, Jean-Luc; Johnson, Erik V. [LPICM, CNRS, Ecole Polytechnique, Universite Paris Saclay, 91128 Palaiseau (France)

    2016-12-15

    The matrix-distributed electron cyclotron resonance plasma-enhanced chemical vapor deposition (MDECR-PECVD) technique has been shown to achieve high deposition rates for hydrogenated microcrystalline silicon (μc-Si:H) thin film. Due to the fact that plasma is sustained by a microwave discharge, by biasing the substrate holder with additional power supply, one can achieve independent control over the plasma density and the maximum ion bombardment energy (IBE). In this work, we present studies of the impact of IBE on the microstructural properties of the μc-Si:H film deposited by MDECR-PECVD. Insufficient ion bombardment is found to be responsible for the substantial presence of nano-porous regions within the material, resulting in significant post-deposition oxidation. Good agreement between transmission electron microscopy (TEM) Fresnel contrast analysis and the results of infrared absorption and hydrogen effusion measurements for the deposited films suggest that moderate IBE is of vital importance to achieve high quality μc-Si:H. In doing so, denser films with significantly decreased nano-porous regions and better stability are obtained, which is of great interest to optimize the process parameters for solar cell applications. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Cleaning of diffusion bonding surface by argon ion bombardment treatment

    International Nuclear Information System (INIS)

    Wang, Airu; Ohashi, Osamu; Yamaguchi, Norio; Aoki, Masanori; Higashi, Yasuo; Hitomi, Nobuteru

    2003-01-01

    The specimens of oxygen-free high conductivity copper, SUS304L stainless steel and pure iron were treated by argon ion bombardment and then were bonded by diffusion bonding method. The effects of argon ion bombardment treatment on faying surface morphology, tensile strength of bonding joints and inclusions at the fracture surface were investigated. The results showed that argon ion bombardment treatment was effective to remove the oxide film and contamination at the faying surface and improve the quality of joints. The tensile strength of the bonded joints was improved, and minimum bonding temperature to make the metallic bonding at the interface was lowered by argon ion bombardment treatment. At the joints with argon ion bombardment treatment, ductile fractured surface was seen and the amount of inclusions was obviously decreased

  13. Investigation of energy thresholds of atomic and cluster sputtering of some elements under ion bombardment

    CERN Document Server

    Atabaev, B G; Lifanova, L F

    2002-01-01

    Threshold energies of sputtering of negative cluster ions from the Si(111) surface were measured at bombardment by Cs sup + , Rb sup + , and Na sup + ions with energy of 0.1-3.0 keV. These results are compared with the calculations of the similar thresholds by Bohdansky etc. formulas (3) for clusters Si sub n sup - and Cu sub n sup - with n=(1-5) and also for B, C, Al, Si, Fe, Cu atoms. Threshold energies of sputtering for the above elements were also estimated using the data from (5). Satisfactory agreement between the experimental and theoretical results was obtained. (author)

  14. Doubly versus singly positively charged oxygen ions back-scattered from a silicon surface under dynamic O2+ bombardment

    International Nuclear Information System (INIS)

    Franzreb, Klaus; Williams, Peter; Loerincik, Jan; Sroubek, Zdenek

    2003-01-01

    Mass-resolved (and emission-charge-state-resolved) low-energy ion back-scattering during dynamic O 2 + bombardment of a silicon surface was applied in a Cameca IMS-3f secondary ion mass spectrometry (SIMS) instrument to determine the bombarding energy dependence of the ratio of back-scattered O 2+ versus O + . While the ratio of O 2+ versus O + drops significantly at reduced bombarding energies, O 2+ back-scattered from silicon was still detectable at an impact energy (in the lab frame) as low as about 1.6 keV per oxygen atom. Assuming neutralization prior to impact, O 2+ ion formation in an asymmetric 16 O→ 28 Si collision is expected to take place via 'collisional double ionization' (i.e. by promotion of two outer O 2p electrons) rather than by the production of an inner-shell (O 2s or O 1s) core hole followed by Auger-type de-excitation during or after ejection. A molecular orbital (MO) correlation diagram calculated for a binary 'head-on' O-Si collision supports this interpretation

  15. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. Examples of thin film property modification by ion bombardment during deposition, including effects which are primarily compositional as well as those which are primarily structural are presented. The examples demonstrate the usefulness of ion beam techniques in identifying and controlling the fundamental deposition parameters. 68 refs.; 15 figs.; 1 table

  16. Silicide formation by Ar/sup +/ ion bombardment of Pd/Si

    Energy Technology Data Exchange (ETDEWEB)

    Lee, R Y; Whang, C N; Kim, H K; Smith, R J

    1988-08-01

    Palladium films, 45 nm thick, evaporated on to Si(111) were irradiated to various doses with 78 keV Ar/sup +/ ions to promote silicide formation. Rutherford backscattering spectroscopy (RBS) shows that intermixing has occurred across the Pd/Si interface at room temperature. The mixing behaviour is increased with dose which coincides well with the theoretical model of cascade mixing. The absence of deep RBS tails for palladium and the small area of this for silicon spectra indicate that short-range mixing occurs. From the calculated damage profiles computed with TRIM code, the dominant diffusion species is found to be silicon atoms in the Pd/Si system. It is also found that the initial compound formed by Ar/sup +/ irradiation is Pd/sub 2/Si which increases with dose. At a dose of 1 x 10/sup 16/ Ar/sup +/ cm/sup -2/, a 48 nm thickness of Pd/sub 2/Si was formed by ion-beam mixing at room temperature.

  17. Ion bombardment modification of surfaces

    International Nuclear Information System (INIS)

    Auciello, O.

    1984-01-01

    Ion bombardment-induced modification of surfaces may be considered one of the significant scientific and technological developments of the last two decades. The understanding acquired concerning the underlying mechanisms of several phenomena occurring during ion-surface interactions has led to applications within different modern technologies. These include microelectronics, surface acoustical and optical technologies, solar energy conversion, thin film technology, ion implantation metallurgy, nuclear track technology, thermonuclear fusion, vacuum technology, cold welding technology, biomedicine (implantology). It has become clear that information on many relevant advances, regarding ion bombardment modification of surfaces is dispersed among journals involving fields sometimes not clearly related. This may result, in some cases, in a loss of the type of interdisciplinary exchange of ideas, which has proved to be so fruitful for the advancement of science and technology. This book has been planned in an attempt to collect at least some of today's relevant information about the experimental and theoretical knowledge related to surface modification and its application to technology. (Auth.)

  18. Adhesion of evaporated titanium films to ion-bombarded polyethylene

    International Nuclear Information System (INIS)

    Bodoe, P.; Sundgren, J.

    1986-01-01

    Ti films were deposited onto high-density polyethylene (HDPE) samples by electron-beam evaporation. Prior to film deposition the samples were in situ pretreated by Ar ion bombardment using a sputter ion gun. The adhesion of the films, determined as the pull strength required for film failure, was measured as a function of ion dose. HDPE substrates processed at two different temperatures were examined. The adhesion of the Ti films to HDPE samples processed at roughly-equal150 0 C increased with the ion dose to a steady-state value corresponding to the cohesive strength of the HDPE substrate. The adhesion to the samples processed at roughly-equal200 0 C increased to a maximum and then decreased for further ion bombardment to a level of the same order as that for films deposited onto as-prepared samples. The effects of the ion bombardment upon the HDPE surface chemistry were examined by means of x-ray photoelectron spectroscopy (XPS). The ion bombardment resulted in dehydrogenation and cross linking of the surface region and for prolonged ion bombardment, a graphitelike surface was obtained. The film/substrate interface as well as the initial Ti film growth were examined by XPS analysis. A chemical interaction which resulted in Ti--C bonds was observed at the interface. The Ti film growth followed a pronounced three-dimensional growth mode on as-prepared surfaces whereas the ion bombardment resulted in a change toward a more two-dimensional growth mode. The difference in adhesion behavior for the two types of HDPE substrates was found to be due to a difference in the amounts of low molecular weight products present within the substrates

  19. Characterization techniques for ion bombarded insulators

    International Nuclear Information System (INIS)

    Borders, J.A.

    1987-01-01

    The chapter gives a comprehensive review of the experimental methods for the analysis of ion-bombarded insulators including optical and structural methods, resonance, energetic ion methods, and surface techniques. 48 refs.; 34 figs

  20. Ion beam mixing to produce disordered AlSi superconducting alloys

    International Nuclear Information System (INIS)

    Xi Xiaoxing; Ran Qize; Liu Jiarui; Guan Weiyan

    1987-01-01

    Multilayered Al/Si films were bombarded with Ar ions at LHe temperature and superconducting transition temperature Tsub(c) was measured in situ. The highest Tsub(c) thus obtained was 7.53 K. The systematic studies on samples with different compositions suggest that ion induced disorder might be the main reason for Tsub(c) enhancement in these AlSi alloys. (author)

  1. Low temperature magnetron sputter deposition of polycrystalline silicon thin films using high flux ion bombardment

    International Nuclear Information System (INIS)

    Gerbi, Jennifer E.; Abelson, John R.

    2007-01-01

    We demonstrate that the microstructure of polycrystalline silicon thin films depends strongly on the flux of low energy ions that bombard the growth surface during magnetron sputter deposition. The deposition system is equipped with external electromagnetic coils which, through the unbalanced magnetron effect, provide direct control of the ion flux independent of the ion energy. We report the influence of low energy ( + on the low temperature ( + ions to silicon neutrals (J + /J 0 ) during growth by an order of magnitude (from 3 to 30) enables the direct nucleation of polycrystalline Si on glass and SiO 2 coated Si at temperatures below 400 degree sign C. We discuss possible mechanisms for this enhancement of crystalline microstructure, including the roles of enhanced adatom mobility and the formation of shallow, mobile defects

  2. An ion beam deceleration lens for ultra-low-energy ion bombardment of naked DNA

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P.; Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongkumkoon, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuldyuld@gmail.com [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Highlights: ► An ion beam deceleration lens was designed and constructed. ► The deceleration lens was installed and tested. ► The decelerated ion beam energy was measured using an electrical field. ► Decelerated ultra-low-energy ion beam bombarded naked DNA. ► Ion beam with energy of a few tens of eV could break DNA strands. -- Abstract: Study of low-energy ion bombardment effect on biological living materials is of significance. High-energy ion beam irradiation of biological materials such as organs and cells has no doubt biological effects. However, ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range. To investigate effects from very-low-energy ion bombardment on biological materials, an ion beam deceleration lens is necessary for uniform ion energy lower than keV. A deceleration lens was designed and constructed based on study of the beam optics using the SIMION program. The lens consisted of six electrodes, able to focus and decelerate primary ion beam, with the last one being a long tube to obtain a parallel uniform exiting beam. The deceleration lens was installed to our 30-kV bioengineering-specialized ion beam line. The final decelerated-ion energy was measured using a simple electrostatic field to bend the beam to range from 10 eV to 1 keV controlled by the lens parameters and the primary beam condition. In a preliminary test, nitrogen ion beam at 60 eV decelerated from a primary 20-keV beam bombarded naked plasmid DNA. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks. The study demonstrated that the ion bombardment with energy as low as several-tens eV was possible to break DNA strands and thus potential to cause genetic modification of biological cells.

  3. An ion beam deceleration lens for ultra-low-energy ion bombardment of naked DNA

    International Nuclear Information System (INIS)

    Thopan, P.; Prakrajang, K.; Thongkumkoon, P.; Suwannakachorn, D.; Yu, L.D.

    2013-01-01

    Highlights: ► An ion beam deceleration lens was designed and constructed. ► The deceleration lens was installed and tested. ► The decelerated ion beam energy was measured using an electrical field. ► Decelerated ultra-low-energy ion beam bombarded naked DNA. ► Ion beam with energy of a few tens of eV could break DNA strands. -- Abstract: Study of low-energy ion bombardment effect on biological living materials is of significance. High-energy ion beam irradiation of biological materials such as organs and cells has no doubt biological effects. However, ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range. To investigate effects from very-low-energy ion bombardment on biological materials, an ion beam deceleration lens is necessary for uniform ion energy lower than keV. A deceleration lens was designed and constructed based on study of the beam optics using the SIMION program. The lens consisted of six electrodes, able to focus and decelerate primary ion beam, with the last one being a long tube to obtain a parallel uniform exiting beam. The deceleration lens was installed to our 30-kV bioengineering-specialized ion beam line. The final decelerated-ion energy was measured using a simple electrostatic field to bend the beam to range from 10 eV to 1 keV controlled by the lens parameters and the primary beam condition. In a preliminary test, nitrogen ion beam at 60 eV decelerated from a primary 20-keV beam bombarded naked plasmid DNA. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks. The study demonstrated that the ion bombardment with energy as low as several-tens eV was possible to break DNA strands and thus potential to cause genetic modification of biological cells

  4. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  5. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  6. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  7. Silicon nanodot formation and self-ordering under bombardment with heavy Bi3 ions

    International Nuclear Information System (INIS)

    Boettger, Roman; Heinig, Karl-Heinz; Bischoff, Lothar; Liedke, Bartosz; Huebner, Rene; Pilz, Wolfgang

    2013-01-01

    Si nanodots of high density and hexagonal short-range order are observed upon normal-incidence bombardment of hot, crystalline Si with Bi 3 + ions having a kinetic energy of a few tens of keV. The heights of nanodots are comparable to their widths of ∝20 nm. The implanted Bi accumulates in tiny Bi nanocrystals in a thin Si top layer which is amorphous due to implantation damage. Light and heavy ions up to Xe cause smoothing of surfaces, but Bi 3 + ions considered here have a much higher mass. Atomistic simulations prove that each Bi 3 + impact deposits an extremely high energy density resulting in a several nanometer large melt pool, which resolidifies within a few hundreds of picoseconds. Experiments confirm that dot patterns form only if the deposited energy density exceeds the threshold for melting. Comparing monatomic and polyatomic Bi ion irradiation, Bi-Si phase separation and preferential ion erosion are ruled out as driving forces of pattern formation. A model based on capillary forces in the melt pool explains the pattern formation consistently. High-density Si nanodots are formed by polyatomic Bi ion irradiation of hot Si surfaces. Each impact causes local transient melt pools smaller than the dots. Hexagonally ordered patterns evolve by self-organization driven by repeated ion-induced melting of tiny volumes. Homogeneously distributed Bi nanocrystals are found in the a-Si film. These nanocrystals are related to particularities of the Si-Bi phase diagram. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Emission of positive oxygen ions from ion bombardment of adsorbate-covered metal surfaces

    International Nuclear Information System (INIS)

    Kaurin, M.G.

    1989-01-01

    During ion bombardment of metal surfaces, collision cascades can result in the emission of sputtered secondary ions. Recent experiments, however, have suggested that the emission of positive ions of electronegative adsorbates can result from electronic processes rather than from processes involving elastic collisions. This dissertation presents the results of experiments studying the emission of positive oxygen ions from oxygen- and carbon-monoxide-covered transition metal surfaces during bombardment by 25-250 keV ions of neon, argon, and krypton. The systems studied may be grouped into four categories. For a nickel substrate with adsorbed oxygen, the emission of positive oxygen ions proceeds through collision cascades. For titanium and niobium with adsorbed oxygen, the emission of positive oxygen ions is proportional to the primary ion velocity, consistent with emission from electronic processes; for a given primary ion velocity, the oxygen ion yield is independent of primary ion species. For substrates of molybdenum and tungsten, the oxygen yield is proportional to primary ion velocity, but the yield also depends on the primary ion species for a given primary ion velocity in a manner that is consistent with emission resulting from electronic processes. For these two groups, except for titanium, the yields during neon ion bombardment do not extrapolate (assuming linearity with primary ion velocity) to a nonzero value at zero beam velocity. The magnitude of the oxygen ion yields from these targets is not consistent with that expected if the emission were induced by secondary electrons emitted during the ion bombardment

  9. Modification of Polymer Materials by Ion Bombardment: Case Studies

    International Nuclear Information System (INIS)

    Bielinski, D. M.; Jagielski, J.; Lipinski, P.; Pieczynska, D.; Ostaszewska, U.; Piatkowska, A.

    2009-01-01

    The paper discusses possibility of application of ion beam bombardment for modification of polymers. Changes to composition, structure and morphology of the surface layer produced by the treatment and their influence on engineering and functional properties of wide range of polymer materials are presented. Special attention has been devoted to modification of tribological properties. Ion bombardment results in significant reduction of friction, which can be explained by increase of hardness and wettability of polymer materials. Hard but thin enough skin does not result in cracking but improves their abrasion resistance. Contrary to conventional chemical treatment ion beam bombardment works even for polymers hardly susceptible to modification like silicone rubber or polyolefines.

  10. Facies of ion bombarded surfaces of brittle materials

    International Nuclear Information System (INIS)

    Primak, W.

    1975-12-01

    Materials were bombarded by protons, deuterons, and helium ions. The materials investigated were quartz; glasses; carbides and borides (SiC, B 4 C, TiB 2 ); oxides and nitrides (magnorite, sapphire, spinel, Al 2 O 3 , Si 3 N 4 , ZrO 2 , BaTiO 3 ); and miscellaneous (graphite, LiNbO 3 , copper). Oberservations were of growth, reflectivity, blistering, surface ablation, and swelling. Calculations were made of the effects of a layer, of its gradual transformation, and of the introduction of a gas. It is concluded that: Radiation blistering is not a primary process. Observations of blister formation and exfoliation cannot be used to calculate the surface ablation rate. The primary process is the development of a microporous layer which causes swelling. Visible blisters are caused by fracturing by transverse stresses in this layer and may occur during the bombardment, or in some cases, much later, in storage. There is no evidence of extreme gas pressures in the blisters. When blisters develop, they may be stable under continued bombardment for a dose many times that at which they formed. The swelling is a better index of the effects than is the blistering, and must be associated in most cases with permeability to the gas. Behavior with protons and deuterons is similar, with helium different. All but quartz, vitreous silica, and Pyrex are impervious to hydrogen and deuterium; only dense barium crown glass, carbides, borides, oxides, and nitrides are impervious to helium. Quartz shows swelling caused by conversion to a vitreous product of much lower density but no porosity, while for the others, most of the swelling and surface growth is caused by porosity. Surface ablation by the blistering process may be reduced by initial porosity or by initial or subsequent surface fissuring. However, for impervious materials, surface damage by the introduction of porosity would continue

  11. Ultra-low-energy (<10 eV/u) ion beam bombardment effect on naked DNA

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongkumkoon, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Chiang Mai 50290 (Thailand); Suwannakachorn, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-05-01

    Highlights: • Decelerated ultra-low energy ion beam bombarded naked DNA. • DNA form change induced by ion bombardment was investigated. • N-ion bombardment at 32 eV induced DNA single and double strand breaks. • Ar-ion bombardment at a-few-hundreds eV induced DNA single strand break. - Abstract: Since ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range, it is very interesting to know effects from ultra-low-energy ion interaction with DNA for understanding ion-beam-induced genetic mutation. Tens-keV Ar- and N-ion beams were decelerated to ultra-low energy ranging from 20 to 100 eV, or only a few to 10 eV/u, to bombard naked plasmid DNA. The bombarded DNA was analyzed using gel electrophoresis for DNA form changes. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks after bombarded by tens-eV ion beam. N-ion beam was found more effective in inducing DNA change and mutation than Ar-ion beam. The study demonstrated that the ion bombardment with energy as low as several-tens eV was able to break DNA strands and thus potentially to cause genetic modification of biological cells. The experimental results were discussed in terms of direct atomic collision between the ions and DNA atoms.

  12. Ultra-low-energy (<10 eV/u) ion beam bombardment effect on naked DNA

    International Nuclear Information System (INIS)

    Thopan, P.; Thongkumkoon, P.; Prakrajang, K.; Suwannakachorn, D.; Yu, L.D.

    2014-01-01

    Highlights: • Decelerated ultra-low energy ion beam bombarded naked DNA. • DNA form change induced by ion bombardment was investigated. • N-ion bombardment at 32 eV induced DNA single and double strand breaks. • Ar-ion bombardment at a-few-hundreds eV induced DNA single strand break. - Abstract: Since ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range, it is very interesting to know effects from ultra-low-energy ion interaction with DNA for understanding ion-beam-induced genetic mutation. Tens-keV Ar- and N-ion beams were decelerated to ultra-low energy ranging from 20 to 100 eV, or only a few to 10 eV/u, to bombard naked plasmid DNA. The bombarded DNA was analyzed using gel electrophoresis for DNA form changes. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks after bombarded by tens-eV ion beam. N-ion beam was found more effective in inducing DNA change and mutation than Ar-ion beam. The study demonstrated that the ion bombardment with energy as low as several-tens eV was able to break DNA strands and thus potentially to cause genetic modification of biological cells. The experimental results were discussed in terms of direct atomic collision between the ions and DNA atoms

  13. Direct and Recoil-Induced Electron Emission from Ion-Bombarded Solids

    DEFF Research Database (Denmark)

    Holmen, G.; Svensson, B.; Schou, Jørgen

    1979-01-01

    The kinetic emission of secondary electrons from ion-bombarded solid surfaces is split into two contributions, a direct one caused by ionizing collisions between the bombarding ion and target atoms, and an indirect one originating from ionizing collisions undergone by recoil atoms with other target...... atoms. The direct contribution, which has been treated by several authors in previous studies, shows a behavior that is determined primarily by the electronic stopping power of the bombarding ion, while the indirect contribution is nonproportionally related to the nuclear stopping power. This latter...

  14. Very low-energy and low-fluence ion beam bombardment of naked plasmid DNA

    International Nuclear Information System (INIS)

    Norarat, R.; Semsang, N.; Anuntalabhochai, S.; Yu, L.D.

    2009-01-01

    Ion beam bombardment of biological organisms has been recently applied to mutation breeding of both agricultural and horticultural plants. In order to explore relevant mechanisms, this study employed low-energy ion beams to bombard naked plasmid DNA. The study aimed at simulation of the final stage of the process of the ion beam bombardment of real cells to check whether and how very low-energy and low-fluence of ions can induce mutation. Argon and nitrogen ions at 5 keV and 2.5 keV respectively bombarded naked plasmid DNA pGFP to very low-fluences, an order of 10 13 ions/cm 2 . Subsequently, DNA states were analyzed using electrophoresis. Results provided evidences that the very low-energy and low-fluence ion bombardment indeed altered the DNA structure from supercoil to short linear fragments through multiple double strand breaks and thus induced mutation, which was confirmed by transfer of the bombarded DNA into bacteria Escherichia coli and subsequent expression of the marker gene.

  15. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    Science.gov (United States)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  16. Induction of antioxidant enzyme activity and lipid peroxidation level in ion-beam-bombarded rice seeds

    Energy Technology Data Exchange (ETDEWEB)

    Semsang, Nuananong, E-mail: nsemsang@gmail.com [Molecular Biology Laboratory, Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, LiangDeng [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Highlights: ► Ion beam bombarded rice seeds in vacuum. ► Studied seed survival from the ion bombardment. ► Determined various antioxidant enzyme activities and lipid peroxidation level. ► Discussed vacuum, ion species and ion energy effects. ► Attributed the changes to free radical formation due to ion bombardment. -- Abstract: Low-energy ion beam bombardment has been used to mutate a wide variety of plant species. To explore the indirect effects of low-energy ion beam on biological damage due to the free radical production in plant cells, the increase in antioxidant enzyme activities and lipid peroxidation level was investigated in ion-bombarded rice seeds. Local rice seeds were bombarded with nitrogen or argon ion beams at energies of 29–60 keV and ion fluences of 1 × 10{sup 16} ions cm{sup −2}. The activities of the antioxidant enzymes; superoxide dismutase (SOD), catalase (CAT), ascorbate peroxidase (APX), dehydroascorbate reductase (DHAR), glutathione reductase (GR), glutathione S-transferase (GST) and lipid peroxidation level were assayed in the germinated rice seeds after ion bombardment. The results showed most of the enzyme activities and lipid peroxidation levels in both the argon and nitrogen bombarded samples were higher than those in the natural control. N-ion bombardment could induce higher levels of antioxidant enzyme activities in the rice samples than the Ar-ion bombardment. Additional effects due to the vacuum condition were found to affect activities of some antioxidant enzymes and lipid peroxidation level. This study demonstrates that ion beam bombardment and vacuum condition could induce the antioxidant enzyme activity and lipid peroxidation level which might be due to free radical production in the bombarded rice seeds.

  17. Anomalous time-of-flight distributions observed for argon implanted in silicon and resputtered by Ar+-ion bombardment

    International Nuclear Information System (INIS)

    van Veen, G.N.A.; Sanders, F.H.M.; Dieleman, J.; van Veen, A.; Oostra, D.J.; de Vries, A.E.

    1986-01-01

    A Si substrate is bombarded by 3-keV Ar + ions. From time-of-flight spectra of resputtered Ar neutrals at various target temperatures, we conclude that Ar-bubble formation takes place in the amorphized-Si top layer. The bubbles form and open during etching. The average kinetic energy of the Ar atoms is in agreement with the calculated average potential energy of the Ar atoms inside the bubbles

  18. Ion bombardment and disorder in amorphous silicon

    International Nuclear Information System (INIS)

    Sidhu, L.S.; Gaspari, F.; Zukotynski, S.

    1997-01-01

    The effect of ion bombardment during growth on the structural and optical properties of amorphous silicon are presented. Two series of films were deposited under electrically grounded and positively biased substrate conditions. The biased samples displayed lower growth rates and increased hydrogen content relative to grounded counterparts. The film structure was examined using Raman spectroscopy. The transverse optic like phonon band position was used as a parameter to characterize network order. Biased samples displayed an increased order of the amorphous network relative to grounded samples. Furthermore, biased samples exhibited a larger optical gap. These results are correlated and attributed to reduced ion bombardment effects

  19. Large area smoothing of surfaces by ion bombardment: fundamentals and applications

    International Nuclear Information System (INIS)

    Frost, F; Fechner, R; Ziberi, B; Voellner, J; Flamm, D; Schindler, A

    2009-01-01

    Ion beam erosion can be used as a process for achieving surface smoothing at microscopic length scales and for the preparation of ultrasmooth surfaces, as an alternative to nanostructuring of various surfaces via self-organization. This requires that in the evolution of the surface topography different relaxation mechanisms dominate over the roughening, and smoothing of initially rough surfaces can occur. This contribution focuses on the basic mechanisms as well as potential applications of surface smoothing using low energy ion beams. In the first part, the fundamentals for the smoothing of III/V semiconductors, Si and quartz glass surfaces using low energy ion beams (ion energy: ≤2000 eV) are reviewed using examples. The topography evolution of these surfaces with respect to different process parameters (ion energy, ion incidence angle, erosion time, sample rotation) has been investigated. On the basis of the time evolution of different roughness parameters, the relevant surface relaxation mechanisms responsible for surface smoothing are discussed. In this context, physical constraints as regards the effectiveness of surface smoothing by direct ion bombardment will also be addressed and furthermore ion beam assisted smoothing techniques are introduced. In the second application-orientated part, recent technological developments related to ion beam assisted smoothing of optically relevant surfaces are summarized. It will be demonstrated that smoothing by direct ion bombardment in combination with the use of sacrificial smoothing layers and the utilization of appropriate broad beam ion sources enables the polishing of various technologically important surfaces down to 0.1 nm root mean square roughness level, showing great promise for large area surface processing. Specific examples are given for ion beam smoothing of different optical surfaces, especially for substrates used for advanced optical applications (e.g., in x-ray optics and components for extreme

  20. L-subshell ionization studies in Au and Bi for 19F and 28Si large-ion bombardment

    International Nuclear Information System (INIS)

    Padhi, H.C.; Dhal, B.B.; Nanal, V.; Prasad, K.G.; Tandon, P.N.; Trautmann, D.

    1996-01-01

    L x-ray production and subshell ionization cross sections of Au and Bi have been measured for the bombardment of 19 F and 28 Si ions in the energy range 30 endash 57 MeV and 36 endash 84 MeV, respectively. Comparison of the Lα x-ray production cross sections of Au with the earlier data by Malhi and Gray [Phys. Rev. A 44, 7199 (1991)] shows reasonable agreement for 19 F impact and their data are consistently higher for 28 Si at all energies. The measured Lα line energy shows a shift towards higher energy, which appears to be proportional to the square of the projectile atomic number at all impact energies. This shift suggests the presence of multiple ionization in the L and M shells with a simultaneous production of four M holes in Au at the impact energy of 3 MeVu -1 of 28 Si. The L-subshell ionization cross sections obtained from the measured x-ray production cross sections have been compared with the semiclassical approximation and perturbed stationary state theory with energy loss, Coulomb deflection, and relativistic correction for the electron motion calculations, which show large deviations for the L 1 subshell. The L 2 - and L 3 -subshell ionization cross sections are underestimated by both the theories by a factor of 1.2 endash 4.0 for 28 Si impact whereas for the 19 F case there is reasonable agreement for the L 3 cross section but the L 2 cross section is underestimated by 20 endash 30%. copyright 1996 The American Physical Society

  1. InN: Fermi level stabilization by low-energy ion bombardment

    International Nuclear Information System (INIS)

    Piper, L.F.J.; Veal, T.D.; McConville, C.F.; Lu, H.; Schaff, W.J.

    2006-01-01

    The near-surface electronic properties of InN have been investigated with high-resolution electron-energy loss spectroscopy. Low-energy (∝400 eV) nitrogen ion bombardment followed by low temperature annealing (<300 C) was found to dramatically increase the n-type conductivity of InN, close to the surface. This is explained in terms of the formation of amphoteric defects from the ion bombardment and annealing combined with the band structure of InN. Low-energy ion bombardment and annealing is shown to result in a damage-induced, donor-like defect-profile instead of the expected electron accumulation for InN. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Induction of antioxidant enzyme activity and lipid peroxidation level in ion-beam-bombarded rice seeds

    Science.gov (United States)

    Semsang, Nuananong; Yu, LiangDeng

    2013-07-01

    Low-energy ion beam bombardment has been used to mutate a wide variety of plant species. To explore the indirect effects of low-energy ion beam on biological damage due to the free radical production in plant cells, the increase in antioxidant enzyme activities and lipid peroxidation level was investigated in ion-bombarded rice seeds. Local rice seeds were bombarded with nitrogen or argon ion beams at energies of 29-60 keV and ion fluences of 1 × 1016 ions cm-2. The activities of the antioxidant enzymes; superoxide dismutase (SOD), catalase (CAT), ascorbate peroxidase (APX), dehydroascorbate reductase (DHAR), glutathione reductase (GR), glutathione S-transferase (GST) and lipid peroxidation level were assayed in the germinated rice seeds after ion bombardment. The results showed most of the enzyme activities and lipid peroxidation levels in both the argon and nitrogen bombarded samples were higher than those in the natural control. N-ion bombardment could induce higher levels of antioxidant enzyme activities in the rice samples than the Ar-ion bombardment. Additional effects due to the vacuum condition were found to affect activities of some antioxidant enzymes and lipid peroxidation level. This study demonstrates that ion beam bombardment and vacuum condition could induce the antioxidant enzyme activity and lipid peroxidation level which might be due to free radical production in the bombarded rice seeds.

  3. Mechanisms of ion-bombardment-induced DNA transfer into bacterial E. coli cells

    Energy Technology Data Exchange (ETDEWEB)

    Yu, L.D., E-mail: yuld@thep-center.org [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Sangwijit, K. [Molecular Biology Laboratory, Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Chiang Mai 50290 (Thailand); Phanchaisri, B. [Institute of Science and Technology Research, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongkumkoon, P. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thopan, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Singkarat, S. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Anuntalabhochai, S. [Molecular Biology Laboratory, Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-05-01

    Highlights: • Ion bombardment could induce DNA transfer into E. coli cells. • The DNA transfer induction depended on ion energy and fluence. • The mechanism was associated with the bacterial cell envelope structure. • A mechanism phase diagram was proposed to summarize the mechanism. - Abstract: As a useful ion beam biotechnology, ion-bombardment-induced DNA transfer into bacterial Escherichia coli (E. coli) cells has been successfully operated using argon ions. In the process ion bombardment of the bacterial cells modifies the cell envelope materials to favor the exogenous DNA molecules to pass through the envelope to enter the cell. The occurrence of the DNA transfer induction was found ion energy and fluence dependent in a complex manner. At ion energy of a few keV and a few tens of keV to moderate fluences the DNA transfer could be induced by ion bombardment of the bacterial cells, while at the same ion energy but to high fluences DNA transfer could not be induced. On the other hand, when the ion energy was medium, about 10–20 keV, the DNA transfer could not be induced by ion bombardment of the cells. The complexity of the experimental results indicated a complex mechanism which should be related to the complex structure of the bacterial E. coli cell envelope. A phase diagram was proposed to interpret different mechanisms involved as functions of the ion energy and fluence.

  4. Mechanisms of ion-bombardment-induced DNA transfer into bacterial E. coli cells

    International Nuclear Information System (INIS)

    Yu, L.D.; Sangwijit, K.; Prakrajang, K.; Phanchaisri, B.; Thongkumkoon, P.; Thopan, P.; Singkarat, S.; Anuntalabhochai, S.

    2014-01-01

    Highlights: • Ion bombardment could induce DNA transfer into E. coli cells. • The DNA transfer induction depended on ion energy and fluence. • The mechanism was associated with the bacterial cell envelope structure. • A mechanism phase diagram was proposed to summarize the mechanism. - Abstract: As a useful ion beam biotechnology, ion-bombardment-induced DNA transfer into bacterial Escherichia coli (E. coli) cells has been successfully operated using argon ions. In the process ion bombardment of the bacterial cells modifies the cell envelope materials to favor the exogenous DNA molecules to pass through the envelope to enter the cell. The occurrence of the DNA transfer induction was found ion energy and fluence dependent in a complex manner. At ion energy of a few keV and a few tens of keV to moderate fluences the DNA transfer could be induced by ion bombardment of the bacterial cells, while at the same ion energy but to high fluences DNA transfer could not be induced. On the other hand, when the ion energy was medium, about 10–20 keV, the DNA transfer could not be induced by ion bombardment of the cells. The complexity of the experimental results indicated a complex mechanism which should be related to the complex structure of the bacterial E. coli cell envelope. A phase diagram was proposed to interpret different mechanisms involved as functions of the ion energy and fluence

  5. Magnetoresistance and ion bombardment induced magnetic patterning

    International Nuclear Information System (INIS)

    Hoeink, V.

    2008-01-01

    In this thesis the combination of the magnetic patterning of the unidirectional anisotropy and the tunnel magnetoresistance effect is investigated. In my diploma thesis, it has been shown that it is in principle possible to use the magnetic patterning by ion bombardment to magnetically structure the pinned layer in magnetic tunnel junctions (MTJs) with alumina barrier. Furthermore, it has been shown that the side effects which have been observed after this treatment can be at least reduced by an additional heating step. Starting from this point, the applicability of ion bombardment induced magnetic patterning (IBMP) in general and the combination of IBMP and MTJs in particular is investigated and new applications are developed. (orig.)

  6. Influence of the ion bombardment of O{sub 2} plasmas on low-k materials

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick, E-mail: verdonck@imec.be [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Samara, Vladimir [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Open University, Materials Engineering, Walton Hall, Milton Keynes MK7 6AA (United Kingdom); Goodyear, Alec [Open University, Materials Engineering, Walton Hall, Milton Keynes MK7 6AA (United Kingdom); Ferchichi, Abdelkarim; Van Besien, Els; Baklanov, Mikhail R. [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Braithwaite, Nicholas [Open University, Department of Physics and Astronomy, Walton Hall, Milton Keynes MK7 6AA (United Kingdom)

    2011-10-31

    In this study, special tests were devised in order to investigate the influence of ion bombardment on the damage induced in low-k dielectrics by oxygen plasmas. By placing a sample that suffered a lot of ion bombardment and one which suffered little ion bombardment simultaneously in the same plasma, it was possible to verify that ion bombardment in fact helped to protect the low-k film against oxygen plasma induced damage. Exhaustive analyses (ellipsometry, X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, porosimetry, capacitance-voltage (C-V) measurements, water contact angle analysis) show that ion bombardment induced the formation of a denser top layer in the film, which then hampered further penetration of active oxygen species deeper into the bulk. This was further confirmed by other tests combining capacitively and inductively coupled plasmas. Therefore, it was possible to conclude that, at least for these plasmas, ion bombardment may help to reduce plasma induced damage to low-k materials.

  7. Computer simulation of the topography evolution on ion bombarded surfaces

    CERN Document Server

    Zier, M

    2003-01-01

    The development of roughness on ion bombarded surfaces (facets, ripples) on single crystalline and amorphous homogeneous solids plays an important role for example in depth profiling techniques. To verify a faceting mechanism based not only on sputtering by directly impinging ions but also on the contribution of reflected ions and the redeposition of sputtered material a computer simulation has been carried out. The surface in this model is treated as a two-dimensional line segment profile. The model describes the topography evolution on ion bombarded surfaces including the growth mechanism of a facetted surface, using only the interplay of reflected and primary ions and redeposited atoms.

  8. Ion beam induces nitridation of silicon

    International Nuclear Information System (INIS)

    Petravic, M.; Williams, J.S.; Conway, M.

    1998-01-01

    High dose ion bombardment of silicon with reactive species, such as oxygen and nitrogen, has attracted considerable interest due to possible applications of beam-induced chemical compounds with silicon. For example, high energy oxygen bombardment of Si is now routinely used to form buried oxide layers for device purposes, the so called SIMOX structures. On the other hand, Si nitrides, formed by low energy ( 100 keV) nitrogen beam bombardment of Si, are attractive as oxidation barriers or gate insulators, primarily due to the low diffusivity of many species in Si nitrides. However, little data exists on silicon nitride formation during bombardment and its angle dependence, in particular for N 2 + bombardment in the 10 keV range, which is of interest for analytical techniques such as SIMS. In SIMS, low energy oxygen ions are more commonly used as bombarding species, as oxygen provides stable ion yields and enhances the positive secondary ion yield. Therefore, a large body of data can be found in the literature on oxide formation during low energy oxygen bombardment. Nitrogen bombardment of Si may cause similar effects to oxygen bombardment, as nitrogen and oxygen have similar masses and ranges in Si, show similar sputtering effects and both have the ability to form chemical compounds with Si. In this work we explore this possibility in some detail. We compare oxide and nitride formation during oxygen and nitrogen ion bombardment of Si under similar conditions. Despite the expected similar behaviour, some large differences in compound formation were found. These differences are explained in terms of different atomic diffusivities in oxides and nitrides, film structural differences and thermodynamic properties. (author)

  9. Topography of InP surface bombarded by O2+ ion beam

    International Nuclear Information System (INIS)

    Sun Zhaoqi

    1997-01-01

    The topography of InP surface bombarded by O 2 + ion beam was investigated. Rippled topographies were observed for bombarded samples, and the data show that the ripple formation starts from a sputtering depth of about 0.4 μm. The wavelength and the disorder of the ripples both increase as the sputtering depth increases. The wavelength of the ripples appears to be sputtering depth dependent rather than sputtering rate dependent. It is confirmed that the ion-beam-induced surface rippling can be effectively suppressed by sample rotation during bombardment

  10. Effect of helium ion bombardment on hydrogen behaviour in stainless steel

    International Nuclear Information System (INIS)

    Guseva, M.I.; Stolyarova, V.G.; Gorbatov, E.A.

    1987-01-01

    The effect of helium ion bombardment on hydrogen behaviour in 12Kh18N10T stainless steel is investigated. Helium and hydrogen ion bombardment was conducted in the ILU-3 ion accelerator; the fluence and energy made up 10 16 -5x10 17 cm -2 , 30 keV and 10 16 -5x10 18 cm -2 , 10 keV respectively. The method of recoil nuclei was used for determination of helium and hydrogen content. Successive implantation of helium and hydrogen ions into 12Kh18N10T stainless steel results in hydrogen capture by defects formed by helium ions

  11. Radiation-induced segregation in light-ion bombarded Ni-8% Si

    International Nuclear Information System (INIS)

    Packan, N.H.; Heatherly, L.; Kesternich, W.; Schroeder, H.

    1986-01-01

    Tensile specimens 60 μm thick of Ni-8 at. % Si have been bombarded at 475 0 C to doses of 0.1 to 0.3 dpa with either 7 MeV proton or 28 MeV alpha particle beams. Deliberate embrittlement by high temperature (700 0 C) preimplantation of helium was required to produce intergranular fracture. Depth profile sputtering and analysis in a Scanning Auger Microprobe was then used to study radiation-induced segregation of silicon both at the external surfaces and at internal interfaces. The external surfaces exhibited a strongly silicon-enriched zone for the first 10 to 20 nm followed by a broad (approx.200 nm), shallow silicon-depleted region. Segregation of silicon to grain boundaries varied from interface to interface and possibly from region to region on a given interface. In general, however, depth profiles of silicon content with distance from internal boundaries showed no noticeable depletion zone and a more gradual fall-off compared to the profiles from external surfaces. The variations of RIS among boundaries and with type of interface probably reflect, at least in part, intrinsic differences in sink efficiency

  12. Kinetics of interaction from low-energy-ion bombardment of surfaces

    International Nuclear Information System (INIS)

    Horton, C.C.

    1988-01-01

    The kinetics of interaction from low energy oxygen ion bombardment of carbon and Teflon surfaces have been investigated. The surfaces were bombarded with 4.5 to 93 eV oxygen ions and emitted species were observed with a mass spectrometer. To obtain the kinetic information, the ion beam was square pulse modulated and reaction products were observed as a function of time. The kinetic information is contained in the response of the emitted species to the pulsed ion beam. Oxygen bombardment of carbon produced CO in three parallel branches with each following an adsorption-desorption process. The fast branch, with a rate constants of 12,000/sec, appeared to be sputter induced an was absent below about 19 eV. The medium and slow branches, with rate constants of 850/sec and 45/sec respectively, has little energy dependence and appeared to be due to chemical sputtering from two sites. The ratio of the fraction of the medium branch to that of the slow was constant at 1:3. The bombardment of Teflon produced CF in two parallel branches, with one following a series process and the other an adsorb-desorb process. The rate constant of the other branch were 22,000/sec and 7,000/sec and the rate constant of the other branch was 90/sec. The total signal fell monotonically with decreasing ion energy with the fraction for each branch holding constant at 71% for the series and 29% for the adsorb-desorb

  13. Comparison of secondary ion emission induced in silicon oxide by MeV and KeV ion bombardment

    International Nuclear Information System (INIS)

    Allali, H.; Nsouli, B.; Thomas, J.P.; Szymczak, W.; Wittmaack, K.

    1993-09-01

    The surface and near-surface composition of SiO 2 layers, has been investigated by negative secondary ion emission mass spectrometry (SIMS) using MeV and KeV ion bombardment in combination with time-of-flight (TOF) mass analysis. The spectra recorded in the mass range 0-100 u are dominated by surface impurities, notably hydrocarbons and silicon polyanions incorporating H and OH entities. The characteristic (fragmentation) patterns are quite different for light and high-velocity ion impact. In high-velocity TOF-SIMS analysis of P-doped layers, prepared by chemical vapour deposition (CVD), the mass lines at 63 and 79 u are very prominent and appear to correlate with the phosphorus concentration (PO 2 and PO 3 , respectively). It is shown, however, that for unambiguous P analysis one has to use dynamic SIMS or high mass resolution. (author) 11 refs., 5 figs

  14. Particle-In-Cell/Monte Carlo Simulation of Ion Back Bombardment in Photoinjectors

    International Nuclear Information System (INIS)

    Qiang, Ji; Corlett, John; Staples, John

    2009-01-01

    In this paper, we report on studies of ion back bombardment in high average current dc and rf photoinjectors using a particle-in-cell/Monte Carlo method. Using H 2 ion as an example, we observed that the ion density and energy deposition on the photocathode in rf guns are order of magnitude lower than that in a dc gun. A higher rf frequency helps mitigate the ion back bombardment of the cathode in rf guns

  15. Preliminary report into the effects of nitrogen ion bombardment treatment on mustard seeds

    International Nuclear Information System (INIS)

    Smith, C.W.; Al-Hashmi, S.A.R.; Ahmed, N.A.G.; Pollard, M.

    1988-01-01

    Mustard seeds have been subjected to nitrogen ion bombardment. A range of conditions was found within which there was an enhancement in the growth of seedlings from the ion bombardment treated seeds relative to those grown from control seeds. Scanning electron microscopy was used to examine seeds after treatment. It appeared that there had been an etching of the seed coating by the ion bombardment. This view was supported by experiments which showed that the rate of capillary water uptake by the treated seeds had been enhanced. (author)

  16. Experiments on secondary ion emission with multicharged keV ion bombardement

    International Nuclear Information System (INIS)

    Della Negra, S.; Depauw, J.; Joret, H.; Le Beyec, Y.; Schweikert, E.A.

    1987-01-01

    An electron cyclotron resonance ion source was used to study the influence of the incident charge state of keV ions on secondary ion emission. The experiments were run with 18 keV Arn+ (1 < n < 11) beams produced by a minimafios source. Various types of targets were bombarded by the ion beam and the sputtered ionized species were identified by time of flight mass spectrometry. The experimental arrangement is detailed and preliminary results are indicated

  17. Direct evidence for a thermal effect of Ar+ ion bombardment in a conventional sputtering mode

    International Nuclear Information System (INIS)

    Okuyama, F.; Fujimoto, Y.

    1986-01-01

    Evidence is presented that the Ar + ion bombardment for sputtering in Auger electron spectroscopy can heat the target up to 2000 0 C if the target has poor heat conduction. Polycrystalline microneedles of Cr exhibited spherical tips after being exposed to 3 keV Ar + ions, proving that the needle tips were melted by impacting Ar + ions. Microneedles of Mo ion bombarded under the same condition were bent plastically, which perhaps reflects the thermal annealing of the needles during ion bombardment

  18. Self-Assembled Gold Nano-Ripple Formation by Gas Cluster Ion Beam Bombardment.

    Science.gov (United States)

    Tilakaratne, Buddhi P; Chen, Quark Y; Chu, Wei-Kan

    2017-09-08

    In this study, we used a 30 keV argon cluster ion beam bombardment to investigate the dynamic processes during nano-ripple formation on gold surfaces. Atomic force microscope analysis shows that the gold surface has maximum roughness at an incident angle of 60° from the surface normal; moreover, at this angle, and for an applied fluence of 3 × 10 16 clusters/cm², the aspect ratio of the nano-ripple pattern is in the range of ~50%. Rutherford backscattering spectrometry analysis reveals a formation of a surface gradient due to prolonged gas cluster ion bombardment, although the surface roughness remains consistent throughout the bombarded surface area. As a result, significant mass redistribution is triggered by gas cluster ion beam bombardment at room temperature. Where mass redistribution is responsible for nano-ripple formation, the surface erosion process refines the formed nano-ripple structures.

  19. Is low-energy-ion bombardment generated X-ray emission a secondary mutational source to ion-beam-induced genetic mutation?

    Energy Technology Data Exchange (ETDEWEB)

    Thongkumkoon, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Chiang Mai 50290 (Thailand); Thopan, P.; Yaopromsiri, C. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Suwannakachorn, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Yu, L.D., E-mail: yuld@fnrf.science.cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Highlights: ► Detected X-ray emission from metal, plastic and biological samples. ► Characteristic X-ray emission was detected from metal but not from non-metals. ► Low-energy ion bombarded bacteria held in different sample holders. ► Bacteria held in metal holder had higher mutation rate than in plastic holder. ► Ion-beam-induced X-ray from biological sample is not a basic mutation source. -- Abstract: Low-energy ion beam biotechnology has achieved tremendous successes in inducing crop mutation and gene transfer. However, mechanisms involved in the related processes are not yet well understood. In ion-beam-induced mutation, ion-bombardment-produced X-ray has been proposed to be one of the secondary mutation sources, but the speculation has not yet been experimentally tested. We carried out this investigation to test whether the low-energy ion-beam-produced X-ray was a source of ion-beam-induced mutation. In the investigation, X-ray emission from 29-keV nitrogen- or argon- ion beam bombarded bacterial Escherichia coli (E. coli) cells held in a metal or plastic sample holder was in situ detected using a highly sensitive X-ray detector. The ion beam bombarded bacterial cells held in different material holders were observed for mutation induction. The results led to a conclusion that secondary X-ray emitted from ion-beam-bombarded biological living materials themselves was not a, or at least a negligible, mutational source, but the ion-beam-induced X-ray emission from the metal that made the sample holder could be a source of mutation.

  20. Is low-energy-ion bombardment generated X-ray emission a secondary mutational source to ion-beam-induced genetic mutation?

    International Nuclear Information System (INIS)

    Thongkumkoon, P.; Prakrajang, K.; Thopan, P.; Yaopromsiri, C.; Suwannakachorn, D.; Yu, L.D.

    2013-01-01

    Highlights: ► Detected X-ray emission from metal, plastic and biological samples. ► Characteristic X-ray emission was detected from metal but not from non-metals. ► Low-energy ion bombarded bacteria held in different sample holders. ► Bacteria held in metal holder had higher mutation rate than in plastic holder. ► Ion-beam-induced X-ray from biological sample is not a basic mutation source. -- Abstract: Low-energy ion beam biotechnology has achieved tremendous successes in inducing crop mutation and gene transfer. However, mechanisms involved in the related processes are not yet well understood. In ion-beam-induced mutation, ion-bombardment-produced X-ray has been proposed to be one of the secondary mutation sources, but the speculation has not yet been experimentally tested. We carried out this investigation to test whether the low-energy ion-beam-produced X-ray was a source of ion-beam-induced mutation. In the investigation, X-ray emission from 29-keV nitrogen- or argon- ion beam bombarded bacterial Escherichia coli (E. coli) cells held in a metal or plastic sample holder was in situ detected using a highly sensitive X-ray detector. The ion beam bombarded bacterial cells held in different material holders were observed for mutation induction. The results led to a conclusion that secondary X-ray emitted from ion-beam-bombarded biological living materials themselves was not a, or at least a negligible, mutational source, but the ion-beam-induced X-ray emission from the metal that made the sample holder could be a source of mutation

  1. Study on evolution of gases from fluoropolymer films bombarded with heavy ions

    International Nuclear Information System (INIS)

    Minamisawa, Renato Amaral; Zimmerman, Robert Lee; Budak, Satilmis; Ila, Daryush

    2008-01-01

    Ion beam bombardment provides a unique way of material modification by inducing a high degree of localized electronic excitation. The ion track, or affected volume along the ion path through the material is related to the total damage and possible structural changes. Here we study the evolution of gases emitted by poly(tetrafluorethylene-co-perfluoro-(propyl vinyl ether)) (PFA) fluoropolymer bombarded with MeV gold ions. The gas was monitored by a residual gas analyzer (RGA), as a function of the ion fluence. Micro-Raman, atomic force microscopy and optical absorption were used to analyze the chemical structure changes and sputtering yield

  2. Formation of oxides and segregation of mobile atoms during SIMS profiling of Si with oxygen ions

    Energy Technology Data Exchange (ETDEWEB)

    Petravic, M.; Williams, J.S.; Svensson, B.G.; Conway, M. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    An oxygen beam is commonly used in secondary ion mass spectroscopy (SIMS) analysis to enhance the ionization probability for positive secondary ions. It has been observed, however, that this technique produces in some cases a great degradation of depth resolution. The most pronounced effects have been found for impurities in silicon under oxygen bombardment at angles of incidence smaller than {approx} 30 deg from the surface normal. A new approach is described which involved broadening of SIMS profiles for some mobile atoms, such as Cu, Ni and Au, implanted into silicon. The anomalously large broadening is explained in terms of segregation at a SiO{sub 2}/Si interface formed during bombardment with oxygen at impact angles less than 30 deg. 2 refs., 1 tab., 4 figs.

  3. Formation of oxides and segregation of mobile atoms during SIMS profiling of Si with oxygen ions

    Energy Technology Data Exchange (ETDEWEB)

    Petravic, M; Williams, J S; Svensson, B G; Conway, M [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    An oxygen beam is commonly used in secondary ion mass spectroscopy (SIMS) analysis to enhance the ionization probability for positive secondary ions. It has been observed, however, that this technique produces in some cases a great degradation of depth resolution. The most pronounced effects have been found for impurities in silicon under oxygen bombardment at angles of incidence smaller than {approx} 30 deg from the surface normal. A new approach is described which involved broadening of SIMS profiles for some mobile atoms, such as Cu, Ni and Au, implanted into silicon. The anomalously large broadening is explained in terms of segregation at a SiO{sub 2}/Si interface formed during bombardment with oxygen at impact angles less than 30 deg. 2 refs., 1 tab., 4 figs.

  4. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  5. Structural and magnetic properties of ion-beam bombarded Co/Pt multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Lin, K.W.; Guo, J.Y.; Lin, S.R.; Ouyang, H. [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402 (China); Tsai, C.J. [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300 (China); Van Lierop, J. [Department of Physics and Astronomy, University of Manitoba, Winnipeg (Canada); Phuoc, N.N.; Suzuki, T. [Information Storage Materials Laboratory, Toyota Technological Institute, Nagoya 468-8511 (Japan)

    2007-12-15

    A series of [Pt(2 nm)/Co(2 nm)]{sub 10}/Pt(30 nm) multilayers were deposited by using an ion-beam technique. X-ray diffraction and transmission electron microscopy results have shown that as-deposited samples consist of h.c.p. Co and f.c.c. Pt phases. Disordered CoPt{sub 3} phases were developed with increasing End-Hall voltage (V{sub EH}) that induces greater ion-beam bombardment energy during deposition. This indicates that intermixing of Co and Pt increases with ion-beam bombardment. The coercivities (ranging from 100 Oe to 300 Oe) of Co/Pt multilayers decreased with increasing V{sub EH}. After annealing, the formation of CoPt{sub 3} was observed in these ion-beam bombarded samples, resulting in lower coercivities (H{sub c}{proportional_to} 50 Oe). The depressed transition temperature of CoPt{sub 3} for films deposited with the largest V{sub EH} was attributed to distorted CoPt{sub 3} structures that appeared with annealing. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Excited-atom production by electron and ion bombardment of alkali halides

    International Nuclear Information System (INIS)

    Walkup, R.E.; Avouris, P.; Ghosh, A.P.

    1987-01-01

    We present experimental results on the production of excited atoms by electron and ion bombardment of alkali halides. For the case of electron bombardment, Doppler shift measurements show that the electronically excited atoms have a thermal velocity distribution in equilibrium with the surface temperature. Measurements of the absolute yield of excited atoms, the distribution of population among the excited states, and the systematic dependence on incident electron current and sample temperature support a model in which the excited atoms are produced by gas-phase collisions between desorbed ground-state atoms and secondary electrons. In contrast, for the case of ion bombardment, the excited atoms are directly sputtered from the surface, with velocity distributions characteristic of a collision cascade, and with typical energies of --10 eV

  7. Damage and redistribution of impurities by ionic bombardment

    International Nuclear Information System (INIS)

    Tognetti, N.P.

    1982-01-01

    Some aspects of displacement collisions in solids bombarded with ions in the medium energy range have been studied using the backscattering and channelling techniques. The production of lattice damage and the spatial redistribution of atoms within the collision cascade were the two main effects considered and experimentally studied. A comprehensive study of disorder production in GaAs was carried out at 40 K for a variety of ions and ion energies, providing insight into the mechanisms of damage generation from both the macro and microscopic points of view. Experiments on thermal recovery of partially disordered substrates revealed that annealing occurs from approximately 100 K to 300 K. A direct procedure developed for the obtainment of damage profiles from backscattering-channelling measurements is described. The net spatial redistribution of displaced atoms, in combined impurity-matrix substrates was studied and compared with existing theories of ion beam mixing. The Ag-Si system was studied for a wide range of fluence of bombarding Ar + ions. Furthermore, the contribution of atomic mixing in the experimental observation of Ge implantation at high doses into Si is discussed. (M.E.L) [es

  8. Destruction of C60 films by boron ion bombardment

    International Nuclear Information System (INIS)

    Ren Zhongmin; Du Yuancheng; Ying Zhifeng; Xiong Xiaxing; Li Fuming

    1995-01-01

    C 60 films are bombarded by 100 keV boron ion beams at doses ranging from 3x10 14 to 1x10 16 /cm 2 . The bombarded films are analyzed using Fourier transform infrared spectroscopy (FTIR), Raman spectra and X-ray diffraction (XRD) measurements. Most C 60 soccer-balls in the implanted region in the films are found to be broken at a dose over 1x10 15 /cm 2 , while at a dose less than 6x10 14 /cm 2 a few C 60 molecules remain undestroyed and maintain some crystal structure. The results of the analyses suggest a complete disintegration of a C 60 molecule under B + bombardment. ((orig.))

  9. Development of pits and cones on ion bombarded copper

    International Nuclear Information System (INIS)

    Tanovic, L.A.; Carter, G.; Nobes, M.J.; Whitton, I.L.; Williams, J.S.

    1980-01-01

    The formation of pits and cones on Ar ion bombarded copper has been studied. Carefully polished surfaces of large grained 99.999% pure copper crystals have been bombarded at normal incidence with 40 keV argon ions. The cone formation has been investigated for annealed and non-annealed crystals at room temperature and at 30 K and in the case of monocrystal and polycrystal samples. Although in the most other studies the presence of impurities is as a necessary condition for generation of cones and pits the obtained experimental results show that under certain conditions these features are formed on clean surfaces. It is shown that the dominant parameter in the production of cones on copper is the crystal orientation [ru

  10. Surface temperature measurements for ion-bombarded Si and GaAs at 1.0 to 2.0 MeV

    International Nuclear Information System (INIS)

    Lowe, L.F.; Kennedy, J.K.; Davies, D.E.; Deane, M.L.; Eyges, L.J.

    1975-01-01

    Surface temperatures of ion-bombarded silicon and gallium arsenide have been measured using an infrared detector. Ion beams of N + , N + 2 , O + , O + 2 , C + , CO + , and H + were used at energies from 1--2.0 MeV and at current densities up to 12 μAcenter-dotcm/sup -2/. No temperature dependence was found on ion species, energy, or current. The change in temperature depended only on beam power, target material, and sample mounting technique. With proper mounting temperature increases of 20 degreeC for silicon and 65 degreeC for gallium arsenide were observed for a beam power density of 1.0 Wcenter-dotcm/sup -2/

  11. Ion bombardment techniques - recent developments in SIMS

    International Nuclear Information System (INIS)

    Konarski, P.; Miśnik, M.

    2013-01-01

    We present a short review of cluster ion bombardment technique recently applied in SIMS. Many advantages of using cluster ion beams are specified over monoatomic ion species. Cluster ions open really new perspectives especially in organic based structures analysis. Nevertheless cluster ions are not the perfect solution and still new ideas of ion erosion in SIMS are needed. Another issue discussed is 'storing matter' technique applied for quantitative analysis in SIMS. Simple idea of sputter deposition of eroded material onto rotating substrate and then analysing the stored material allows to avoid strong matrix effects in SIMS. Presented are the results performed in Tele and Radio Research Institute, Warszawa, Poland. These are the first results of ‘storing matter’ technique performed in one analytical chamber of SIMS instrument. (authors)

  12. Ion bombardment simulation: a review related to fusion radiation damage

    International Nuclear Information System (INIS)

    Brimhall, J.L.

    1975-01-01

    Prime emphasis is given to reviewing the ion bombardment data on the refractory metals molybdenum, niobium and vanadium which have been proposed for use in advanced fusion devices. The temperature and dose dependence of the void parameters are correlated among these metals. The effect of helium and hydrogen gas on the void parameters is also included. The similarities and differences of the response of these materials to high dose, high temperature radiation damage are evaluated. Comparisons are made with results obtained from stainless steel and nickel base alloys. The ion bombardment data is then compared and correlated, as far as possible, with existing neutron data on the refractory metals. The theoretically calculated damage state produced by neutrons and ions is also briefly discussed and compared to experimental data wherever possible. The advantages and limitations of ion simulation in relation to fusion radiation damage are finally summarized

  13. The influence of ion bombardment on emission properties of carbon materials

    International Nuclear Information System (INIS)

    Chepusov, Alexander; Komarskiy, Alexander; Kuznetsov, Vadim

    2014-01-01

    When electric-vacuum device works its cathode surface experiences bombardment with ions of residual gases. Effects of ion bombardment impact on surface of field emission cathodes made of carbon materials may essentially change emission properties of such cathodes. It changes emission start electric field strength, voltage vs. current characteristic of material, its relief and electron structure of the surface layer. Field emission cathode operating mode, variation of radiation doses allow to obtain both good effects: maximal electric current, surface recovery – and negative ones: the worst emission properties and surface destruction, amorphization.

  14. The influence of ion bombardment on emission properties of carbon materials

    Energy Technology Data Exchange (ETDEWEB)

    Chepusov, Alexander, E-mail: chepusov@iep.uran.ru [The Institute of Electrophysics of the Ural Division of the Russian Academy of Sciences (IEP UD RAS), 620016, 106 Amundsen Street, Ekaterinburg (Russian Federation); Ural Federal University, 620002, 19 Mira Street, Ekaterinburg (Russian Federation); Komarskiy, Alexander, E-mail: aakomarskiy@gmail.com [The Institute of Electrophysics of the Ural Division of the Russian Academy of Sciences (IEP UD RAS), 620016, 106 Amundsen Street, Ekaterinburg (Russian Federation); Ural Federal University, 620002, 19 Mira Street, Ekaterinburg (Russian Federation); Kuznetsov, Vadim, E-mail: kuznetsov@iep.uran.ru [The Institute of Electrophysics of the Ural Division of the Russian Academy of Sciences (IEP UD RAS), 620016, 106 Amundsen Street, Ekaterinburg (Russian Federation)

    2014-07-01

    When electric-vacuum device works its cathode surface experiences bombardment with ions of residual gases. Effects of ion bombardment impact on surface of field emission cathodes made of carbon materials may essentially change emission properties of such cathodes. It changes emission start electric field strength, voltage vs. current characteristic of material, its relief and electron structure of the surface layer. Field emission cathode operating mode, variation of radiation doses allow to obtain both good effects: maximal electric current, surface recovery – and negative ones: the worst emission properties and surface destruction, amorphization.

  15. Model to estimate fractal dimension for ion-bombarded materials

    Energy Technology Data Exchange (ETDEWEB)

    Hu, A., E-mail: hu77@purdue.edu; Hassanein, A.

    2014-03-15

    Comprehensive fractal Monte Carlo model ITMC-F (Hu and Hassanein, 2012 [1]) is developed based on the Monte Carlo ion bombardment simulation code, i.e., Ion Transport in Materials and Compounds (ITMC) code (Hassanein, 1985 [2]). The ITMC-F studies the impact of surface roughness on the angular dependence of sputtering yield. Instead of assuming material surfaces to be flat or composed of exact self-similar fractals in simulation, we developed a new method to describe the surface shapes. Random fractal surfaces which are generated by midpoint displacement algorithm and support vector machine algorithm are combined with ITMC. With this new fractal version of ITMC-F, we successfully simulated the angular dependence of sputtering yield for various ion-target combinations, with the input surface roughness exponent directly depicted from experimental data (Hu and Hassanein, 2012 [1]). The ITMC-F code showed good agreement with the experimental data. In advanced, we compare other experimental sputtering yield with the results from ITMC-F to estimate the surface roughness exponent for ion-bombarded material in this research.

  16. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    Science.gov (United States)

    Novaković, M.; Zhang, K.; Popović, M.; Bibić, N.; Hofsäss, H.; Lieb, K. P.

    2011-05-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe + ions at fluences of up to 3 × 10 16 cm -2. We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 °C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, Δ σ2/ Φ = 3.0(4) nm 4, is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 °C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co 2Si → CoSi → CoSi 2.

  17. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    International Nuclear Information System (INIS)

    Novakovic, M.; Zhang, K.; Popovic, M.; Bibic, N.; Hofsaess, H.; Lieb, K.P.

    2011-01-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe + ions at fluences of up to 3 x 10 16 cm -2 . We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 o C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, Δσ 2 /Φ = 3.0(4) nm 4 , is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 o C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co 2 Si → CoSi → CoSi 2 .

  18. Electron emission from Inconel under ion bombardment

    International Nuclear Information System (INIS)

    Alonso, E.V.; Baragiola, R.A.; Ferron, J.; Oliva-Florio, A.

    1979-01-01

    Electron yields from clean and oxidized Inconel 625 surfaces have been measured for H + ,H 2 + ,He + ,O + and Ar + ions at normal incidence in the energy range 1.5 to 40 keV. These measurements have been made under ultrahigh vacuum and the samples were freed of surface contaminants by bombarding with high doses of either 20 keV H 2 + or 30 keV Ar + ions. Differences in yields of oxidized versus clean surfaces are explained in terms of differences in the probability that electrons internally excited escape upon reaching the surface. (author)

  19. Effects of low-energy ion beam bombardment on metal oxides

    International Nuclear Information System (INIS)

    Sullivan, J.L.; Saied, S.O.; Choudhury, T.

    1993-01-01

    This paper describes a study of Ar ion bombardment damage in metal oxides. In the energy range 1 to 5 keV, preferential oxygen removal and reduction of the oxides was found to depend on ion current density, but to be independent of beam energy. (author)

  20. The surface topography of Inconel, stainless steel and copper after argon ion bombardment

    International Nuclear Information System (INIS)

    Vogelbruch, K.; Vietzke, E.

    1983-01-01

    Energetic particle bombardment of metals is known to change the surface topography. To simulate the behaviour of the first wall of a fusion device under real plasma conditions, we have investigated the surface topography of rotating targets after 30 keV argon ion bombardment at 70deg incident angle by electron scanning micrographs. Under these conditions Inconel 600, 601, 625, stainless steel, and copper showed no cones, pyramids or cliffs, but only etching figures and at higher ion doses relatively flat hills. Thus, it can be concluded, that the influence of energetic particles on the first wall of a fusion reactor is smaller than expected from the results of such sputtering experiments, which have dealt with the formation of surface structures under ion bombardment at constant incident direction. (author)

  1. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD

    Science.gov (United States)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-01

    In order to confirm the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar+ ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar+ ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar+ ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar+ ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar+ ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar+ ion state. As for vertically oriented few-layer graphene (VFG), Ar+ ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar+ ion bombardment, and these special NCMs are promising in many fields.

  2. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD.

    Science.gov (United States)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-24

    In order to confirm the key role of Ar + ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar + ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar + ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar + ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar + ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar + ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar + ion state. As for vertically oriented few-layer graphene (VFG), Ar + ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar + ion bombardment, and these special NCMs are promising in many fields.

  3. Self-organised nano-structuring of thin oxide-films under swift heavy ion bombardment

    International Nuclear Information System (INIS)

    Bolse, Wolfgang

    2006-01-01

    Surface instabilities and the resulting self-organisation processes play an important role in nano-technology since they allow for large-array nano-structuring. We have recently found that the occurrence of such instabilities in thin film systems can be triggered by energetic ion bombardment and the subsequent self-assembly of the surface can be nicely controlled by fine-tuning of the irradiation conditions. The role of the ion in such processes is of double nature: If the instability is latently present already in the virgin sample, but self-assembly cannot take place because of kinetic barriers, the ion impact may just supply the necessary atomic mobility. On the other hand, the surface may become instable due to the ion beam induced material modifications and further irradiation then results in its reorganisation. In the present paper, we will review recently observed nano-scale self-organisation processes in thin oxide-films induced by the irradiation with swift heavy ions (SHI) at some MeV/amu energies. The first example is about SHI induced dewetting, which is driven by capillary forces already present in the as-deposited samples. The achieved dewetting pattern show an amazing similarity to those observed for liquid polymer films on Si, although in the present case the samples were kept at 80 K and hence have never reached their melting point. The second example is about self-organised lamellae formation driven by planar stresses, which are induced by SHI bombardment under grazing incidence and result in a surface instability and anisotropic plastic deformation (hammering effect). Taking advantage of these effects and modifying the irradiation procedure, we were able to generate more complex structures like NiO-'nano-towers' of 2 μm height and 200 nm in diameter

  4. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    Energy Technology Data Exchange (ETDEWEB)

    Novakovic, M. [VINCA Institute of Nuclear Sciences, 11001 Belgrade (Serbia); II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Zhang, K. [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Popovic, M.; Bibic, N. [VINCA Institute of Nuclear Sciences, 11001 Belgrade (Serbia); II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Hofsaess, H. [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Lieb, K.P., E-mail: plieb@gwdg.d [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany)

    2011-05-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe{sup +} ions at fluences of up to 3 x 10{sup 16} cm{sup -2}. We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 {sup o}C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, {Delta}{sigma}{sup 2}/{Phi} = 3.0(4) nm{sup 4}, is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 {sup o}C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co{sub 2}Si {yields} CoSi {yields} CoSi{sub 2}.

  5. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Yoshida, Hiroyuki [Industrial Research Inst. of Ishikawa (Japan); Watanabe, Hiroshi [Gakushuin Univ., Tokyo (Japan); Iwaki, Masaya; Guzman, L [RIKEN, Saitama (Japan)

    1992-04-15

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C{sub 6}H{sub 6} gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10{sup 16} ions cm{sup -2}. The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.).

  6. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Yoshida, Hiroyuki; Watanabe, Hiroshi; Iwaki, Masaya; Guzman, L.

    1992-01-01

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C 6 H 6 gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10 16 ions cm -2 . The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.)

  7. Effect of ion beam bombardment on the carbide in M2 steel modified by ion-beam-assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.Y.; Wang, F.J.; Wang, Y.K. (Dept. of Materials Engineering, Dalian Univ. of Technology (China)); Ma, T.C. (National Lab. of Materials Modification by Beam Three, Dalian (China))

    1991-10-30

    Transmission electron microscopy was used to study the effect of nitrogen ion bombardment with different doses on the carbides in M2 high speed steel as the nitrogen ions penetrated into the nitride films during ion-beam-assisted deposition. With different doses of nitrogen, alterations in the morphological characteristics of the carbide M6C at the interface were observed. With lower doses, knitting-like contrast within the carbide showed subboundary structure defects in M6C. With increasing dose, the substructure defects were broken up into small fragments owing to heavy bombardment. The microstructures of carbides at the interface damaged by nitrogen ions are discussed in detail. (orig.).

  8. Effect of Ni +-ION bombardment on nickel and binary nickel alloys

    Science.gov (United States)

    Roarty, K. B.; Sprague, J. A.; Johnson, R. A.; Smidt, F. A.

    1981-03-01

    Pure nickel and four binary nickel alloys have been subjected to high energy Ni ion bombardment at 675, 625 and 525°C. After irradiation, each specimen was studied by transmission electron microscopy. The pure nickel control was found to swell appreciably (1 to 5%) and the Ni-Al and the Ni-Ti samples were found to swell at all temperatures, but to a lesser degree (0.01 to 0.35%). The Ni-Mo contained a significant density of voids only at 525° C, while swelling was suppressed at all temperatures in the Ni-Si alloy. The dislocation structure progressed from loops to tangles as temperature increased in all materials except the Ni-Ti, in which there was an absence of loops at all temperatures. Dislocation densities decreased as temperature increased in all samples. These results do not correlate well with the relative behavior of the same alloys observed after neutron irradiation at 455°C. The differences between these two sets of data appear to be caused by different mechanisms controlling void nucleation in ion and neutron irradiation of these alloys.

  9. Effect of the ion bombardment on the apparent barrier height in GaAs Schottky junctions

    International Nuclear Information System (INIS)

    Horvath, Zs. J.

    1994-01-01

    The bombardment of the semiconductor with different particles often results in the change of the doping concentration at the semiconductor surface. In this paper the effects of this near-interface concentration change on the apparent and real Schottky barrier heights are discussed. Experimental results obtained in GaAs Schottky junctions prepared on ion-bombarded semiconductor surfaces are analysed, and it is shown that their electrical characteristics are strongly influenced by the near-interface concentration change due to the ion bombardment. (author). 36 refs., 2 figs

  10. Low-energy ion beam bombardment effect on the plant-cell-envelope mimetic membrane for DNA transfer

    International Nuclear Information System (INIS)

    Prakrajang, K.; Sangwijit, K.; Anuntalabhochai, S.; Wanichapichart, P.; Yu, L.D.

    2012-01-01

    This study is a systematic analysis of the mechanisms involved in ion-beam induced DNA transfer, an important application of ion beam biotechnology. Cellulose membranes were used to mimic the plant cell envelope. Ion beams of argon (Ar) or nitrogen (N) at an energy of 25 keV bombarded the cellulose membranes at fluences ranging from 10 15 to 10 16 ions/cm 2 . The damage to the ion-beam-bombarded membranes was characterized using infrared spectroscopy, a micro tensile test and scanning electron microscopy (SEM). Chain scission was the dominant radiation damage type in the membrane. DNA diffusion across the membrane was significantly increased after ion beam bombardment. The increase in DNA transfer is therefore attributed to chain scission, which increases the permeability by increasing the number of pores in the membrane.

  11. Ion bombardment effects on surface states in selected oxide systems: rutile and alkaline earth titanates

    International Nuclear Information System (INIS)

    Gruen, D.M.

    1978-01-01

    In this paper, the nature of the surface states of n-type TiO 2 and SrTiO 3 is discussed and the role of ion bombardment in modifying the properties of these states is elucidated. Insofar as possible, the interrelationships between oxide nonstoichiometry, surface states, ion bombardment effects and photoelectrolysis are explored

  12. Alteration of the UV-visible reflectance spectra of H2O ice by ion bombardment

    Science.gov (United States)

    Sack, N. J.; Boring, J. W.; Johnson, R. E.; Baragiola, R. A.; Shi, M.

    1991-01-01

    Satellite in the Jovian and Saturnian system exhibit differences in reflectivity between their 'leading' and 'trailing' surfaces which can affect the local vapor pressure. Since these differences are thought to be due to differences in the flux of bombarding magnetospheric ions, the influence of ion impact on the UV-visible reflectance of water ice surfaces (20-90 K) by keV ion bombardment was studied. An observed decrease in reflectance in the UV is attributed to rearrangement processes that affect the physical microstructure and surface 'roughness'. The ratio in reflectance of bombarded to freshly deposited films is compared to the ratio of the reflectance of the leading and trailing hemispheres for Europa and Ganymede.

  13. Ion peening and stress relaxation induced by low-energy atom bombardment of covalent solids

    International Nuclear Information System (INIS)

    Koster, Monika; Urbassek, Herbert M.

    2001-01-01

    Using molecular-dynamics simulation, we study the buildup and relaxation of stress induced by low-energy (≤150 eV) atom bombardment of a target material. The effect is brought out most clearly by using an initially compressed specimen. As target material, we employ Si, based on the Tersoff potential. By varying the bond strength in the potential, we can specifically study its effect on damage production and stress changes. We find that in general, stress is relaxed by the atom bombardment; only for low bombarding energies and strong bonds, atom bombardment increases stress. We rationalize this behavior by considering the role of energized atoms and of recoil-implanted target atoms

  14. Low-energy ion beam bombardment effect on the plant-cell-envelope mimetic membrane for DNA transfer

    Energy Technology Data Exchange (ETDEWEB)

    Prakrajang, K., E-mail: k.prakrajang@gmail.com [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Sangwijit, K.; Anuntalabhochai, S. [Molecular Biology Laboratory, Department of Biology, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Wanichapichart, P. [Membrane Science and Technology Research Center, Department of Physics, Faculty of Science, Prince of Songkla University, Hat Yai, Songkla 90112 (Thailand); Yu, L.D., E-mail: yuld@fnrf.science.cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2012-09-01

    This study is a systematic analysis of the mechanisms involved in ion-beam induced DNA transfer, an important application of ion beam biotechnology. Cellulose membranes were used to mimic the plant cell envelope. Ion beams of argon (Ar) or nitrogen (N) at an energy of 25 keV bombarded the cellulose membranes at fluences ranging from 10{sup 15} to 10{sup 16} ions/cm{sup 2}. The damage to the ion-beam-bombarded membranes was characterized using infrared spectroscopy, a micro tensile test and scanning electron microscopy (SEM). Chain scission was the dominant radiation damage type in the membrane. DNA diffusion across the membrane was significantly increased after ion beam bombardment. The increase in DNA transfer is therefore attributed to chain scission, which increases the permeability by increasing the number of pores in the membrane.

  15. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  16. High Energy Ion Bombardment Simulation Facility at the University of Pittsburgh

    International Nuclear Information System (INIS)

    McGruer, J.N.; Choyke, W.J.; Doyle, N.J.; Spitznagel, J.A.

    1975-01-01

    The High Energy Ion Bombardment Simulation (HEIBS) Facility located at the University of Pittsburgh is now operational. The E-22 tandem accelerator of the Nuclear Physics Laboratory, fitted with a UNIS source, provides the heavy high energy ions. An auxiliary Van de Graaff accelerator is used for the simultaneous production of He ions. Special features of the simulation laboratory are reported

  17. Continuum radiation emitted from transition metals under ion bombardment

    International Nuclear Information System (INIS)

    El Boujlaidi, A.; Kaddouri, A.; Ait El Fqih, M.; Hammoum, K.; Aouchiche, H.

    2012-01-01

    Optical emission of transition metals has been studied during 5 keV Kr + ions bombardment within and without oxygen atmosphere in the colliding chamber. The observed spectra consist of a series of discrete lines superimposed on a broad continuum. Generally, the emission intensity was influenced by the presence of oxygen giving rise to transient effects as well as to an increase in the line intensity. The behaviours of spectral lines were successfully explained in term of electron-transfer process between the excited sputtered atom and the solid surface. In this work, we have focused our study on the continuous radiation emitted during ion bombardment. The experimental results suggest that the continuum emission depends on the nature of metal and very probably related to its electronic structure. The collective deactivation of 3d-shell electrons appears to play a role in the emission of this radiation. The observed enhancement in the presence of oxygen is probably due to a significant contribution of the oxide molecules. (authors)

  18. Ion bombardment induced smoothing of amorphous metallic surfaces: Experiments versus computer simulations

    International Nuclear Information System (INIS)

    Vauth, Sebastian; Mayr, S. G.

    2008-01-01

    Smoothing of rough amorphous metallic surfaces by bombardment with heavy ions in the low keV regime is investigated by a combined experimental-simulational study. Vapor deposited rough amorphous Zr 65 Al 7.5 Cu 27.5 films are the basis for systematic in situ scanning tunneling microscopy measurements on the smoothing reaction due to 3 keV Kr + ion bombardment. The experimental results are directly compared to the predictions of a multiscale simulation approach, which incorporates stochastic rate equations of the Langevin type in combination with previously reported classical molecular dynamics simulations [Phys. Rev. B 75, 224107 (2007)] to model surface smoothing across length and time scales. The combined approach of experiments and simulations clearly corroborates a key role of ion induced viscous flow and ballistic effects in low keV heavy ion induced smoothing of amorphous metallic surfaces at ambient temperatures

  19. Cesium ion bombardment of metal surfaces

    International Nuclear Information System (INIS)

    Tompa, G.S.

    1986-01-01

    The steady state cesium coverage due to cesium ion bombardment of molybdenum and tungsten was studied for the incident energy range below 500 eV. When a sample is exposed to a positive ion beam, the work function decreases until steady state is reached with a total dose of less than ≅10 16 ions/cm 2 , for both tungsten and molybdenum. A steady state minimum work function surface is produced at an incident energy of ≅100 eV for molybdenum and at an incident energy of ≅45 eV for tungsten. Increasing the incident energy results in an increase in the work function corresponding to a decrease in the surface coverage of cesium. At incident energies less than that giving the minimum work function, the work function approaches that of cesium metal. At a given bombarding energy the cesium coverage of tungsten is uniformly less than that of molybdenum. Effects of hydrogen gas coadsorption were also examined. Hydrogen coadsorption does not have a large effect on the steady state work functions. The largest shifts in the work function due to the coadsorption of hydrogen occur on the samples when there is no cesium present. A theory describing the steady-state coverage was developed is used to make predictions for other materials. A simple sticking and sputtering relationship, not including implantation, cannot account for the steady state coverage. At low concentrations, cesium coverage of a target is proportional to the ratio of (1 - β)/γ where β is the reflection coefficient and γ is the sputter yield. High coverages are produced on molybdenum due to implantation and low backscattering, because molybdenum is lighter than cesium. For tungsten the high backscattering and low implantation result in low coverages

  20. Heavy-ion induced desorption yields of cryogenic surfaces bombarded with 4.2 MeV/u lead ions

    CERN Document Server

    Mahner, E; Evans, L; Kollmus, H; Küchler, D; Scrivens, R; Severin, D; Wengenroth, M; CERN. Geneva. ATS Department

    2011-01-01

    The ion-induced desorption experiment, installed in the CERN Heavy-Ion Accelerator LINAC 3, has been used to study the dynamic outgassing of cryogenic surfaces. Two different targets, bare and goldcoated copper, were bombarded under perpendicular impact with 4.2 MeV/u Pb54+ ions. Partial pressure rises of H2, CH4, CO, and CO2 and effective desorption yields were measured at 300, 77, and 6.3 K using single shot and continuous ion bombardment techniques. We find that the heavy-ion-induced desorption yield is temperature dependent and investigate the influence of CO gas cryosorbed at 6.3 K. The gain in desorption yield reduction at cryogenic temperature vanishes after several monolayers of CO are cryosorbed on both targets. In this paper we describe the new cryogenic target assembly, the temperature-dependent pressure rise, desorption yield, and gas adsorption measurements.

  1. Ion bombardment damage in a modified Fe-9Cr-1Mo steel

    International Nuclear Information System (INIS)

    Farrell, K.; Lee, E.H.

    1984-01-01

    A normalized-and-tempered Fe-9Cr-1Mo steel, with small Nb and V additions, was bombarded with 4-MeV iron ions to 100 dpa at 400, 450, 500, 550, and 600 0 C. Major damage feature was dislocation tangles which coarsened with increasing bombardment temperature. Sparse cavities were heterogeneously distributed at 500 and 550 0 C. Incorporation of helium and deuterium simultaneously in the bombardments at rates of 10 and 45 appM/dpa, respectively, introduced very high concentrations of small cavities at all temperatures, many of them on grain boundaries. These cavities were shown to be promoted by helium. A small fraction of the matrix cavities exhibited bias-driven growth at 500 and 550 0 C, with swelling 0 C higher than the peak swelling temperature found in neutron irradiations, which is compatible with the higher damage rate used in the ion bombardments. High concentrations of subgrain boundaries and dislocations resulting from the heat treatment, and unbalanced cavity and dislocation sink strengths in the damage structures contribute to the swelling resistance. Such resistance may not be permanent. High densities of bubbles on grain boundaries indicate a need for helium embrittlement tests

  2. Ion bombardment effect on surface state of metal

    International Nuclear Information System (INIS)

    Vaulin, E.P.; Georgieva, N.E.; Martynenko, T.P.

    1990-01-01

    The effect of slow argon ion bombardment on the surface microstructure of polycrystalline copper as well as the effect of surface state on sputtering of D-16 polycrystalline alloy are experimentally studied. Reduction of copper surface roughness is observed. It is shown that the D-16 alloy sputtering coefficient is sensitive to the surface state within the limits of the destructed surface layer

  3. Boron lattice location in room temperature ion implanted Si crystal

    International Nuclear Information System (INIS)

    Piro, A.M.; Romano, L.; Mirabella, S.; Grimaldi, M.G.

    2005-01-01

    The B lattice location in presence of a Si-self-interstitial (I Si ) supersaturation, controlled by energetic proton bombardment, has been studied by means of ion channelling and massive Monte Carlo simulations. B-doped layers of Si crystals with a B concentration of 1 x 10 2 B/cm 3 were grown by Molecular Beam Epitaxy. Point defect engineering techniques, with light energetic ion implants, have been applied to generate an I Si uniform injection in the electrically active layer. The displacement of B atoms out of substitutional lattice sites was induced by 650 keV proton irradiations at room temperature (R.T.) and the resultant defect configuration was investigated by ion channelling and Nuclear Reaction Analysis (NRA) techniques. Angular scans were measured both through and axes along the (1 0 0) plane using the 11 B(p,α) 8 Be nuclear reaction at 650 keV proton energy. Monte Carlo simulated angular scans were calculated considering a variety of theoretical defect configurations, supported by literature, and compared with experimental data. Our experimental scans can be fitted by a linear combination of small (0.3 A) and large B displacements (1.25 A) along the direction, compatible with the B-dumbbell oriented along as proposed by ab initio calculations

  4. The effects of thermal annealing on iron bombarded InP/InGaAs multilayer structures

    International Nuclear Information System (INIS)

    Subramaniam, S.C.; Rezazadeh, A.A.

    2006-01-01

    The effects of Fe-ion bombardment at 77 K (cold) and room temperature (RT) into single layer InGaAs, InP and multilayer InP/InGaAs HBT structures have been investigated. Annealing characteristics and RF dissipation loss measurements of Fe-ion bombarded samples at 77 K indicated good electrical isolation in n-, p-type InGaAs materials and InP/InGaAs HBT structures. Thermally stable (up to 250 deg. C) high sheet resistance (R sh ) of ∼5 x 10 6 Ω/sq has been achieved on these samples while higher R sh of ∼10 7 Ω/sq was obtained for the n-InP materials bombarded with similar conditions. Dissipation losses of 1.7 dB/cm at 10 GHz and 2.8 dB/cm at 40 GHz have been measured for the cold Fe-ion bombarded InP-based HBT structures. This result is similar to those obtained for an un-bombarded S.I. InP substrate, indicating good electrical isolation. We have also determined electron trapping levels by thermal annealing for the cold and RT Fe-ion bombarded samples. It is shown that the high resistivity achieved in the cold implanted InGaAs layer is most likely due to the creation of mid-bandgap defect levels (E C - 0.33) eV, which are created only in the cold Fe-ion bombardment. The DC isolation and RF dissipation loss analysis have been used to identify a suitable bombardment scheme for the fabrication of planar InP/InGaAs HBTs

  5. Considerations about projectile and target X-rays induced during heavy ion bombardment

    Science.gov (United States)

    Fernandes, F.; Bauer, D. V.; Duarte, A.; Ferrari, T. M.; Niekraszewicz, L. A. B.; Amaral, L.; Dias, J. F.

    2018-02-01

    In this work we present some results concerning the X-rays emitted by heavy ions during target bombardment. In this case, Cl4+ and Cl5+ ions with energies from 4 MeV to 10 MeV were employed to irradiate vitreous carbon planchets. Moreover, total X-ray production cross sections of titanium X-rays induced by chlorine ions were obtained as well for the same energy range. Only inner shell transitions were considered in the present work. The titanium target consisted of a thin film deposited over vitreous carbon planchets. The results indicate that the projectile X-ray yields increase as a function of the bombarding energy for the present energy range. Effects due to projectile charge state appears to be of minor importance at these low ion velocities. It is shown that a simple exponential function can represent the continuum background of such complex spectra. The chlorine transition rates Kβ/Kα obtained from chlorine acting as a projectile interacting with a carbon target are about half the value when compared to the chlorine Kβ/Kα ratios obtained when a LiCl target is bombarded with C+ and C3+ ions with energies from 2 MeV to 6 MeV. As far as the total X-ray production cross sections of Ti induced by chlorine ions are concerned, the ECPSSR theory underestimates the Ti total X-rays production cross sections by several orders of magnitude. The role of electron capture and possible mechanisms responsible for these effects are discussed.

  6. Formation of a highly doped ultra-thin amorphous carbon layer by ion bombardment of graphene

    Science.gov (United States)

    Piotr Michałowski, Paweł; Pasternak, Iwona; Ciepielewski, Paweł; Guinea, Francisco; Strupiński, Włodek

    2018-07-01

    Ion bombardment of graphene leads to the formation of defects which may be used to tune properties of the graphene based devices. In this work, however, we present that the presence of the graphene layer on a surface of a sample has a significant impact on the ion bombardment process: broken sp2 bonds react with the incoming ions and trap them close to the surface of the sample, preventing a standard ion implantation. For an ion bombardment with a low impact energy and significant dose (in the range of 1014 atoms cm‑2) an amorphization of the graphene layer is observed but at the same time, most of the incoming ions do not penetrate the sample but stop at the surface, thus forming a highly doped ultra-thin amorphous carbon layer. The effect may be used to create thin layers containing desired atoms if no other technique is available. This approach is particularly useful for secondary ion mass spectrometry where a high concentration of Cs at the surface of a sample significantly enhances the negative ionization probability, allowing it to reach better detection limits.

  7. Ion bombardment effects on the fatigue life of stainless steel under simulated fusion first wall conditions

    International Nuclear Information System (INIS)

    Kohse, G.; Harling, O.K.

    1983-01-01

    Pressurized tube specimens have been exposed to simultaneous multi-energy surface ion bombardment, fast neutron irradiation and stress and temperature cycling, in a simulation of a possible fusion reactor first wall environment. After ion bombardments equivalent to months-years of reactor operation and up to 30,000 cycles, no detrimental effects on post-irradiation fatigue life were found. The ion damage is found to enhance surface cracking, but this effect is limited to the several micron surface layer in which the ions are implanted

  8. CoSi2 growth on Si(001) by reactive deposition epitaxy: Effects of high-flux, low-energy ion irradiation

    International Nuclear Information System (INIS)

    Lim, C. W.; Greene, J. E.; Petrov, I.

    2006-01-01

    CoSi 2 layers, CoSi 2 (parallel sign)(001) Si and [100] CoSi 2 (parallel sign)[100] Si , contain fourfold symmetric (111) twinned domains oriented such that (221) CoSi 2 (parallel sign)(001) Si and CoSi 2 (parallel sign)[110] Si . We demonstrate that high-flux low-energy (E Ar + =9.6 eV) Ar + ion irradiation during deposition dramatically increases the area fraction f u of untwinned regions from 0.17 in films grown under standard magnetically balanced conditions in which the ratio J Ar + /J Co of the incident Ar + to Co fluxes is 1.4 to 0.72 with J Ar + /J Co =13.3. TEM analyses show that the early stages of RDE CoSi 2 (001) film growth proceed via the Volmer-Weber mode with independent nucleation of both untwinned and twinned islands. Increasing J Ar + /J Co results in larger values of both the number density and area of untwinned with respect to twinned islands. The intense Ar + ion bombardment creates additional low-energy adsorption sites that favor the nucleation of untwinned islands while collisionally enhancing Co surface mobilities which, in turn, increases the probability of itinerant Co adatoms reaching these sites

  9. Enhancement of the secondary ion emission from Si by O/sub 2 and H/sub 2/O adsorption

    International Nuclear Information System (INIS)

    Huan, C.H.; Wee, A.T.S.; Tan, K.L.

    1992-01-01

    The positive and negative secondary ion emission of Si are examined as a function of O/sub 2 and H/sub 2/O surface coverage under conditions of simultaneous adsorption and Ar/sup+ ion bombardment. It is found that the ion-molecule mechanism accounts for the adsorbate-induced signals and that yield enhancement by H/sub 2/O adsorption is less effective than O/sub 2 adsorption. (authors)

  10. In-Situ atomic force microscopic observation of ion beam bombarded plant cell envelopes

    International Nuclear Information System (INIS)

    Sangyuenyongpipat, S.; Yu, L.D.; Brown, I.G.; Seprom, C.; Vilaithong, T.

    2007-01-01

    A program in ion beam bioengineering has been established at Chiang Mai University (CMU), Thailand, and ion beam induced transfer of plasmid DNA molecules into bacterial cells (Escherichia coli) has been demonstrated. However, a good understanding of the fundamental physical processes involved is lacking. In parallel work, onion skin cells have been bombarded with Ar + ions at energy 25 keV and fluence1-2 x 10 15 ions/cm 2 , revealing the formation of microcrater-like structures on the cell wall that could serve as channels for the transfer of large macromolecules into the cell interior. An in-situ atomic force microscope (AFM) system has been designed and installed in the CMU bio-implantation facility as a tool for the observation of these microcraters during ion beam bombardment. Here we describe some of the features of the in-situ AFM and outline some of the related work

  11. Additional ion bombardment in PVD processes generated by a superimposed pulse bias voltage

    International Nuclear Information System (INIS)

    Olbrich, W.; Kampschulte, G.

    1993-01-01

    The superimposed pulse bias voltage is a tool to apply an additional ion bombardment during deposition in physical vapour deposition (PVD) processes. It is generated by the combination of a d.c. ground voltage and a higher d.c. pulse voltage. Using a superimposed pulse bias voltage in ion-assisted PVD processes effects an additional all-around ion bombardment on the surface with ions of higher energy. Both metal and reactive or inert-gas ions are accelerated to the surface. The basic principles and important characteristics of this newly developed process such as ion fluxes or deposition rates are shown. Because of pulsing the high voltage, the deposition temperature does not increase much. The adhesion, structure, morphology and internal stresses are influenced by these additional ion impacts. The columnar growth of the deposited films could be suppressed by using the superimposed pulse bias voltage without increasing the deposition temperature. Different metallizations (Cr and Cu) produced by arc and sputter ion plating are investigated. Carbon-fibre-reinforced epoxy are coated with PVD copper films for further treatment in electrochemical processes. (orig.)

  12. Friction and wear measurements of sputtered MoS/sub x/ films amorphized by ion bombardment

    International Nuclear Information System (INIS)

    Mikkelsen, N.J.; Chevallier, J.; Soerensen, G.; Straede, C.A.

    1988-01-01

    The present study presents an experimental evidence for amorphization of rf sputtered MoS/sub x/ films by ion bombardment. Even at low doses (3 x 10 15 ions/cm 2 ) of 400 keV argon ions a complete amorphization was confirmed by x-ray diffraction analysis and transmission electron microscopy. As a result of the ion bombardment the film density increased 100% to almost the bulk value for MoS 2 . The friction coefficient for ion beam amorphized MoS/sub x/ was measured to be 0.04 in agreement with the values reported for crystalline films but disagreeing considerably with the friction coefficient of 0.4 previously reported for amorphous films

  13. Influence of ion bombardment induced patterning of exchange bias in pinned artificial ferrimagnets on the interlayer exchange coupling

    Energy Technology Data Exchange (ETDEWEB)

    Schmalhorst, Jan; Reiss, Guenter; Hoenik, V. [Thin Films and Nanostructures, Department of Physics, Univ. Bielefeld (Germany); Weis, Tanja; Engel, Dieter; Ehresmann, Arno [Institute of Physics and Center for Interdisciplinary Nanostructure Science and Technology, Kassel Univ. (Germany)

    2007-07-01

    Artificial ferrimagnets (AFi) have many applications as, e.g., pinned reference electrodes in magnetic tunnel junctions. It is known that the application of ion bombardment induced magnetic patterning with He ions on a single layer reference electrode of magnetic tunnel junctions is possible. For some applications a combination of ion bombardment induced magnetic patterning and artificial ferrimagnets as a reference electrode is desirable. The effect of ion bombardment induced magnetic patterning on pinned artificial ferrimagnets with a Ru interlayer which is frequently used in magnetic tunnel junctions as well as pinned AFis with a Cu interlayer has been tested. Special attention has been given to the question whether the antiferromagnetic interlayer exchange coupling can withstand the ion dose necessary to turn the exchange bias.

  14. On the modification of metal/ceramic interfaces by low energy ion/atom bombardment during film growth

    International Nuclear Information System (INIS)

    Rigsbee, J.M.; Scott, P.A.; Knipe, R.K.; Hock, V.F.

    1986-01-01

    Elemental Cu and Ti films have been deposited onto ceramic substrates with a plasma-aided physical vapor deposition (ion-plating) process. This paper discusses how the structure and chemistry of the metallic film and the metal/ceramic interface are modified by low energy ion and neutral atom bombardment. Emphasis is placed on determining how low energy ion/neutral atom bombardment affects the strength of the metal/ceramic interface. Analyses of the film, interface and substrate regions have employed scanning Auger microprobe, secondary ion mass spectroscopy, SEM/STEM-energy dispersive X-ray and TEM/STEM imaging and microdiffraction techniques. (Auth.)

  15. Study on the growth of aligned carbon nanotubes controlled by ion bombardment

    International Nuclear Information System (INIS)

    Wang Biben; Zhang Bing; Zheng Kun; Hao Wei; Wang Wanlu; Liao Kejun

    2004-01-01

    Aligned carbon nanotubes were prepared by plasma-enhanced hot filament chemical vapor deposition using CH 4 , H 2 and NH 3 as reaction gases. It was investigated how different negative bias affects the growth of aligned carbon nanotubes. The results indicate that the average diameter of the aligned carbon nanotubes is reduced and the average length of the aligned carbon nanotubes is increased with increasing negative bias. Because of the occurrence of glow discharge, a cathode sheath forms near the substrate surface, and a number of ions are produced in it, and a very strong electrical field builds up near the substrate surface. Under the effect of the field, the strong bombardment of ions on the substrate surface will influence the growth of aligned carbon nanotubes. Combined with related theories, authors have analyzed and discussed the ion bombardment effects on the growth of the aligned carbon nanotudes

  16. Calculations on displacement damage and its related parameters for heavy ion bombardment in reactor materials

    International Nuclear Information System (INIS)

    Sone, Kazuho; Shiraishi, Kensuke

    1975-04-01

    The depth distribution of displacement damage expressed in displacements per atom (DPA) in reactor materials such as Mo, Nb, V, Fe and Ni bombarded by energetic nitrogen, argon and self ions with incident energy below 2 MeV was calculated following the theory developed by Lindhard and co-workers for the partition of energy as an energetic ion slowing down. In this calculation, energy loss due to electron excitation was taken into account for the atomic collision cascade after the primary knock-on process. Some parameters indispensable for the calculation such as energy loss rate, damage efficiency, projected range and its straggling were tabulated as a function of incident ion energy of 20 keV to 2 MeV. The damage and parameters were also calculated for 2 MeV nickel ions bombarding Fe targets. In this case, the DPA value is of 40--75% overestimated in a calculation disregarding electronic energy loss for primary knock-on atoms. The formula proposed in this report is significant for calculations on displacement damage produced by heavy ion bombardment as a simulation of high fluence fast neutron damage. (auth.)

  17. Calculations on displacement damage and its related parameters for heavy ion bombardment in reactor materials

    Energy Technology Data Exchange (ETDEWEB)

    Sone, K; Shiraishi, K

    1975-04-01

    The depth distribution of displacement damage expressed in displacements per atom (DPA) in reactor materials such as Mo, Nb, V, Fe and Ni bombarded by energetic nitrogen, argon and self ions with incident energy below 2 MeV was calculated following the theory developed by Lindhard and co-workers for the partition of energy as an energetic ion slowing down. In this calculation, energy loss due to electron excitation was taken into account for the atomic collision cascade after the primary knock-on process. Some parameters indispensable for the calculation such as energy loss rate, damage efficiency, projected range and its straggling were tabulated as a function of incident ion energy of 20 keV to 2 MeV. The damage and parameters were also calculated for 2 MeV nickel ions bombarding Fe targets. In this case, the DPA value is of 40--75% overestimated in a calculation disregarding electronic energy loss for primary knock-on atoms. The formula proposed in this report is significant for calculations on displacement damage produced by heavy ion bombardment as a simulation of high fluence fast neutron damage.

  18. Controllable fabrication of amorphous Si layer by energetic cluster ion bombardment

    Czech Academy of Sciences Publication Activity Database

    Lavrentiev, Vasyl; Vorlíček, Vladimír; Dejneka, Alexandr; Chvostová, Dagmar; Jäger, Aleš; Vacík, Jiří; Jastrabík, Lubomír; Naramoto, H.; Narumi, K.

    2013-01-01

    Roč. 98, SI (2013), s. 49-55 ISSN 0042-207X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 ; RVO:61389005 Keywords : energetic cluster s * silicon * surface modification * amorphization * nanostructure * Raman scattering * ion channeling Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders; BM - Solid Matter Physics ; Magnetism (FZU-D) Impact factor: 1.426, year: 2013 http://ac.els-cdn.com/S0042207X13001759/1-s2.0-S0042207X13001759-main.pdf?_tid=04e9c946-21dd-11e3-b076-00000aacb361&acdnat=1379672070_859355b2850a09ac74bc8ff413e35dda

  19. Comments on Auger electron production by Ne/sup +/ bombardment of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Pepper, S V; Ferrante, J [National Aeronautics and Space Administration, Cleveland, OH (USA). Lewis Research Center

    1979-09-01

    In this letter, the authors first report rather conclusive experimental evidence showing that the Ne Auger signal is due to asymmetric Ne-metal collisions and not symmetric Ne-Ne collisions. Next it is shown that the Ne Auger signal is in fact observable by Ne/sup +/ bombardment of Si and with signal strength comparable to that of the Si Auger signal for 3 keV incident ion energy. Finally, they comment on some trends in the relative amplitudes of the 21.9 and 25.1 eV Ne Auger signals as a function of incident ion energy and target species.

  20. Suppression secondary electrons from target surface under pulsed ion beams bombardment

    International Nuclear Information System (INIS)

    Yang Zhen; Peng Yufei; Long Jidong; Lan Chaohui; Dong Pan; Shi Jinshui

    2012-01-01

    The producing mechanism of secondary electrons from target surface under ion beams bombardment is discussed. Several methods to suppress the secondary electrons in special vacuum devices and their advantages and disadvantages are introduced. The ways of using self-bias and curved surface target are proposed and verified in the experiment. The results show that the secondary electrons can be effectively suppressed when the self-bias is larger than 80 V. The secondary electron yield decreases by using curved surface target instead of flat target. The secondary electron yield calculated from the experimental data is about 0.67, which is slightly larger than the value (0.58) from the literature due to the impurities of the ion beam and target surface. The effect of suppressing the electron countercurrent by the self-bias method is analyzed. The result shows that the self-bias method can not only suppress the secondary electrons from target surface under ion beams bombardment, but also suppress the electron countercurrent resulting from the instability of the pulsed power source. (authors)

  1. Nanofabrication on a Si surface by slow highly charged ion impact

    International Nuclear Information System (INIS)

    Tona, Masahide; Watanabe, Hirofumi; Takahashi, Satoshi; Nakamura, Nobuyuki; Yoshiyasu, Nobuo; Sakurai, Makoto; Terui, Toshifumi; Mashiko, Shinro; Yamada, Chikashi; Ohtani, Shunsuke

    2007-01-01

    We have observed surface chemical reactions which occur at the impact sites on a Si(1 1 1)-(7 x 7) surface and a highly oriented pyrolytic graphite (HOPG) surface bombarded by highly charged ions (HCIs) by using a scanning tunneling microscope (STM). Crater structures are formed on the Si(1 1 1)-(7 x 7) surface by single I 50+ -impacts. STM-observation for the early step of oxidation on the surface suggests that the impact site is so active that dangling bonds created by HCI impacts are immediately quenched by reaction with residual gas molecules. We show also the selective adsorption of organic molecules at a HCI-induced impact site on the HOPG surface

  2. Films deposited from reactive sputtering of aluminum acetylacetonate under low energy ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Battaglin, Felipe Augusto Darriba; Prado, Eduardo Silva; Cruz, Nilson Cristino da; Rangel, Elidiane Cipriano, E-mail: elidiane@sorocaba.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Sorocaba, SP (Brazil). Lab. de Plasmas Tecnologicos; Caseli, Luciano [Universidade Federal de Sao Paulo (UNIFESP), Diadema, SP (Brazil). Instituto de Ciencias Ambientais, Quimicas e Farmaceuticas; Silva, Tiago Fiorini da; Tabacniks, Manfredo Harri [Universidade de Sao Paulo (USP), SP (Brazil). Instituto de Fisica

    2017-07-15

    Films were deposited from aluminum acetylacetonate (Al(acac)3 ) using a methodology involving reactive sputtering and low energy ion bombardment. The plasma was generated by the application of radiofrequency power to the powder containing electrode and simultaneously, negative pulses were supplied to the electrode where the substrates were attached. It was investigated the effect of the duty cycle of the pulses (Δ) on the properties of the coatings. Association of ion bombardment to the deposition process increased film thickness, structure reticulation and organic content. Ions from the deposition environment were implanted at the film-air interface or underneath it. Morphology and topography were altered depending on Δ. Considering the enhancement of Δ, it affected the flux of ions reaching the depositing interface and then the deposition rate, H content, crosslinking degree and surface microstructure. Alumina groups were detected in the infrared spectra, whereas the precipitation of amorphous alumina was confirmed by X-ray diffraction. (author)

  3. Ion Back-Bombardment of GaAs Photocathodes Inside DC High Voltage Electron Guns

    CERN Document Server

    Grames, Joseph M; Brittian, Joshua; Charles, Daniel; Clark, Jim; Hansknecht, John; Lynn Stutzman, Marcy; Poelker, Matthew; Surles-Law, Kenneth E

    2005-01-01

    The primary limitation for sustained high quantum efficiency operation of GaAs photocathodes inside DC high voltage electron guns is ion back-bombardment of the photocathode. This process results from ionization of residual gas within the cathode/anode gap by the extracted electron beam, which is subsequently accelerated backwards to the photocathode. The damage mechanism is believed to be either destruction of the negative electron affinity condition at the surface of the photocathode or damage to the crystal structure by implantation of the bombarding ions. This work characterizes ion formation within the anode/cathode gap for gas species typical of UHV vacuum chambers (i.e., hydrogen, carbon monoxide and methane). Calculations and simulations are performed to determine the ion trajectories and stopping distance within the photocathode material. The results of the simulations are compared with test results obtained using a 100 keV DC high voltage GaAs photoemission gun and beamline at currents up to 10 mA D...

  4. Measurement of electron emission due to energetic ion bombardment in plasma source ion implantation

    Science.gov (United States)

    Shamim, M. M.; Scheuer, J. T.; Fetherston, R. P.; Conrad, J. R.

    1991-11-01

    An experimental procedure has been developed to measure electron emission due to energetic ion bombardment during plasma source ion implantation. Spherical targets of copper, stainless steel, graphite, titanium alloy, and aluminum alloy were biased negatively to 20, 30, and 40 kV in argon and nitrogen plasmas. A Langmuir probe was used to detect the propagating sheath edge and a Rogowski transformer was used to measure the current to the target. The measurements of electron emission coefficients compare well with those measured under similar conditions.

  5. Auger emission from solid surfaces bombarded with ions

    International Nuclear Information System (INIS)

    Grizzi, Oscar.

    1986-01-01

    The Auger electron emission from Be, Na, Mg, Al and Si bombarded with 0,5-20 KeV noble gas ions is studied. Sharp structures of the Auger electron spectra of Na and Be were identified. A Monte Carlo program was adapted to simulate the colision cascade in the solid, inner shell excitations and Auger decays. From the comparision of experimental and simulated Auger intensities, the relative role of symmetric and asymmetric collisions in Be K- and Al L-shell excitation were evaluated. In the case of Be, the discussion of the exciting processes to higher projectile energies was extended. To this end, the simulation to early measurements of Be K X-ray yields was applied. From this analysis, information about the variations of the fluorescence yield and outer-shell occupation numbers of Be with projectile energy was obtained. The study of the shape of the sharp Auger structures and their dependence with the energy and incidence projectile angle gives information about the collisional processes, inner hole lifetimes and Auger decays. From the evaluation of the energy and angular distribution of the excited sputtered atoms and the interaction between them and the metallic-surface, the energy shift distributions in the Auger energies were obtained. From the comparison of these distributions with the experimental atomic peaks, the main causes of the broadening of these peaks were determined. (M.E.L.) [es

  6. Effect of Carbon Concentration on the Sputtering of Carbon-Rich SiC Bombarded by Helium Ions

    Directory of Open Access Journals (Sweden)

    Xinghao Liang

    2018-02-01

    Full Text Available Silicon carbide (SiC is considered as an important material for nuclear engineering due to its excellent properties. Changing the carbon content in SiC can regulate and control its elastic and thermodynamic properties, but a simulation study of the effect of carbon content on the sputtering (caused by the helium ions of SiC is still lacking. In this work, we used the Monte-Carlo and molecular dynamics simulation methods to study the effects of carbon concentration, incidence energy, incident angle, and target temperature on the sputtering yield of SiC. The results show that the incident ions’ energy and angle have a significant effect on sputtering yield of SiC when the carbon concentration in SiC is around 62 at %, while the target temperature has a little effect on the sputtering yield of SiC. Our work might provide theoretical support for the experimental research and engineering application of carbon fiber-reinforced SiC that be used as the plasma-facing material in tokamak fusion reactors.

  7. Ion bombardment and adsorption studies on ilmenite (FeTiO3) by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Schulze, P.D.

    1983-01-01

    The effects of 5 KeV argon and oxygen ion bombardment on FeTiO3 (ilmenite) at low temperatures have been studied using x-ray photoelectron spectroscopy (XPS). Also, using this same technique, the adsorption of O 2 , NO, N 2 O, and CO at 300 K and the adsorption of O 2 and D 2 O at 150K have been studied. Argon and oxygen ion bombardment of ilmenite have confirmed earlier studies on metal oxides that argon ions generally reduce the anion species while oxygen ions generally oxidize the anion species. The two iron states involved were Fe sup +2 and Fe sup O. The reduction of Ti sup +4 was not verified although a significant shift in the Ti(2p1,3) binding energies toward the metallic state was observed after oxygen ion bombardment at low temperatures. At temperatures above 150K, O 2 adsorbs dissociatively on ilmenite while D 2 O adsorbs molecularly below 170K. Above 300 K NO, N 2 O, and CO do not appear to adsorb dissociatively. Low temperature adsorption of D 2 O was found to be inhibited by predosing the ilmenite with O 2

  8. Ion bombardment and adsorption studies on ilmenite (FeTiO3) by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Schulze, P. D.

    1983-01-01

    The effects of 5 KeV argon and oxygen ion bombardment on FeTiO3 (ilmenite) at low temperatures have been studied using X-ray photoelectron spectroscopy (XPS). Also, using this same technique, the adsorption of O2, NO, N2O, and CO at 300 K and the adsorption of O2 and D2O at 150K have been studied. Argon and oxygen ion bombardment of ilmenite have confirmed earlier studies on metal oxides that argon ions generally reduce the anion species while oxygen ions generally oxidize the anion species. The two iron states involved were Fe sup +2 and Fe sup O. The reduction of Ti sup +4 was not verified although a significant shift in the Ti(2p1,3) binding energies toward the metallic state was observed after oxygen ion bombardment at low temperatures. At temperatures above 150K, O2 adsorbs dissociatively on ilmenite while D2O adsorbs molecularly below 170K. Above 300 K No, N2O, and CO do not appear to adsorb dissociatively. Low temperature adsorption of D2O was found to be inhibited by predosing the ilmenite with O2.

  9. Nonlinear Amplitude Evolution During Spontaneous Patterning of Ion-Bombarded Si(001)

    International Nuclear Information System (INIS)

    Chason, Eric; Erlebacher, Jonah; Aziz, Michael J.; Floro, Jerold A.; Sinclair, Michael B.

    1999-01-01

    The time evolution of the amplitude of periodic nanoscale ripple patterns formed on Ar+ sputtered Si(OOl ) surfaces was examined using a recently developed in situ spectroscopic technique. At sufficiently long times, we find that the amplitude does not continue to grow exponentially as predicted by the standard Bradley-Harper sputter rippling model. In accounting for this discrepancy, we rule out effects related to the concentration of mobile species, high surface curvature, surface energy anisotropy, and ion-surface interactions. We observe that for all wavelengths the amplitude ceases to grow when the width of the topmost terrace of the ripples is reduced to approximately 25 nm. This observation suggests that a short circuit relaxation mechanism limits amplitude . growth. A strategy for influencing the ultimate ripple amplitude is discussed

  10. Oxygen flooding and sample cooling during depth profiling of HfSiON thin films

    Energy Technology Data Exchange (ETDEWEB)

    Miwa, Shiro [Materials Analysis Center, Materials Laboratories, Sony Corporation, 4-16-1 Okata, Atsugi 243-0021 (Japan)], E-mail: Shiro.Miwa@jp.sony.com

    2008-12-15

    A combination of oxygen flooding and. Cs primary ion bombardment can suppress the enhancement of the secondary ion signal at the surface and at the interface of a thin HfSiON layer on a Si substrate. The surface concentration of both Cs and O during Cs primary ion bombardment with oxygen flooding was higher than that without oxygen flooding, as confirmed by X-ray photoelectron spectroscopy. When the sample was cooled to about -150 deg. C, the enhancement of the secondary ion signal could be suppressed at a lower oxygen pressure.

  11. Conical surface textures formed by ion bombarding 2% Be-Cu alloy

    International Nuclear Information System (INIS)

    Panitz, J.K.G.

    1991-01-01

    A homogeneous, micrometer-sized conical surface texture forms on 2% Be-Cu alloy which is bombarded with an argon beam produced by a Kaufman ion source. The dimensions of the features that form depend strongly on argon energy (from 250 to 1500 eV); argon fluence (10 19 to 10 20 ions cm -2 ); and argon flux (0.1 to 1 mA cm -2 ). The texture morphology depends less strongly on the background ambient (Mo versus graphite), earlier alloy heat treatments and the temperature during bombardment (100 o C and 450 o C). As the texture matures with increasing fluence, the number of large features increases at the expense of the number of small features. The observed relationship between texture formation and ion flux suggests that the evolution of these features is not adequately described by theories predicting that the mature conical side-wall angle is related to the angle of the maximum sputtering yield. These textured surfaces can be coated with other metals for a variety of possible applications including pulsed power Li + beam anodes; cold cathode field emission devices; optical absorbers and catalysis supports. (author)

  12. Conical surface textures formed by ion bombarding 2% Be Cu alloy

    International Nuclear Information System (INIS)

    Panitz, J.K.G.

    1990-01-01

    A homogeneous, micrometer-sized conical surface texture forms on 2% Be-Cu alloy which is bombarded with an argon beam produced by a Kaufman ion source. The dimensions of the features that form strongly depend on: (1) argon energy (from 250 to 1500 eV), (2) fluence (10 19 to 10 20 ions/cm 2 ), and (3) flux (0.1 to 1 mA/cm 2 ). The texture morphology depends less strongly on the background ambient (Mo vs graphite), earlier alloy heat treatments and the temperature during bombardment (100 degree C and 450 degree C). As the texture matures with increasing fluence, the number of large features increases at the expense of the number of small features. The observed relationship between texture formation and ion flux suggests that the evolution of these features is not adequately described by theories predicting that the mature conical sidewall angle is related to the angle of the maximum sputtering yield. These textured surfaces can be coated with other metals for a variety of possible applications including: (1) pulsed power Li+ beam anodes, (2) cold cathode field emission devices, (3) optical absorbers and (4) catalysis supports. 18 refs., 5 figs

  13. Composition and structure of ion-bombardment-induced growth cones on InP

    International Nuclear Information System (INIS)

    Malherbe, J.B.; Lakner, H.; Gries, W.H.

    1991-01-01

    The previously reported effect of low-energy (several keV) ion bombardment on the surface topography of InP was investigated by scanning transmission electron microscopy. Convergent beam electron diffraction patterns of the surface growth 'cones' induced by argon ion bombardment of (100) InP between 7 and 10 keV proved the cones to consist of crystalline InP (and not metallic indium, as has sometimes been claimed). The investigation showed that the irradiated surface region is not rendered completely amorphous but that it recrystallizes from the crystalline/amorphous interface in a columnar growth pattern, often terminating in growth cones protruding above the surface. Weak beam investigations revealed that the overwhelming majority of the cones have the orientation of the substrate. These phenomena were observed at all dose densities from 7 x 10 15 to 2 x 10 17 cm -2 . (author)

  14. Cluster induced chemistry at solid surfaces: Molecular dynamics simulations of keV C60 bombardment of Si

    International Nuclear Information System (INIS)

    Krantzman, K.D.; Kingsbury, D.B.; Garrison, Barbara J.

    2007-01-01

    Molecular dynamics simulations of the sputtering of Si by keV C 60 bombardment have been performed as a function of incident kinetic energy at two incident angles, normal incidence and 45 deg. Nearly all of the C atoms remain embedded in the surface after bombardment because the C atoms from the projectile form strong covalent bonds with the Si atoms in the target. At low incident kinetic energies, the sputtering yield of Si atoms is small and there is a net deposition of solid material from the projectile atoms. As the incident kinetic energy is increased, the yield of sputtered Si atoms increases. A transition occurs in which the yield of sputtered Si atoms exceeds the number of C atoms deposited, and there is a net erosion of the solid material. A significantly higher sputter yield is observed at an incident angle of 45 deg. than at normal incidence, and therefore, the energy value is lower for the transition from net deposition to net erosion. This phenomenon is discussed in terms of the depth distribution of deposited energy, which is found to be shallower at an incident angle of 45 deg

  15. High-energy particle emission from galena and pyrite bombarded with Cs and O ions

    International Nuclear Information System (INIS)

    Karpuzov, D.S.; McIntyre, N.S.

    2002-01-01

    The ejection of energetic particles during steady-state ion surface bombardment has been investigated by means of a dynamic computer simulation as well as in a secondary ion mass spectrometry (SIMS)/low-energy ion scattering from surfaces (LEIS) experiment. The emphasis of this comparative study is on the mass dependence of high-energy tails in sputtering and backscattering for the bombardment of galena (PbS) and pyrite (FeS 2 ) with keV energy ion beam of cesium and oxygen. In the experiment, kinetic energy distributions of sputtered secondary ions (S + , Fe + , Pb + , S - ), as well as backscattered or re-sputtered primary ions (Cs + , O + , O - ), have been measured on a modified Cameca IMS-3f magnetic sector mass spectrometer for keV cesium (Cs + ) and oxygen (O 2 + , O - ) bombardment of galena and pyrite. Ejection of high-energy particles, with emission energies of up to ∼40% or up to ∼60% of the bombarding energy for sputtering of the lighter component (S ± ) with cesium or oxygen, respectively, and of up to ∼40% (Cs + ) and ∼80% (O ± ) for backscattering, has been observed for PbS. The computer simulations were based on the well-known MARLOWE code. In order to model the change of the stoichiometry of the binary compounds, dynamic modification of the target composition in the near-surface region was introduced. Cs incorporation was included, and a relative enrichment of the metallic component (Pb, Fe) in the top few layers due to preferential sputtering of sulfur was allowed. The computer simulations provide information on the formation of altered layer under sputter equilibrium as well as on the energy and angular emission distributions of sputtered and backscattered particles in steady-state conditions. Multiple scattering of Cs projectiles and dynamic re-sputtering of cesium that was previously incorporated in the altered near-surface region can be distinguished in the simulation, and matched with the experimental observations. In addition

  16. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  17. The effect of incidence angle on ion bombardment induced surface topography development on single crystal copper

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Lewis, G.W.; Whitton, J.L.

    1982-01-01

    The fluence dependence of development of microscopic surface features, particularly etch pits, during 9 keV Ar + ion bombardment of (11,3,1) oriented Cu single crystals has been studied employing quasi-dynamic irradiation and observation techniques in a scanning electron microscope-accelerator system. 9 keV ions are observed not to produce crystallographic pyramids under all irradiation conditions for this surface, a very different result from our earlier studies with higher energy ions. The bombardment does elaborate etch pits however, the habits and growth kinetics of which depend upon both polar and azimuthal angles of ion incidence to the surface. The results are explained in terms of differential erosion of crystal planes modified by the presence of pre-existing and irradiation induces extended defects. (orig.)

  18. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu [Accelerator Engineering Corporation, Chiba (Japan)

    2001-11-19

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  19. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    International Nuclear Information System (INIS)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki; Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu

    2001-01-01

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  20. Ion-bombardment effects on the fatigue life of stainless steel under simulated fusion first-wall conditions

    International Nuclear Information System (INIS)

    Kohse, G.E.

    1983-02-01

    An experiment which uses the MITR-II 5 MW research reactor to simulate several aspects of the anticipated environment of a fusion reactor first wall is described. Pressurized tube specimens are subjected simultaneously to stress and temperature cycling, surface bombardment by energetic helium and lithium ions and bulk irradiation by high-energy neutrons. Analysis of the samples is aimed primarily at determining the behavior of the ion bombarded surface layer, which has a depth of 2.5 μm, with particular reference to possible effects on the fatigue life of the material

  1. Study of ion-bombardment-induced surface topography of silver by stereophotogrammetric method

    International Nuclear Information System (INIS)

    Fayazov, I.M.; Sokolov, V.N.

    1992-01-01

    The ion-bombardment-induced surface topography of polycrystalline silver was studied using the stereophotogrammetric method. The samples were irradiated with 30keV argon ions at fairly high fluences (> 10 17 ions/cm 2 ). The influence of the inclination angle of the sample in the SEM on the cone shape of a SEM-picture is discussed. To analyse the irradiated surfaces covered with cones, the SEM-stereotechnique is proposed. The measurements of the sample section perpendicular to the incidence plane are also carried out. (author)

  2. Thermo-mechanical design aspects of mercury bombardment ion thrusters.

    Science.gov (United States)

    Schnelker, D. E.; Kami, S.

    1972-01-01

    The mechanical design criteria are presented as background considerations for solving problems associated with the thermomechanical design of mercury ion bombardment thrusters. Various analytical procedures are used to aid in the development of thruster subassemblies and components in the fields of heat transfer, vibration, and stress analysis. Examples of these techniques which provide computer solutions to predict and control stress levels encountered during launch and operation of thruster systems are discussed. Computer models of specific examples are presented.

  3. Ripple structures on surfaces and underlying crystalline layers in ion beam irradiated Si wafers

    Energy Technology Data Exchange (ETDEWEB)

    Grenzer, J.; Muecklich, A. [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany); Biermanns, A.; Grigorian, S.A.; Pietsch, U. [Institute of Physics, University of Siegen (Germany)

    2009-08-15

    We report on the formation of ion beam induced ripples in Si(001) wafers when bombarded with Ar+ ions at an energy of 60 keV. A set of samples varying incidence and azimuthal angles of the ion beam with respect to the crystalline surface orientation was studied by two complementary near surface sensitive techniques, namely atomic force microscopy and depth-resolved X-ray grazing incidence diffraction (GID). Additionally, cross-section TEM investigations were carried out. The ripple-like structures are formed at the sample surface as well as at the buried amorphous-crystalline interface. Best quality of the ripple pattern was found when the irradiating ion beam was aligned parallel to the (111) planes. The quality decreases rapidly if the direction of the ion beam deviates from (111). (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  4. Highly ordered nanopatterns on Ge and Si surfaces by ion beam sputtering

    International Nuclear Information System (INIS)

    Ziberi, B; Cornejo, M; Frost, F; Rauschenbach, B

    2009-01-01

    The bombardment of surfaces with low-energy ion beams leads to material erosion and can be accompanied by changes in the topography. Under certain conditions this surface erosion can result in well-ordered nanostructures. Here an overview of the pattern formation on Si and Ge surfaces under low-energy ion beam erosion at room temperature will be given. In particular, the formation of ripple and dot patterns, and the influence of different process parameters on their formation, ordering, shape and type will be discussed. Furthermore, the internal ion beam parameters inherent to broad beam ion sources are considered as an additional degree of freedom for controlling the pattern formation process. In this context: (i) formation of ripples at near-normal ion incidence, (ii) formation of dots at oblique ion incidence without sample rotation, (iii) transition between patterns, (iv) formation of ripples with different orientations and (v) long range ordered dot patterns will be presented and discussed.

  5. Immediate fabrication of flower-like graphene oxide by ion beam bombardment

    International Nuclear Information System (INIS)

    Cheng, Junjie; Zhang, Yuanyuan; Zhang, Guilong; Xiong, Shiquan; Pei, Renjun; Cai, Dongqing; Wu, Zhengyan

    2015-01-01

    Graphical abstract: - Highlights: • Ion beam bombardment (IBB) could modify the microstructure of graphene oxide (GO). • IBB could transform a compact multi-layered GO to a few-layered flower-like GO. • IBB could effectively improve the dispersion and the related properties of GO. • The main mechanism was proposed to be the etching and charge effects of IBB. - Abstract: An effective and convenient method using ion beam bombardment (IBB) for separating a multi-layered compact graphene oxide (GO) piece into several small few-layered loose pieces was developed, and it was found that those small GO pieces had formed a flower-like structure. Therein, the main mechanism was proposed to be the etching and charge effects of IBB. This work could provide a facile and promising approach for improving the dispersion and the related properties of GO. Furthermore, X-ray diffraction and Raman spectrum determinations demonstrated that, with the increasing fluence, IBB could effectively decrease the chemical groups in the layers of GO, resulting in the decrease of the layer distance.

  6. Immediate fabrication of flower-like graphene oxide by ion beam bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Junjie [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); Division of Nanobiomedicine, Key Laboratory for Nano-Bio Interface Research, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Zhang, Yuanyuan; Zhang, Guilong [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); University of Science and Technology of China, Hefei 230026 (China); Xiong, Shiquan [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); Pei, Renjun, E-mail: rjpei2011@sinano.ac.cn [Division of Nanobiomedicine, Key Laboratory for Nano-Bio Interface Research, Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Cai, Dongqing, E-mail: dqcai@ipp.ac.cn [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China); Wu, Zhengyan, E-mail: zywu@ipp.ac.cn [Key Laboratory of Ion Beam Bioengineering, Hefei Institutes of Physical Science, Chinese Academy of Sciences and Anhui Province, Hefei, Anhui 230031 (China)

    2015-12-01

    Graphical abstract: - Highlights: • Ion beam bombardment (IBB) could modify the microstructure of graphene oxide (GO). • IBB could transform a compact multi-layered GO to a few-layered flower-like GO. • IBB could effectively improve the dispersion and the related properties of GO. • The main mechanism was proposed to be the etching and charge effects of IBB. - Abstract: An effective and convenient method using ion beam bombardment (IBB) for separating a multi-layered compact graphene oxide (GO) piece into several small few-layered loose pieces was developed, and it was found that those small GO pieces had formed a flower-like structure. Therein, the main mechanism was proposed to be the etching and charge effects of IBB. This work could provide a facile and promising approach for improving the dispersion and the related properties of GO. Furthermore, X-ray diffraction and Raman spectrum determinations demonstrated that, with the increasing fluence, IBB could effectively decrease the chemical groups in the layers of GO, resulting in the decrease of the layer distance.

  7. Xe{sup +} ion beam induced rippled structures on Si miscut wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hanisch, Antje; Grenzer, Joerg [Forschungszentrum Dresden-Rossendorf, Dresden (Germany); Biermanns, Andreas; Pietsch, Ullrich [Institute of Physics, University of Siegen (Germany)

    2009-07-01

    We report on the influence of the initial roughness and crystallography of the substrate on the formation of self-organized ripple structures on semiconductors surfaces by noble gas ion bombardment. The Bradley-Harper theory predicts that an initial roughness is most important for starting the sputtering process which in the ends leads to the evolution of regular patterns. We produced periodic structures with intermediate Xe{sup +} ion energies (5-70 keV) at different incidence and azimuthal angles which lead to the assumption that also crystallography plays a role at the beginning of ripple evolution. Most of the previous investigations started from the original roughness of a polished silicon wafer. We used (001) silicon wafers with a miscut angle of 1 , 5 and 10 towards[110]. We studied the ripple formation keeping the ion beam parallel to the[111],[-1-11] or[-111] direction, i.e. parallel, antiparallel or perpendicular to the miscut direction[110]. The parallel and antiparallel case implies a variation of the incidence angle with increased roughness over the surface step terraces. The perpendicular orientation means almost no roughness. The results were compared to normal Si(001) and Si(111) wafers.

  8. The role of Frenkel defect diffusion in dynamic annealing in ion-irradiated Si

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, J. B.; Aji, L. B. Bayu; Martin, A. A.; Shin, S. J.; Shao, L.; Kucheyev, S. O.

    2017-01-06

    The formation of stable radiation damage in crystalline solids often proceeds via complex dynamic annealing processes, involving migration and interaction of ballistically-generated point defects. The dominant dynamic annealing processes, however, remain unknown even for crystalline Si. Here, we use a pulsed ion beam method to study defect dynamics in Si bombarded in the temperature range from -20 to 140 °C with 500 keV Ar ions. Results reveal a defect relaxation time constant of ~10–0.2 ms, which decreases monotonically with increasing temperature. The dynamic annealing rate shows an Arrhenius dependence with two well-defined activation energies of 73 ± 5 meV and 420 ± 10 meV, below and above 60 °C, respectively. Rate theory modeling, bench-marked against this data, suggests a crucial role of both vacancy and interstitial diffusion, with the dynamic annealing rate limited by the migration and interaction of vacancies.

  9. Investigation of the surface morphology of ion-bombarded biocompatible materials with a SEM and profilograph

    International Nuclear Information System (INIS)

    Kowalski, Z.W.

    1984-01-01

    The surface morphology (topography and roughness) is a very important factor which affects the response of biological tissue to an implant material. The effect of an incident ion beam on surface morphology of various biocompatible materials was studied. All materials were bombarded by Ar + ions at an applied voltage of 7 kV at various incident angles from 0 to 1.4 rad (0 to 80 deg) and at a beam current up to 0.1 mA. The surface topographies of ion-bombarded samples were examined with a Japan Electron Optics Laboratory, model JSM-35, scanning electron microscope. The roughness of the surface was calculated from the shape of a surface profile, which was recorded by a profilograph, the ME 10 (supplied by VEB Carl Zeiss, Jena). (author)

  10. Phenomenology of the plastic flow of amorphous solids induced by heavy-ion bombardment

    International Nuclear Information System (INIS)

    Klaumuenzer, S.; Benyagoub, A.

    1991-01-01

    Amorphous solids exhibit at temperatures far below the glass transition plastic flow when bombarded with fast heavy ions (kinetic energy ∼1 MeV/u). The dimensions perpendicular to the ion beam grow whereas the sample dimension parallel to the ion beam shrinks. The strain tensor describing phenomenologically these dimensional changes is derived from symmetry considerations and compared with experiment. Particular attention is devoted to angular changes, which have not been discussed in this context so far

  11. Effects of uranium bombardment by 20-40 KeV argon ions, Annex 2

    International Nuclear Information System (INIS)

    Nenadovic, T.; Jurela, Z.

    1966-01-01

    This paper shows the results of argon ions interaction with the polycrystal natural uranium. Thin foil of uranium about 200 μ was bombarded by 20-40 KeV argon ions. Coefficients of cathode scattering δ and secondary electrons emission γ were measured, during the process A + →U. The foil was then studied by transmission method and method of single step replica using an electron microscope [sr

  12. X-ray diffraction study of stress relaxation in cubic boron nitride films grown with simultaneous medium-energy ion bombardment

    International Nuclear Information System (INIS)

    Abendroth, B.; Gago, R.; Eichhorn, F.; Moeller, W.

    2004-01-01

    Relaxation of the intrinsic stress of cubic boron nitride (cBN) thin films has been studied by x-ray diffraction (XRD) using synchrotron light. The stress relaxation has been attained by simultaneous medium-energy ion bombardment (2-10 keV) during magnetron sputter deposition, and was confirmed macroscopically by substrate curvature measurements. In order to investigate the stress-release mechanisms, XRD measurements were performed in in-plane and out-of-plane geometry. The analysis shows a pronounced biaxial state of compressive stress in the cBN films grown without medium-energy ion bombardment. This stress is partially released during the medium-energy ion bombardment. It is suggested that the main path for stress relaxation is the elimination of strain within the cBN grains due to annealing of interstitials

  13. AES, EELS and TRIM simulation method study of InP(100 subjected to Ar+, He+ and H+ ions bombardment.

    Directory of Open Access Journals (Sweden)

    Abidri B.

    2012-06-01

    Full Text Available Auger Electron Spectroscopy (AES and Electron Energy Loss Spectroscopy (EELS have been performed in order to investigate the InP(100 surface subjected to ions bombardment. The InP(100 surface is always contaminated by carbon and oxygen revealed by C-KLL and O-KLL AES spectra recorded just after introduction of the sample in the UHV spectrometer chamber. The usually cleaning process of the surface is the bombardment by argon ions. However, even at low energy of ions beam (300 eV indium clusters and phosphorus vacancies are usually formed on the surface. The aim of our study is to compare the behaviour of the surface when submitted to He+ or H+ ions bombardment. The helium ions accelerated at 500V voltage and for 45 mn allow removing contaminants but induces damaged and no stoichiometric surface. The proton ions were accelerated at low energy of 500 eV to bombard the InP surface at room temperature. The proton ions broke the In-P chemical bonds to induce the formation of In metal islands. Such a chemical reactivity between hydrogen and phosphorus led to form chemical species such as PH and PH3, which desorbed from the surface. The chemical susceptibly and the small size of H+ advantaged their diffusion into bulk. Since the experimental methods alone were not able to give us with accuracy the disturbed depth of the target by these ions. We associate to the AES and EELS spectroscopies, the TRIM (Transport and Range of Ions in Matter simulation method in order to show the mechanism of interaction between Ar+, He+ or H+ ions and InP and determine the disturbed depth of the target by argon, helium or proton ions.

  14. Ion induced electron emission statistics under Agm- cluster bombardment of Ag

    Science.gov (United States)

    Breuers, A.; Penning, R.; Wucher, A.

    2018-05-01

    The electron emission from a polycrystalline silver surface under bombardment with Agm- cluster ions (m = 1, 2, 3) is investigated in terms of ion induced kinetic excitation. The electron yield γ is determined directly by a current measurement method on the one hand and implicitly by the analysis of the electron emission statistics on the other hand. Successful measurements of the electron emission spectra ensure a deeper understanding of the ion induced kinetic electron emission process, with particular emphasis on the effect of the projectile cluster size to the yield as well as to emission statistics. The results allow a quantitative comparison to computer simulations performed for silver atoms and clusters impinging onto a silver surface.

  15. FTIR and Vis-FUV real time spectroscopic ellipsometry studies of polymer surface modifications during ion beam bombardment

    Science.gov (United States)

    Laskarakis, A.; Gravalidis, C.; Logothetidis, S.

    2004-02-01

    The continuously increasing application of polymeric materials in many scientific and technological fields has motivated an extensive use of polymer surface treatments, which modify the physical and chemical properties of polymer surfaces leading to surface activation and promotion of the surface adhesion. Fourier transform IR spectroscopic ellipsometry (FTIRSE) and phase modulated ellipsometry (PME) in the IR and Vis-FUV spectral regions respectively have been employed for in situ and real time monitoring of the structural changes on the polymer surface obtained by Ar + ion bombardment. The polymers were industrially supplied polyethylene terephthalate (PET) and polyethylene naphthalate (PEN) membranes. The Ar + ion bombardment has found to change the chemical bonding of the films and especially the amount of the CO, C-C and CC groups. The detailed study of the FTIRSE spectra reveals important information about the effect of the Ar + ion bombardment on each of the above bonding groups. Also, the modification of the characteristic features, attributed to electronic transitions in specific bonds of PET and PEN macromolecules, has been studied using PME.

  16. FTIR and Vis-FUV real time spectroscopic ellipsometry studies of polymer surface modifications during ion beam bombardment

    International Nuclear Information System (INIS)

    Laskarakis, A.; Gravalidis, C.; Logothetidis, S.

    2004-01-01

    The continuously increasing application of polymeric materials in many scientific and technological fields has motivated an extensive use of polymer surface treatments, which modify the physical and chemical properties of polymer surfaces leading to surface activation and promotion of the surface adhesion. Fourier transform IR spectroscopic ellipsometry (FTIRSE) and phase modulated ellipsometry (PME) in the IR and Vis-FUV spectral regions respectively have been employed for in situ and real time monitoring of the structural changes on the polymer surface obtained by Ar + ion bombardment. The polymers were industrially supplied polyethylene terephthalate (PET) and polyethylene naphthalate (PEN) membranes. The Ar + ion bombardment has found to change the chemical bonding of the films and especially the amount of the C-O, C-C and C-C groups. The detailed study of the FTIRSE spectra reveals important information about the effect of the Ar + ion bombardment on each of the above bonding groups. Also, the modification of the characteristic features, attributed to electronic transitions in specific bonds of PET and PEN macromolecules, has been studied using PME

  17. Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope

    Science.gov (United States)

    Zhang, L.; Heinig, N. F.; Bazargan, S.; Abd-Ellah, M.; Moghimi, N.; Leung, K. T.

    2015-06-01

    The recently commercialized helium ion microscope (HIM) has already demonstrated its outstanding imaging capabilities in terms of resolution, surface sensitivity, depth of field and ease of charge compensation. Here, we show its exceptional patterning capabilities by fabricating dense lines and three-dimensional (3D) nanostructures on a Si substrate. Small focusing spot size and confined ion-Si interaction volume of a high-energy helium ion beam account for the high resolution in HIM patterning. We demonstrate that a set of resolvable parallel lines with a half pitch as small as 3.5 nm can be achieved. During helium ion bombardment of the Si surface, implantation outperforms milling due to the small mass of the helium ions, which produces tumefaction instead of depression in the Si surface. The Si surface tumefaction is the result of different kinetic processes including diffusion, coalescence and nanobubble formation of the implanted ions, and is found to be very stable structurally at room temperature. Under appropriate conditions, a linear dependence of the surface swollen height on the ion doses can be observed. This relation has enabled us to fabricate nanopyramids and nanocones, thus demonstrating that HIM patterning provides a new ‘bottom-up’ approach to fabricate 3D nanostructures. This surface tumefaction method is direct, both positioning and height accurate, and free of resist, etch, mode and precursor, and it promises new applications in nanoimprint mold fabrication and photomask clear defect reparation.

  18. Influence of substrate pre-treatments by Xe{sup +} ion bombardment and plasma nitriding on the behavior of TiN coatings deposited by plasma reactive sputtering on 100Cr6 steel

    Energy Technology Data Exchange (ETDEWEB)

    Vales, S., E-mail: sandra.vales@usp.br [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Brito, P., E-mail: ppbrito@gmail.com [Pontifícia Universidade Católica de Minas Gerais (PUC-MG), Av. Dom José Gaspar 500, 30535-901 Belo Horizonte, MG (Brazil); Pineda, F.A.G., E-mail: pipe8219@gmail.com [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Ochoa, E.A., E-mail: abigail_ochoa@hotmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Droppa, R., E-mail: roosevelt.droppa@ufabc.edu.br [Universidade Federal do ABC (UFABC), Av. dos Estados, 5001, Santo André, SP CEP 09210-580 (Brazil); Garcia, J., E-mail: jose.garcia@sandvik.com [Sandvik Coromant R& D, Lerkrogsvägen 19, SE-12680, Stockholm (Sweden); Morales, M., E-mail: monieriz@gmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Alvarez, F., E-mail: alvarez@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); and others

    2016-07-01

    In this paper the influence of pre-treating a 100Cr6 steel surface by Xe{sup +} ion bombardment and plasma nitriding at low temperature (380 °C) on the roughness, wear resistance and residual stresses of thin TiN coatings deposited by reactive IBAD was investigated. The Xe{sup +} ion bombardment was carried out using a 1.0 keV kinetic energy by a broad ion beam assistance deposition (IBAD, Kaufman cell). The results showed that in the studied experimental conditions the ion bombardment intensifies nitrogen diffusion by creating lattice imperfections, stress, and increasing roughness. In case of the combined pre-treatment with Xe{sup +} ion bombardment and subsequent plasma nitriding, the samples evolved relatively high average roughness and the wear volume increased in comparison to the substrates exposed to only nitriding or ion bombardment. - Highlights: • Effect of Xe ion bombardment and plasma nitriding on TiN coatings was investigated. • Xe ion bombardment with 1.0 KeV increases nitrogen retention in plasma nitriding. • 1.0 KeV ion impact energy causes sputtering, thus increasing surface roughness. • TiN coating wear is minimum after plasma nitriding due to lowest roughness.

  19. Ion bombardment induced topography evolution on low index crystal surfaces of Cu and Pb

    International Nuclear Information System (INIS)

    Tanovic, L.; Tanovic, N.; Carter, G.; Nobes, M.J.

    1993-01-01

    (100), (110) and (111) oriented single crystal surfaces of Cu and Pb have been bombarded with inert gas ions, self ions, ions of the other substrate species and Bi in the energy range 50-150 keV and in the fluence range 10 15 -10 18 ions.cm 2 . The evolving surface topography was observed by scanning electron microscopy. This topography was observed to be strongly influenced by ion species and surface orientation but the habit of the topography was delineated at low fluences and the features increased in size and density with increasing fluence with some mutation to the more stable of the features. As an example Bi and Pb bombardment of (100) Cu leads to little topographic evolution, (110) Cu develops a system of parallel ridges with (100) facets and (111) Cu develops a prismatic surface, each prism possessing (100) facets. These, and the more general, results cannot be explained by surface erosion by sputtering theory alone (this predicts surface stability of the lowest sputtering yield orientation (110), nor by surface free energy density minimisation criteria (this predicts stability of (111) surfaces). It is proposed that the observed topography is most strongly related to the crystallographic form of precipitates of implanted species. (orig.)

  20. Systematic analysis of neutron yields from thick targets bombarded by heavy ions and protons with moving source model

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takashi; Kurosawa, Tadahiro; Nakamura, Takashi E-mail: nakamura@cyric.tohoku.ac.jp

    2002-03-21

    A simple phenomenological analysis using the moving source model has been performed on the neutron energy spectra produced by bombarding thick targets with high energy heavy ions which have been systematically measured at the Heavy-Ion Medical Accelerator (HIMAC) facility (located in Chiba, Japan) of the National Institute of Radiological Sciences (NIRS). For the bombardment of both heavy ions and protons in the energy region of 100-500 MeV per nucleon, the moving source model incorporating the knock-on process could be generally successful in reproducing the measured neutron spectra within a factor of two margin of accuracy. This phenomenological analytical equation is expressed having several parameters as functions of atomic number Z{sub p}, mass number A{sub p}, energy per nucleon E{sub p} for projectile, and atomic number Z{sub T}, mass number A{sub T} for target. By inputting these basic data for projectile and target into this equation we can easily estimate the secondary neutron energy spectra at an emission angle of 0-90 deg. for bombardment with heavy ions and protons in the aforementioned energy region. This method will be quite useful to estimate the neutron source term in the neutron shielding design of high energy proton and heavy ion accelerators.

  1. Mechanism of conductivity type conversion in p-Hg1-xCdxTe crystals under low energy ion bombardment

    International Nuclear Information System (INIS)

    Bogoboyashchij, V.V.; Izhnin, I.I.

    2000-01-01

    Conditions giving rise to accelerated diffusion of Hg under bombardment of p-Hg 1-x Cd x Te by low-energy particles are analyzed and probable mechanisms of the phenomenon are suggested, permitting qualitative and quantitative agreement with experimental data. Analysis indicates that basic regularities of p-n-conversion during Hg 0.8 Cd 0.2 Te crystal bombardment by neutralized ions can be easily explained in the framework of traditional notions of mercury chemical diffusion in this material. The regularities stem from specific features of defect formation in Hg 0.8 Cd 0.2 Te, on the one hand, and from a high concentration of intrinsic electrons and holes, screening effectively the defective layer electric field, on the other hand. The high rate of conversion during ion bombardment compared with the rate of conversion during annealing in mercury vapors can be explained by the fact that a great number of nonequilibrium interstitial atoms of mercury, by far exceeding the value during thermal annealing, is crated near the surface of the crystal bombarded [ru

  2. Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope

    International Nuclear Information System (INIS)

    Zhang, L; Heinig, N F; Bazargan, S; Abd-Ellah, M; Moghimi, N; Leung, K T

    2015-01-01

    The recently commercialized helium ion microscope (HIM) has already demonstrated its outstanding imaging capabilities in terms of resolution, surface sensitivity, depth of field and ease of charge compensation. Here, we show its exceptional patterning capabilities by fabricating dense lines and three-dimensional (3D) nanostructures on a Si substrate. Small focusing spot size and confined ion–Si interaction volume of a high-energy helium ion beam account for the high resolution in HIM patterning. We demonstrate that a set of resolvable parallel lines with a half pitch as small as 3.5 nm can be achieved. During helium ion bombardment of the Si surface, implantation outperforms milling due to the small mass of the helium ions, which produces tumefaction instead of depression in the Si surface. The Si surface tumefaction is the result of different kinetic processes including diffusion, coalescence and nanobubble formation of the implanted ions, and is found to be very stable structurally at room temperature. Under appropriate conditions, a linear dependence of the surface swollen height on the ion doses can be observed. This relation has enabled us to fabricate nanopyramids and nanocones, thus demonstrating that HIM patterning provides a new ‘bottom-up’ approach to fabricate 3D nanostructures. This surface tumefaction method is direct, both positioning and height accurate, and free of resist, etch, mode and precursor, and it promises new applications in nanoimprint mold fabrication and photomask clear defect reparation. (paper)

  3. Heavy ion induced disorder introduction in the surface and at shallow depths in Si

    International Nuclear Information System (INIS)

    Roosendaal, H.E.; Weick, M.; Hubbes, H.H.; Lutz, H.O.

    1979-01-01

    Disorder in a Si lattice has been produced by bombardment with 220 and 290 keV C + , N + , Ne + and Ar + ions. The production of surface disorder is compared with the disorder production at shallow depths. For random incidence of the damaging projectiles, the surface disorder has been found to scale with the disorder at shallow depths (380 to 800 A). For channeling incidence, a Z 1 dependent reduction of the surface disorder is observed. This reduction is much smaller than that for the disorder in the depth interval 380 to 800 A. (author)

  4. Engineering catalytic activity via ion beam bombardment of catalyst supports for vertically aligned carbon nanotube growth

    Science.gov (United States)

    Islam, A. E.; Nikolaev, P.; Amama, P. B.; Zakharov, D.; Sargent, G.; Saber, S.; Huffman, D.; Erford, M.; Semiatin, S. L.; Stach, E. A.; Maruyama, B.

    2015-09-01

    Carbon nanotube growth depends on the catalytic activity of metal nanoparticles on alumina or silica supports. The control on catalytic activity is generally achieved by variations in water concentration, carbon feed, and sample placement on a few types of alumina or silica catalyst supports obtained via thin film deposition. We have recently expanded the choice of catalyst supports by engineering inactive substrates like c-cut sapphire via ion beam bombardment. The deterministic control on the structure and chemistry of catalyst supports obtained by tuning the degree of beam-induced damage have enabled better regulation of the activity of Fe catalysts only in the ion beam bombarded areas and hence enabled controllable super growth of carbon nanotubes. A wide range of surface characterization techniques were used to monitor the catalytically active surface engineered via ion beam bombardment. The proposed method offers a versatile way to control carbon nanotube growth in patterned areas and also enhances the current understanding of the growth process. With the right choice of water concentration, carbon feed and sample placement, engineered catalyst supports may extend the carbon nanotube growth yield to a level that is even higher than the ones reported here, and thus offers promising applications of carbon nanotubes in electronics, heat exchanger, and energy storage.

  5. Influence of keV-He ion bombardment on the magnetic properties of Co/Pd multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Mueglich, Nicolas; Buhl, Oliver; Weis, Tanja; Engel, Dieter; Ehresmann, Arno [Institute of Physics and Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Hellwig, Olav [San Jose Research Center, HGST, A Western Digital Company, CA (United States)

    2013-07-01

    Thin films of ferromagnetic Co separated by Pd films with thicknesses in the first ferromagnetic maximum of interlayer exchange coupling are magnetically dominated by perpendicular-to-plane anisotropy and labyrinth stripe domain patterns in remanence. During the magnetization reversal of such a multilayer system domain nucleation and domain wall movement can be observed for different external magnetic fields H. The influence of keV-He ion bombardment on the microstructure of the system and its resultant decrease of magnetic anisotropy has been investigated by vibrating sample magnetometry, polar magneto-optical Kerr effect and magnetic force microscopy. It is shown that areas of ferromagnetic in-plane anisotropy are created due to the ion bombardement and that the system shows an increasing quotient of superparamagnetism in the deeper layers of the multilayersystem.

  6. Influence of ion bombardment on growth and properties of PLD created DLC films

    Czech Academy of Sciences Publication Activity Database

    Jelínek, Miroslav; Písařík, Petr; Kocourek, Tomáš; Zemek, Josef; Lukeš, J.

    2013-01-01

    Roč. 110, č. 4 (2013), s. 943-947 ISSN 0947-8396 R&D Projects: GA MŠk LD12069 Institutional research plan: CEZ:AV0Z10100522 Keywords : DLC * ion bombardment * sp3 /sp2 * thin films * PLD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.694, year: 2013

  7. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  8. Annealing of defects in indium antimonide after ion bombardment

    International Nuclear Information System (INIS)

    Bogatyrev, V.A.; Kachurin, G.A.

    1977-01-01

    Indium antimonide electric properties are investigated after ion bombardment of different mass (with energy of 60 and 300 keV) and isochrone annealing in the 20-450 deg C temperature range. It is shown that 100-150 deg C n- type stable layers are formed after proton irradiation at room temperature only. Indium antimonide exposure by average mass ions under the same conditions and also by helium ions of 300 keV energy brings to p-type layer formation with high hole concentration. Subsequent heating at the temperature over 150 deg C results in electron conductivity of irradiated layers. Electron volume density and mobility efficiency reaches 10 18 cm -3 and 10 4 cm 2 /Vs respectively. N-type formed layers are stable up to 350 deg C allowing its usage for n-p transition formation admitting thermal treatment. Analysis is given of defect behaviour peculiarities depending upon the irradiation and annealing conditions. Hole conductivity in irradiated indium antimonide is supposed to be stipulated by regions of disorder, while electron conductivity - by relatively simpler disorders

  9. Effect of N4+ and C4+ ion beam bombardment on the optical and structural characteristics of ethylene-norbornene copolymer (TOPAS)

    International Nuclear Information System (INIS)

    Siljegovic, M.; Kacarevic-Popovic, Z.M.; Krkljes, A.N.; Stojanovic, Z.; Jovanovic, Z.M.

    2011-01-01

    Ion bombardment is a suitable tool to modify the optical properties of polymers. In the present study the effect of ion bombardment on the optical absorption of ethylene-norbornene copolymer (TOPAS) was studied using ultraviolet-visible (UV-Vis) and Raman spectroscopy. Polymer samples were bombarded with 60 keV C 4+ and N 4+ ion beams to various fluences ranging from 1.0 x 10 13 to 1.0 x 10 16 cm -2 . The indirect and direct band gaps have been determined. The values of direct band gaps have been found to be greater than the corresponding values of the indirect band gaps. Activation energy has been investigated as the function of ion fluences. The number of carbon atoms per conjugated length is determined according to modified Tauc's equation. The correlation between the optical band gap, activation energy for optical transition and the number of carbon atoms per conjugated length as well as chemical structure changes induced by ion beams irradiation have been discussed in the case of ethylene-norbornene copolymer.

  10. A liquid-like model for the morphology evolution of ion bombarded thin films

    Energy Technology Data Exchange (ETDEWEB)

    Repetto, L., E-mail: luca.repetto@unige.it [Department of Physics and Nanomed Labs, Università di Genova, Via Dodecaneso 33, 16146 Genova (Italy); Lo Savio, R. [Department of Physics and Nanomed Labs, Università di Genova, Via Dodecaneso 33, 16146 Genova (Italy); Šetina Batič, B. [Inštitut Za Kovinske Materiale in Tehnologije, Lepi pot 11, 1000 Ljubljana (Slovenia); Firpo, G.; Angeli, E.; Valbusa, U. [Department of Physics and Nanomed Labs, Università di Genova, Via Dodecaneso 33, 16146 Genova (Italy)

    2015-07-01

    Thin solid films exposed to ion irradiation exhibit a peculiar evolution that can differ substantially from what is observed for bulk samples. The phenomenology of the patterns that self-organize on the substrate is very rich, with morphologies that display several degrees of order upon the modification of initial film characteristics and irradiation parameters. This richness paves the way for the fabrication of novel functional surfaces, but it is also an indication of the complexity of the underlying driving mechanisms. A remarkable simplification for the comprehension of these phenomena can come from the noteworthy similarity of the obtained patterns with those showing up when liquids dewet from their substrates. Here, we analyze the possibility to apply a liquid-like model to explain the morphology evolution of ion bombarded thin films for the whole phenomenology showing up in experiments. In establishing this connection between liquids and ion bombarded thin films, we propose to use also for liquids the insight gained for our system with recent experiments that stress the importance of the substrate topography for the selection of the dewetting mechanism. If confirmed, this result would lead to a reconsideration of the importance of capillary waves in spinodal dewetting, and will help to understand the low reproducibility of the related experimental results.

  11. Energy and dose characteristics of ion bombardment during pulsed laser deposition of thin films under pulsed electric field

    International Nuclear Information System (INIS)

    Fominski, V.Yu.; Nevolin, V.N.; Smurov, I.

    2004-01-01

    Experiments on pulsed laser deposition of Fe films on Si substrates were performed with the aim to analyze the role of factors determining the formation of an energy spectrum and a dose of ions bombarding the film in strong pulsed electric fields. The amplitude of the high-voltage pulse (-40 kV) applied to the substrate and the laser fluence at the Fe target were fixed during the deposition. Owing to the high laser fluence (8 J/cm 2 ) at a relatively low power (20 mJ), the ionization of the laser plume was high, but the Fe vapor pressure near the substrate was low enough to avoid arcing. Electric signals from a target exposed to laser radiation were measured under different conditions (at different delay times) of application of electric pulses. The Si(100) substrates were analyzed using Rutherford ion backscattering/channeling spectrometry. The ion implantation dose occurred to be the highest if the high-voltage pulse was applied at a moment of time when the ion component of the plume approached the substrate. In this case, the implanted ions had the highest energy determined by the amplitude of the electric pulse. An advance or delay in applying a high-voltage pulse caused the ion dose and energy to decrease. A physical model incorporating three possible modes of ion implantation was proposed for the interpretation of the experimental results. If a laser plume was formed in the external field, ions were accelerated from the front of the dense plasma, and the ion current depended on the gas-dynamic expansion of the plume. The application of a high-voltage pulse, at the instant when the front approached the substrate, maintained the mode that was characteristic of the traditional plasma immersion ion implantation, and the ion current was governed by the dynamics of the plasma sheath in the substrate-to-target gap. In the case of an extremely late application of a high-voltage pulse, ions retained in the entire volume of the experimental chamber (as a result of the

  12. The development of cones and associated features on ion bombarded copper

    International Nuclear Information System (INIS)

    Whitton, J.L.; Carter, G.; Nobes, M.J.; Williams, J.S.

    1977-01-01

    Observations of ion-bombardment-induced surface modifications on crystalline copper substrates have been made using scanning electron microscopy. The delineation and development of grain boundary edges, faceted and terraced etch pits and small-scale ripple structure, together with the formation of faceted conical features, have all been observed on low and high purity polycrystalline substrates. In general, the density of such surface morphological features, although variable from grain to grain, is higher in the proximity of grain boundaries. In particular, cones are only found within regions where other surface erosional features are present and it would appear that the development of these other features is a pre-requisite to cone generation in high-purity crystalline substrates. We suggest the operation of a defect-induced mechanism of cone formation whereby sputter elaboration of bulk defects (either pre-existing or bombardment-induced) leads to the formation and development of surface features which, in turn, may intersect and result in the generation of cones. (author)

  13. The development of cones and associated features on ion bombarded copper

    International Nuclear Information System (INIS)

    Whitton, J.L.; Williams, J.S.

    1977-01-01

    Observations of ion-bombardment-induced surface modifications on crystalline copper substrates have been made using scanning electron microscopy. The delineation and development of grain boundary edges, faceted and terraced etch pits and small-scale ripple structure, together with the formation of faceted conical features have all been observed on low and high purity polycrystalline substrates. In general, the density of such surface morphological features, although variable from grain to grain, is higher in the proximity of grain boundaries. In particular, cones are only found within regions where other surface erosional features are present and it would appear that the development of these other surface features is a pre-requisite to cone generation in high-purity crystalline substrates. The authors suggest the operation of a defect-induced mechanism of cone formation whereby sputter elaboration of bulk defects (either preexisting or bombardment-induced) leads to the formation and development of surface features which, in turn, may intersect and result in the generation of cones. (Auth.)

  14. A note on the random walk theory of recoil movement in prolonged ion bombardment

    International Nuclear Information System (INIS)

    Koponen, Ismo

    1994-01-01

    A characteristic function is derived for the probability distribution of final positions of recoil atoms in prolonged ion bombardment of dense matter. The derivation is done within the framework of Poissonian random walk theory using a jump distribution, which is somewhat more general than those studied previously. ((orig.))

  15. Fusion evaporation residues and the distribution of reaction strength in 16O + 40Ca and 28Si + 28Si reactions

    International Nuclear Information System (INIS)

    Kolata, J.J.; Hinnefeld, J.; Kovar, D.G.

    1985-01-01

    In measurements performed previously at ANL, studying the two entrance channels 16 O + 40 Ca and 28 Si + 28 Si which form the same compound nucleus 56 Ni, it was found that at higher bombarding energies (E/sub Lab/ > 5-7 MeV/nucleon) the distributions of reaction strength was dramatically different. Although the total reaction cross section behaviors for the two entrance channels are similar, the total evaporation residue (ER) cross sections for 28 Si + 28 Si decrease rapidly with increasing bombarding energies and up to the highest energy studied show no evidence for incomplete fusion processes. For 16 O + 40 Ca the ER cross section remains constant at approximately 1 barn with increasing bombarding energy and shows evidence of increasing contributions from incomplete fusion. To better understand this apparent dependence on the mass asymmetry in the entrance channel, coincidence measurements between evaporation residue-like products and heavy ions on the opposite side of the beam were performed for the two systems at E/sub Lab/ = 8 MeV/nucleon

  16. 3 to 15 keV Ar+ induced Auger electron emission from Si and Ar

    International Nuclear Information System (INIS)

    Kempf, J.; Kaus, G.

    1977-01-01

    Ar + induced Auger electrons from Si and Ar were investigated at bombardment energies between 3-15 keV and target currents of a few μA. The Auger electron yields were compared with secondary ion yields of Si and Ar by simultaneous SIMS-AES measurements. In the ion induced Auger spectra of Si five Auger peaks and in the Ar spectra three Auger peaks were observed. The ion induced Auger electron yield of Si and Ar were found to be strongly dependent upon the primary ion energy. 'Bulk like' and 'atomic like' Auger transitions of ion induced Auger electrons of Si were observed. (orig.) [de

  17. Absorption of hydrogen in vanadium, enhanced by ion bombardment; Ionenbeschussunterstuetzte Absorption des Wasserstoffs in Vanadium

    Energy Technology Data Exchange (ETDEWEB)

    Paulus, H.; Lammers, M. [Inst. fuer Technologie- und Wissenstransfer, Soest (Germany); Mueller, K.H. [Inst. fuer Technologie- und Wissenstransfer, Soest (Germany)]|[Paderborn Univ. (Gesamthochschule), Soest (Germany). Fachbereich 16 - Elektrische Energietechnik; Kiss, G.; Kemeny, Z. [Technical Univ. Budapest (Hungary)

    1998-12-31

    Prior to hydrogen implantation into vanadium, the vanadium specimen usually is exposed to an activation process and is then heated at 1 atm hydrogen to temperatures between 500 and 600 C, subsequently cooled down in several steps. Within this temperature range, hydrogen solubility increases with declining temperature. A decisive factor determining hydrogen absorption is the fact that at temperatures above 250 C, oxygen desorbs from the material surface and thus no longer inhibits hydrogen absorption. Therefore a different approach was chosen for the experiments reported: Hydrogen absorption under UHV conditions at room temperature. After the usual activation process, the vanadium surface was cleaned by 5 keV Ar{sup +} ion bombardment. Thus oxygen absorption at the specimen surface (and new reactions with oxygen from the remaining gas) could be avoided, or removed. By means of thermal desorption mass spectrometry (TDMS), hydrogen absorption as a function of argon ion dose was analysed. TDMS measurements performed for specimens treated by ion bombardment prior to H{sup 2} exposure showed two H{sup 2} desorption peaks, in contrast to the profiles measured with specimens not exposed to ion bombardment. It is assumed that the ion bombardment disturbs the crystal structure so that further sites for hydrogen absorption are produced. (orig./CB) [Deutsch] Bei der Beladung von Vandium mit Wasserstoff wird ueblicherweise die Probe nach einer Aktivierungsprozedur bei 1 atm Wasserstoff auf Temperaturen im Bereich von 500 bis 600 C hochgeheizt und danach schrittweise abgekuehlt. In diesem Temperaturbereich nimmt die Wasserstoffloeslichkeit mit abnehmender Temperatur zu. Entscheidend fuer die Beladung ist aber auch die Tatsache, dass bei Temperaturen groesser 250 C Sauerstoff von der Oberflaeche desorbiert und dadurch die Absorption von Wasserstoff nicht mehr blockieren kann. Im Rahmen der hier beschriebenen Untersuchungen sollte die Wasserstoffbeladung unter UHV-Bedingungen bei

  18. Changes in phase composition and stress state of surface layers of VK20 hard alloy after ion bombardment

    International Nuclear Information System (INIS)

    Platonov, G.L.; Leonov, E.Yu.; Anikin, V.N.; Anikeev, A.I.

    1988-01-01

    Titanium ion bombardment of the surface of the hard VK20 alloy is studied for its effect on variations in the phase and chemical composition of its surface layers. It is stated that ion treatment results in the appearance of the η-phase of Co 6 W 6 C composition in the surface layer of the VK20 alloy, in the increase of distortions and decrease of coherent scattering blocks of the hard alloy carbide phase. Such a bombardment is found to provoke a transition of the plane-stressed state of the hard alloy surface into the volume-stressed state. It is established that ion treatment does not cause an allotropic transition of the cobalt phase α-modification, formed during grinding of the hard alloy, into the β-modification

  19. The influence of noble-gas ion bombardment on the electrical and optical properties of clean silicon surfaces

    International Nuclear Information System (INIS)

    Martens, J.W.D.

    1980-01-01

    A study of the effect of argon and helium ion bombardment on the electrical and optical properties of the clean silicon (211) surface is described. The objective of the study was to determine the effect of noble gas ions on the density of surface states at the clean silicon surface. (Auth.)

  20. The effect of oxygen ion beam bombardment on the properties of tin indium oxide/polyethylene terephthalate complex

    International Nuclear Information System (INIS)

    Li, Li; Liu, Honglin; Zou, Lin; Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2013-01-01

    The tin indium oxide (ITO) films were deposited onto the polyethylene terephthalate (PET) surface that has been bombarded by an O ion beam. The variation of the O bombardment time resulted in the production of ITO/PET complex with different properties. Characterization by four-point probe measurement after the bending fatigue test showed that the adhesion property of the ITO/PET complex could be improved by the increase of O bombardment time while little change of electrical resistivity was observed. Scanning electron microscopy results showed that after the bending fatigue test, the nano scale seams and micro scale trenches appeared at the surface of the ITO/PET complex. The former was only the cracks of ITO film, which has little influence on the continuity and electrical resistivity of ITO film. On the contrary, the micro scale trenches were caused by the peeling off of ITO chips at the cracks, which mainly influenced the continuity and electrical resistivity of ITO film. With the increase of O bombardment time, the number and length of the micro scale trenches decreased. X-ray photoelectron spectrometry characterization showed that with the increase of O bombardment time, parts of the methylene C bonds were transformed into C=O bonds, which could be broken to form C-O-In(Sn) bonds at the initial stage of ITO film growth. By these C-O-In(Sn) crosslink bonds, the ITO film could adhere well onto the PET and the ITO/PET complex display better anti-bending fatigue property. Finally, in the context of the application of the ITO/PET complex as a flexible electrode substrate, the present work reveals a simple way to crosslink them, as well as the physicochemical mechanism happening at the interface of complex. - Highlights: • Polyethylene terephthalate (PET) surface was bombarded by N ions. • Tin indium oxide (ITO) film was deposited on bombarded PET surface. • By bombardment, methylene C bond on PET surface was broken and replaced by C=O bond. • C=O bond was

  1. The effect of oxygen ion beam bombardment on the properties of tin indium oxide/polyethylene terephthalate complex

    Energy Technology Data Exchange (ETDEWEB)

    Li, Li; Liu, Honglin; Zou, Lin [School of Materials Science and Engineering, Dalian Jiaotong University, Dalian 116028 (China); Ding, Wanyu, E-mail: dwysd_2000@163.com [School of Materials Science and Engineering, Dalian Jiaotong University, Dalian 116028 (China); Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Dalian University of Technology), Ministry of Education, Dalian 116028 (China); Ju, Dongying [Department of Material Science and Engineering, Saitama Institute of Technology, Fukaya 369-0293 (Japan); Chai, Weiping [School of Materials Science and Engineering, Dalian Jiaotong University, Dalian 116028 (China)

    2013-10-31

    The tin indium oxide (ITO) films were deposited onto the polyethylene terephthalate (PET) surface that has been bombarded by an O ion beam. The variation of the O bombardment time resulted in the production of ITO/PET complex with different properties. Characterization by four-point probe measurement after the bending fatigue test showed that the adhesion property of the ITO/PET complex could be improved by the increase of O bombardment time while little change of electrical resistivity was observed. Scanning electron microscopy results showed that after the bending fatigue test, the nano scale seams and micro scale trenches appeared at the surface of the ITO/PET complex. The former was only the cracks of ITO film, which has little influence on the continuity and electrical resistivity of ITO film. On the contrary, the micro scale trenches were caused by the peeling off of ITO chips at the cracks, which mainly influenced the continuity and electrical resistivity of ITO film. With the increase of O bombardment time, the number and length of the micro scale trenches decreased. X-ray photoelectron spectrometry characterization showed that with the increase of O bombardment time, parts of the methylene C bonds were transformed into C=O bonds, which could be broken to form C-O-In(Sn) bonds at the initial stage of ITO film growth. By these C-O-In(Sn) crosslink bonds, the ITO film could adhere well onto the PET and the ITO/PET complex display better anti-bending fatigue property. Finally, in the context of the application of the ITO/PET complex as a flexible electrode substrate, the present work reveals a simple way to crosslink them, as well as the physicochemical mechanism happening at the interface of complex. - Highlights: • Polyethylene terephthalate (PET) surface was bombarded by N ions. • Tin indium oxide (ITO) film was deposited on bombarded PET surface. • By bombardment, methylene C bond on PET surface was broken and replaced by C=O bond. • C=O bond was

  2. Ion bombardment induced damage in silicon carbide studied by ion beam analytical methods

    Energy Technology Data Exchange (ETDEWEB)

    Szilagyi, E.; Kotai, E. [Magyar Tudomanyos Akademia, Budapest (HU). Research Inst. for Particle and Nuclear Physics (RIPNP); Khanh, N.Q.; Horvath, Z.E.; Lohner, T.; Battistig, G.; Zolnai, Z.; Gyulai, J. [Research Inst. for Technical Physics and Materials Science, Budapest (Hungary)

    2001-07-01

    Damage created by implantation of Al{sup +} ions into 4H-SiC is characterized using backscattering spectrometry in combination with channeling. The measurability of the damage profile in the carbon sublattice was demonstrated using the 4260 keV {sup 12}C({alpha},{alpha}){sup 12}C resonance. To create disorder, Al{sup +} ions with energy of 200 keV and 350 keV were implanted at room temperature. As an independent method, cross-sectional transmission electron microscopy was used to study the damage structure in irradiated 4H-SiC. (orig.)

  3. Experimental and theoretical studies of bombardment induced surface morphology changes

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Williams, J.S.

    1980-01-01

    In this review results of experimental and theoretical studies of solid surface morphology changes due to ion bombardment are discussed. An attempt is undertaken to classify the observed specific features of a structure, generated by ion bombardment [ru

  4. Chemical changes in titanate surfaces induced by Ar+ ion bombardment

    International Nuclear Information System (INIS)

    Gonzalez-Elipe, A.R.; Fernandez, A.; Espinos, J.P.; Munuera, G.; Sanz, J.M.

    1992-01-01

    The reduction effects and compositional changes induced by 3.5 keV Ar + bombardment of several titanates (i.e. SrTiO 3 , Al 2 TiO 5 and NiTiO 3 ) have been quantitatively investigated by XPS. In all the samples studied here the original Ti 4+ species were reduced to lower oxidation states (i.e. Ti 3+ and Ti 2+ ), although to a lesser extent than in pure TiO 2 . On the contrary, whereas Sr 2+ and Al 3+ seem to remain unaffected by Ar + bombardment, in agreement with the behaviour of the respective oxides (i.e. SrO and Al 2 O 3 ), Ni 2+ appears more easily reducible to Ni o in NiTiO 3 than in NiO. In addition, other specific differences were observed between the titanates, which reveal the existence of interesting chemical effects related to the presence of the different counter-ions in the titanates. In the case of Al 2 TiO 5 , its Ar + -induced decomposition to form TiO 2 + Al 2 O 3 could be followed by XPS. (Author)

  5. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  6. Compositional disordering of GaAs/AlGaAs multiple quantum wells using ion bombardment at elevated temperatures

    International Nuclear Information System (INIS)

    Anderson, K.K.; Donnelly, J.P.; Wang, C.A.; Woodhouse, J.D.; Haus, H.A.

    1988-01-01

    A new method has been developed for compositional mixing of heterostructures by ion bombardment at elevated temperatures. Complete mixing of a 1-μm-thick GaAs/AlGaAs 40-period multiple quantum well layer has been achieved by bombardment with 380 keV Ne + ions for 1 h with the sample at 700 0 C. This temperature is much lower than the annealing temperatures used in other vacancy-enhanced disordering techniques, and even lower temperatures and shorter durations should be possible. Compositional disordering is verified by sputter-profile Auger electron spectroscopy and transmission electron microscopy. Complete mixing is also demonstrated by optical transmission spectra of the disordered material, which exhibit the same band edge as a uniform alloy with the average aluminum mole fraction of the multiple quantum well layer

  7. Exfoliation on stainless steel and inconel produced by 0.8-4 MeV helium ion bombardment

    International Nuclear Information System (INIS)

    Paszti, F.; Mezey, G.; Pogany, L.; Fried, M.; Manuaba, A.; Kotai, E.; Lohner, T.; Pocs, L.

    1982-11-01

    Trying to outline the energy dependence of surface deformations such as exfoliation and flaking on candidate CTR first-wall materials, stainless steel and two types of inconels were bombarded by 0.8, 1 and 4 MeV helium ions. All the bombarded spots could be characterized by by large exfoliations covering almost the total implanted area. No spontaneous rupture was observed except on one type of inconel where flaking took place right after reaching the critical dose. After mechanical opening of the formations, similar inner morphology was found as in our previous studies on gold. (author)

  8. Electronic excitation effects on secondary ion emission in highly charged ion-solid interaction

    International Nuclear Information System (INIS)

    Sekioka, T.; Terasawa, M.; Mitamura, T.; Stoeckli, M.P.; Lehnert, U.; Fehrenbach, C.

    2001-01-01

    In order to investigate the secondary ion emission from the surface of conductive materials bombarded by highly charged heavy ions, we have done two types of experiments. First, we have measured the yield of the sputtered ions from the surface of solid targets of conductive materials (Al, Si, Ni, Cu) bombarded by Xe q+ (q=15-44) at 300 keV (v p =0.30 a.u) and at 1.0 MeV (v p =0.54 a.u). In view of the secondary ion yields as a function of the potential energy of the projectile, the increase rates below q=35, where the potential energy amounts to 25.5 keV, were rather moderate and showed a prominent increase above q=35. These phenomena were rather strong in the case of the metal targets. Second, we have measured the energy dependence of the yield of the sputtered ions from the surface of solid targets of conductive materials (C, Al) bombarded by Xe q+ (q=30,36,44) between 76 keV (v p =0.15 a.u) and 6.0 MeV (v p =1.3 a.u). A broad enhancement of the secondary ion yield has been found for Al target bombarded by Xe 44+ . From these experimental results, the electronic excitation effects in conductive materials for impact of slow highly charged heavy ions bearing high potential energy is discussed

  9. Stress in ion-beam assisted silicon dioxide and tantalum pentoxide thin films

    International Nuclear Information System (INIS)

    Sirotkina, Natalia

    2003-01-01

    Ta 2 O 5 and SiO 2 thin films, deposited at room temperature by ion-beam sputtering (IBS) and dual ion-beam sputtering (DIBS), and SiO 2 films, deposited by reactive e-beam evaporation and ion-assisted deposition, were studied. The energy (150-600 eV) and ion-to-atom arrival ratio (0.27-2.0) of assisting argon and oxygen ions were varied. Influence of deposition conditions (deposition system geometry, nature and amount of gas in the chamber, substrate cleaning and ion-assistance parameters) on films properties (stress, composition, refractive index n 500nm and extinction coefficient k 500nm ) was investigated. A scanning method, based on substrate curvature measurements by laser reflection and stress calculation using the Stoney equation, was employed. RBS showed that stoichiometric Ta 2 O 5 films contain impurities of Ar, Fe and Mo. Stoichiometric SiO 2 films also contain Ta impurity. Argon content increases with ion bombardment and, at maximum incorporation, argon bubbles are registered by TEM. XPS studies are complicated by surface contaminations and preferential sputtering. Evaporated SiO 2 films show +100 MPa stress (+ is tensile, - compressive). With 300 eV Ar + bombardment, stress changes to -200 MPa, n 500nm decreases (1.56-1.49) and k 500nm increases (1.4x10 -4 - 1.8x10 -3 ). Of all studied IBS conditions, stress in SiO 2 (-560 MPa) and Ta 2 O 5 (-350 MPa) films depends only on sputtering gas species and oxygen entry point into the chamber. With argon and oxygen bombardment stress in IBS SiO 2 films decreases to -380 MPa and below the stress measurement system resolution, respectively. While Ar + bombardment of Ta 2 O 5 films leads to increase in stress to -490 MPa, the effect of oxygen assistance depends on ion energy. The observed behaviour was related to the total recoil density. In DIBS SiO 2 and Ta 2 O 5 films n 500nm varies in the region of 1.5-1.59 and 2.13-2.20 and k 500nm is below 5.5x10 -3 and 8.5x10 -3 , respectively. The refractive index

  10. Analyzing heavy-ion-induced charge collection in Si devices by three-dimensional simulation

    International Nuclear Information System (INIS)

    Dodd, P.E.

    1994-01-01

    Properties of charge collection in Si devices in response to single-ion bombardment have been studied using transient three-dimensional drift-diffusion simulation. In unloaded Si diodes, the funnel effect is particularly strong in lightly-doped materials for high-density strikes such as 100 MeV Fe, and essentially all charge collection is by funnel-assisted drift. This drift collection may occur at time scales as late as several nanoseconds, much later than is traditionally associated with drift. For more heavily-doped materials or lower-density strikes, such as 5-MeV α-particles, drift and diffusion play more equal roles. In epitaxial structures the funnel is truncated by the heavily-doped substrate, collapses quickly, and a great deal of charge is collected at late times by diffusion. Charge collection in Si circuitry is influenced by the circuit external to the struck device. Loading effects on charge collection were studied using passive external circuit elements as well as by mixed-mode simulation, which allows modeling of active external circuitry. Simulations indicate that the funnel can be significantly affected by the inclusion of passive loads, while active loads may prevent any direct charge collection by funneling. Finally, the use of three-dimensional device simulators is presented as a method of analyzing results obtained from focused ion microbeam experiments

  11. CO dissociation and CO hydrogenation on smooth and ion-bombarded Pd(1 1 1): SFG and XPS spectroscopy at mbar pressures

    Science.gov (United States)

    Rupprechter, G.; Kaichev, V. V.; Unterhalt, H.; Morkel, M.; Bukhtiyarov, V. I.

    2004-07-01

    The CO dissociation probability on transition metals is often invoked to explain the product distribution (selectivity) of catalytic CO hydrogenation. Along these lines, we have investigated CO adsorption and dissociation on smooth and ion-bombarded Pd(1 1 1) at pressures up to 1 mbar using vibrational sum frequency generation (SFG) and X-ray photoelectron spectroscopy (XPS). Under high pressure, CO adsorbate structures were observed that were identical to high-coverage structures in UHV. On ion-bombarded surfaces an additional species was detected which was attributed to CO bridge bonded to defect (low-coordinated) sites. On both surfaces, no indications of CO dissociation were found even after hours of 0.1 mbar CO exposure. However, exposing CO/H 2 mixtures to ion-bombarded Pd(1 1 1) produced carbonaceous deposits suggesting CH xO species as precursors for CO bond cleavage and that the formation of CH xO is facilitated by surface defects. The relevance of the observations for CO hydrogenation on Pd catalysts is discussed.

  12. CO dissociation and CO hydrogenation on smooth and ion-bombarded Pd(1 1 1): SFG and XPS spectroscopy at mbar pressures

    Energy Technology Data Exchange (ETDEWEB)

    Rupprechter, G.; Kaichev, V.V.; Unterhalt, H.; Morkel, M.; Bukhtiyarov, V.I

    2004-07-31

    The CO dissociation probability on transition metals is often invoked to explain the product distribution (selectivity) of catalytic CO hydrogenation. Along these lines, we have investigated CO adsorption and dissociation on smooth and ion-bombarded Pd(1 1 1) at pressures up to 1 mbar using vibrational sum frequency generation (SFG) and X-ray photoelectron spectroscopy (XPS). Under high pressure, CO adsorbate structures were observed that were identical to high-coverage structures in UHV. On ion-bombarded surfaces an additional species was detected which was attributed to CO bridge bonded to defect (low-coordinated) sites. On both surfaces, no indications of CO dissociation were found even after hours of 0.1 mbar CO exposure. However, exposing CO/H{sub 2} mixtures to ion-bombarded Pd(1 1 1) produced carbonaceous deposits suggesting CH{sub x}O species as precursors for C---O bond cleavage and that the formation of CH{sub x}O is facilitated by surface defects. The relevance of the observations for CO hydrogenation on Pd catalysts is discussed.

  13. On the modeling of irradiation-induced homogeneous precipitation in proton-bombarded Ni-Si solid solutions

    Science.gov (United States)

    Lam, Nghi Q.; Janghorban, K.; Ardell, A. J.

    1981-10-01

    Irradiation-induced solute redistribution leading to precipitation of coherent γ' particles in undersaturated Ni-based solid solutions containing 6 and 8 at.% Si during 400-keV proton bombardment was modeled, based on the concept of solute segregation in concentrated alloys under spatially-dependent defect production conditions. The combined effects of (i) an extremely large difference between the defect production rates in the peak-damage and mid-range regions during irradiation and (ii) a preferential coupling between the interstitial and solute fluxes generate a net transient flux of Si atoms into the mid-range region, which is much larger than the solute flux out of this location. As a result, the Si concentration exceeds the solubility limit and homogeneous precipitation of the γ' phase occurs in this particular region of the irradiated samples. The spatial, compositional and temperature dependences of irradiation-induced homogeneous precipitation derived from the present theoretical calculations are in good qualitative agreement with experimental observations

  14. Temperature effect on the formation of a relief of diamond-like carbon coatings and its modification by ion bombardment

    International Nuclear Information System (INIS)

    Rubshtein, A.P.; Trakhtenberg, I.Sh.; Yugov, V.A.; Vladimirov, A.B.; Plotnikov, S.A.; Ponosov, Yu.S

    2006-01-01

    Using the method of pulsed arc sputtering of a graphite target the diamond-like coatings (DLC) ∼1.5 μm thick are deposited on a steel R6M5 substrate. The relief of the coatings obtained under various temperature conditions is investigated. Variations of carbon DLC surfaces are followed after their bombardment with accelerated argon or chemically active oxygen ions. Argon ion bombardment is established to be preferred for producing a smoothed-out DLC relief. It is shown that a DLC relief should be taken into account when measuring microhardness. It is recommended that transformation of interatomic bonds in irradiated subsurface layers be taken into consideration if information index of methods applied constitutes several monolayers [ru

  15. Effect of additional sample bias in Meshed Plasma Immersion Ion Deposition (MPIID) on microstructural, surface and mechanical properties of Si-DLC films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Mingzhong [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Tian, Xiubo, E-mail: xiubotian@163.com [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Li, Muqin [School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Gong, Chunzhi [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Wei, Ronghua [Southwest Research Institute, San Antonio, TX 78238 (United States)

    2016-07-15

    Highlights: • A novel Meshed Plasma Immersion Ion Deposition is proposed. • The deposited Si-DLC films possess denser structures and high deposition rate. • It is attributed to ion bombardment of the deposited films. • The ion energy can be independently controlled by an additional bias (novel set up). - Abstract: Meshed Plasma Immersion Ion Deposition (MPIID) using cage-like hollow cathode discharge is a modified process of conventional PIID, but it allows the deposition of thick diamond-like carbon (DLC) films (up to 50 μm) at a high deposition rate (up to 6.5 μm/h). To further improve the DLC film properties, a new approach to the MPIID process is proposed, in which the energy of ions incident to the sample surface can be independently controlled by an additional voltage applied between the samples and the metal meshed cage. In this study, the meshed cage was biased with a pulsed DC power supply at −1350 V peak voltage for the plasma generation, while the samples inside the cage were biased with a DC voltage from 0 V to −500 V with respect to the cage to study its effect. Si-DLC films were synthesized with a mixture of Ar, C{sub 2}H{sub 2} and tetramethylsilane (TMS). After the depositions, scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectrons spectroscopy (XPS), Raman spectroscopy and nanoindentation were used to study the morphology, surface roughness, chemical bonding and structure, and the surface hardness as well as the modulus of elasticity of the Si-DLC films. It was observed that the intense ion bombardment significantly densified the films, reduced the surface roughness, reduced the H and Si contents, and increased the nanohardness (H) and modulus of elasticity (E), whereas the deposition rate decreased slightly. Using the H and E data, high values of H{sup 3}/E{sup 2} and H/E were obtained on the biased films, indicating the potential excellent mechanical and tribological properties of the films. In this

  16. Angular dependence of sputtering yield of TiSi sub 2 layers and analysis of titanium disilicide by SIMS and SCANIIR methods

    Energy Technology Data Exchange (ETDEWEB)

    Antonov, S L; Valiev, K A; Vasiliev, A G; Orlikovsky, A A [AN SSSR, Moscow (USSR). Inst. of Physics and Technology

    1989-11-01

    The values of sputtering yields of TiSi{sub 2}, Ti and Si layers are determined for Ar{sup +} (8 keV) bombardment at angles 0-80{sup 0}. It is shown that the theoretical functions that we have suggested for TiSi{sub 2} sputtering yields from angular Ar{sup +} bombardment, which are based on models of bicomponent compounds sputtering under normal ion bombardment, are in good agreement with the experimental curve for TiSi{sub 2}. The calibration curves for the determination of TiSi{sub x} layer compositions by SIMS and SCANIIR methods (in dynamic regime) are presented. It is shown with the help of the static SIMS method (E{sub AR+} = 3 keV) that not only can the TiSi{sub x} composition be determined but the TiSi{sub 2} crystal phase can also be identified. (author).

  17. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  18. Properties of TiN coatings deposited by the method of condensation with ion bombardment accompanied by high-energy ion beam

    International Nuclear Information System (INIS)

    Obrezkov, O.I.; Vershok, B.A.; Dormashev, A.B.; Margulev, I.Ya.; Molchanova, S.A.; Andreev, E.S.; Dervuk, V.V.

    2002-01-01

    Vacuum-sputtering adapted commercial facility based coating of stainless steel with titanium nitride followed two procedures: ion bombardment condensation (IBC) and IBC under simultaneous effect of ion beam (IB). The deposition rate was equal to 0.1 μm min -1 ; the investigated coatings were characterized by 2.5 μm depth. Comparison analysis of features and characteristics of the specimens, as well as, full-scale tests of a coated cutting tool enabled to make conclusions about advantages of application of IB assisted IBC technology in contrast to the reference IBC technology [ru

  19. Ordering of vacancies on Si(001)

    NARCIS (Netherlands)

    Zandvliet, Henricus J.W.

    1997-01-01

    Missing dimer vacancies are always present on the clean Si(001) surface. The vacancy density can be increased by ion bombardment (Xe+, Ar+), etching (O2, Br2, I2, etc.) or Ni contamination. The equilibrium shape at low vacancy concentrations (<0.2¿0.3 monolayers) of these vacancy islands is

  20. A theoretical approach to sputtering due to molecular ion bombardment, 1

    International Nuclear Information System (INIS)

    Karashima, Shosuke; Ootoshi, Tsukuru; Kamiyama, Masahide; Kim, Pil-Hyon; Namba, Susumu.

    1981-01-01

    A shock wave model is proposed to explain theoretically the non-linear effects in sputtering phenomena by molecular ion bombardments. In this theory the sputtering processes are separated into two parts; one is due to linear effects and another is due to non-linear effects. The treatment of the linear parts is based on the statistical model by Schwarz and Helms concerning a broad range of atomic collision cascades. The non-linear parts are treated by the model of shock wave due to overlapping cascades, and useful equations to calculate the sputtering yields and the dynamical quantities in the system are derived. (author)

  1. Advances in fast-atom-bombardment mass spectroscopy

    International Nuclear Information System (INIS)

    Hemling, M.E.

    1986-01-01

    A comparison of fast atom bombardment and field desorption mass spectrometry was made to determine relative sensitivity and applicability. A series of glycosphingolipids and a series of protected oligonucleotides of known structure were analyzed to ascertain the potential utility of fast atom bombardment mass spectrometry in the structural elucidation of novel compounds in these classes. Negative ion mass markers were also developed. Fast atom bombardment was found to be one-to-two orders of magnitude more sensitive than field desorption based on the analysis of a limited number of compounds from several classes. Superior sensitivity was not universal and field desorption was clearly better in certain cases. In the negative ion mode in particular, fast atom bombardment was found to be a useful tool for the determination of the primary structure of glycosphingolipids and oligonucleotides. Carbohydrate sequence and branching information, and a fatty acid and lipid base composition were readily obtained from the mass spectra of glycosphingolipids while bidirectional nucleotide sequence, nucleotide base, and protecting group assignments were obtained for oligonucleotides. Based on this knowledge, a tentative structure of a human peripheral nervous system glycosphingolipid implicated in certain cases of disorders such as amyotrophic lateral sclerosis, Lou Gehrig's Disease, was proposed. Suitable negative ion mass markers were found in dispersions of poly(ethylene) and poly(propylene)glycols in a triethylenetetramine matrix, a matrix which also proved useful in the analysis of glycosphingolipids. These polyglycol dispersions provided ions for calibration to 2300 daltons

  2. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  3. The effects of argon ion bombardment on the corrosion resistance of tantalum

    Science.gov (United States)

    Ramezani, A. H.; Sari, A. H.; Shokouhy, A.

    2017-02-01

    Application of ion beam has been widely used as a surface modification method to improve surface properties. This paper investigates the effect of argon ion implantation on surface structure as well as resistance against tantalum corrosion. In this experiment, argon ions with energy of 30 keV and in doses of 1 × 1017-10 × 1017 ions/cm2 were used. The surface bombardment with inert gases mainly produces modified topography and morphology of the surface. Atomic Force Microscopy was also used to patterned the roughness variations prior to and after the implantation phase. Additionally, the corrosion investigation apparatus wear was applied to compare resistance against tantalum corrosion both before and after ion implantation. The results show that argon ion implantation has a substantial impact on increasing resistance against tantalum corrosion. After the corrosion test, scanning electron microscopy (SEM) analyzed the samples' surface morphologies. In addition, the elemental composition is characterized by energy-dispersive X-ray (EDX) analysis. The purpose of this paper was to obtain the perfect condition for the formation of tantalum corrosion resistance. In order to evaluate the effect of the ion implantation on the corrosion behavior, potentiodynamic tests were performed. The results show that the corrosion resistance of the samples strongly depends on the implantation doses.

  4. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  6. Molecular projectile effects for kinetic electron emission from carbon- and metal-surfaces bombarded by slow hydrogen ions

    Science.gov (United States)

    Cernusca, S.; Winter, HP.; Aumayr, F.; Díez Muiño, R.; Juaristi, J. I.

    2003-04-01

    Total yields for kinetic electron emission (KE) have been determined for impact of hydrogen monomer-, dimer- and trimer-ions (impact energy armour in magnetic fusion devices. The data are compared with KE yields for impact of same projectile ions on atomically clean highly oriented pyrolytic graphite and polycrystalline gold. We discuss KE yields for the different targets if bombarded by equally fast molecular and atomic ions in view to "projectile molecular effects" (different yields per proton for equally fast atomic and molecular ions), which are expected from calculated electronic projectile energy losses in these target materials.

  7. Study and optimisation of SIMS performed with He+ and Ne+ bombardment

    International Nuclear Information System (INIS)

    Pillatsch, L.; Vanhove, N.; Dowsett, D.; Sijbrandij, S.; Notte, J.; Wirtz, T.

    2013-01-01

    The combination of the high-brightness He + /Ne + atomic level ion source with the detection capabilities of secondary ion mass spectrometry (SIMS) opens up the prospect of obtaining chemical information with high lateral resolution and high sensitivity on the Zeiss ORION helium ion microscope (HIM). A feasibility study with He + and Ne + ion bombardment is presented in order to determine the performance of SIMS analyses using the HIM. Therefore, the sputtering yields, useful yields and detection limits obtained for metallic (Al, Ni and W) as well as semiconductor samples (Si, Ge, GaAs and InP) were investigated. All the experiments were performed on a Cameca IMS4f SIMS instrument which was equipped with a caesium evaporator and oxygen flooding system. For most of the elements, useful yields in the range of 10 −4 to 3 × 10 −2 were measured with either O 2 or Cs flooding. SIMS experiments performed directly on the ORION with a prototype secondary ion extraction and detection system lead to results that are consistent with those obtained on the IMS4f. Taking into account the obtained useful yields and the analytical conditions, such as the ion current and typical dwell time on the ORION HIM, detection limits in the at% range and better can be obtained during SIMS imaging at 10 nm lateral resolution with Ne + bombardment and down to the ppm level when a lateral resolution of 100 nm is chosen. Performing SIMS on the HIM with a good detection limit while maintaining an excellent lateral resolution (<50 nm) is therefore very promising.

  8. Ion beam processes in Si

    International Nuclear Information System (INIS)

    Holland, O.W.; Narayan, J.; Fathy, D.

    1984-07-01

    Observation of the effects of implants of energetic ions at high dose rates into Si have produced some exciting and interesting results. The mechanism whereby displacement damage produced by ions self-anneals during high dose rate implantation is discussed. It is shown that ion beam annealing (IBA) offers in certain situations unique possibilities for damage annealing. Annealing results of the near surface in Si with a buried oxide layer, formed by high dose implantation, are presented in order to illustrate the advantages offered by IBA. It is also shown that ion irradiation can stimulate the epitaxial recrystallization of amorphous overlayers in Si. The nonequilibrium alloying which results from such epitaxial processes is discussed as well as mechanisms which limit the solid solubility during irradiation. Finally, a dose rate dependency for the production of stable damage by ion irradiation at a constant fluence has been observed. For low fluence implants, the amount of damage is substantially greater in the case of high flux rather than low flux implantation

  9. Changes of electronic properties of p-GaN(0 0 0 1) surface after low-energy N+-ion bombardment

    Science.gov (United States)

    Grodzicki, M.; Mazur, P.; Ciszewski, A.

    2018-05-01

    The p-GaN(0 0 0 1) crystal with a relatively low acceptor concentration of 5 × 1016 cm-3 is used in these studies, which are carried out in situ under ultrahigh vacuum (UHV) by ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS) and low-energy electron diffraction (LEED). The p-GaN(0 0 0 1)-(1 × 1) surface is achieved by thermal cleaning. N+-ion bombardment by a 200 eV ion beam changes the surface stoichiometry, enriches it with nitrogen, and disorders it. Such modified surface layer inverts its semiconducting character from p- into n-type. The electron affinity for the already cleaned p-GaN surface and that just after bombardment shows a shift from 2.2 eV to 3.2 eV, as well as an increase of band bending at the vacuum/surface interface from 1.4 eV to 2.5 eV. Proper post-bombardment heating of the sample restores the initial atomic order of the modified layer, leaving its n-type semiconducting character unchanged. The results of the measurements are discussed based on two types of surface states concepts.

  10. MD and BCA simulations of He and H bombardment of fuzz in bcc elements

    Science.gov (United States)

    Klaver, T. P. C.; Zhang, S.; Nordlund, K.

    2017-08-01

    We present results of MD simulations of low energy He ion bombardment of low density fuzz in bcc elements. He ions can penetrate several micrometers into sparse fuzz, which allows for a sufficient He flux through it to grow the fuzz further. He kinetic energy falls off exponentially with penetration depth. A BCA code was used to carry out the same ion bombardment on the same fuzz structures as in MD simulations, but with simpler, 10 million times faster calculations. Despite the poor theoretical basis of the BCA at low ion energies, and the use of somewhat different potentials in MD and BCA calculations, the ion penetration depths predicted by BCA are only ∼12% less than those predicted by MD. The MD-BCA differences are highly systematic and trends in the results of the two methods are very similar. We have carried out more than 200 BCA calculation runs of ion bombardment of fuzz, in which parameters in the ion bombardment process were varied. For most parameters, the results show that the ion bombardment process is quite generic. The ion species (He or H), ion mass, fuzz element (W, Ta, Mo, Fe) and fuzz element lattice parameter turned out to have a modest influence on ion penetration depths at most. An off-normal angle of incidence strongly reduces the ion penetration depth. Increasing the ion energy increases the ion penetration, but the rate by which ion energy drops off at high ion energies follows the same exponential pattern as at lower energies.

  11. An ion accelerator facility for the preparation of nuclear bombardement targets

    International Nuclear Information System (INIS)

    Grime, G.W.; Takacs, J.

    1981-01-01

    As a result of the demand for increasingly complex nuclear bombardment targets in this laboratory, work has started on the construction of a medium-energy accelerator facility capable of preparing targets both by ion implantation and by heavy-ion sputtering. Basic consideration was given in the design to flexibility and simplicity. The ion source chosen was the Harwell sputter ion gun which is capable of producing ions of practically any element at currents up to several hundred μA. This was modified to suit our specific requirement. The acceleration system was constructed to operate at a maximum of 100 kV, and the beam is focussed by a three-cylinder electrostatic lens. The ions are analysed by 50 0 magnet which is capable of a mass dispersion of 7 mm in the target chamber between adjacent mass numbers at mass 100. A slit feedback system is used to stabilise the energy against short-term fluctuations. The system is fitted with two target chambers; one after the magnet and one after the electrostatic lens. The latter is used for applications such as sputtering. Two dimensional scanning is available in both target chambers for ensuring uniformity of implantation over areas larger than the spot size. Using this apparatus, implanted targets of 3 He and 20 Ne have been prepared. In addition high quality films of refractory metals have been sputtered using Ar or Xe beams. (orig.)

  12. Ion-enhanced gas-surface chemistry: The influence of the mass of the incident ion

    International Nuclear Information System (INIS)

    Gerlach-Meyer, U.; Coburn, J.W.; Kay, E.

    1981-01-01

    There are many examples of situations in which a gas-surface reaction rate is increased when the surface is simultaneously subjected to energetic particle bombardment. There are several possible mechanisms which could be involved in this radiation-enhanced gas-surface chemistry. In this study, the reaction rate of silicon, as determined from the etch yield, is measured during irradiation of the Si surface with 1 keV He + , Ne + , and Ar + ions while the surface is simultaneously subjected to fluxes of XeF 2 or Cl 2 molecules. Etch yields as high as 25 Si atoms/ion are observed for XeF 2 and Ar + on Si. A discussion is presented of the extent to which the results clarify the mechanisms responsible for ion-enhanced gas-surface chemistry. (orig.)

  13. The new generations of power components will depend on neutron and/or electron bombardment techniques

    International Nuclear Information System (INIS)

    Lilen, H.

    1976-01-01

    Neutron and electron bombardment techniques for materials doping, newly introduced in the fabrication of power semiconductor components: diodes, transistors, thyristors, and triacs are briefly outlined. A neutron bombardment of high purity silicon results in a short-lived 31 Si isotope (from 30 Si) decaying into 31 P. The phosphorus with its five peripheral electrons induces a negative doping (N), and the neutron technique gives a homogeneous doping. Furthermore, silicon bombardment with 1 to 2MeV electrons induces micro-ruptures in the lattice, that act as recombination traps reducing carrier lifetimes. Consequently, gold diffusion techniques can be replaced by electron bombardment with a gain in controlling carrier lifetimes [fr

  14. Formation mechanism of SiC in C-Si system by ion irradiation

    International Nuclear Information System (INIS)

    Hishita, Shunichi; Aizawa, Takashi; Suehara, Shigeru; Haneda, Hajime

    2003-01-01

    The irradiation effects of 2 MeV He + , Ne + , and Ar + ions on the film structure of the C-Si system were investigated with RHEED and XPS. The ion dose dependence of the SiC formation was kinetically analyzed. The SiC formation at moderate temperature was achieved by 2 MeV ion irradiation when the thickness of the initial carbon films was appropriate. The evolution process of the SiC film thickness consisted of the 3 stages. The first stage was the steep increase of the SiC, and was governed by the inelastic collision. The second was the gentle increase of the SiC, and was governed by the diffusion. The last was the decrease of the SiC, and was caused by the sputtering. The formation mechanism of the SiC was discussed. (author)

  15. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  16. Sputtering yields of Si and Ni from the I sub(1-x)Si sub(x) system studied by Rutherford backscattering spectrometry

    International Nuclear Information System (INIS)

    Kim, Su-Chol; Yamaguchi, Satoru; Kataoka, Yoshihide; Iwami, Motohiro; Hiraki, Akio; Satou, Mamoru; Fujimoto, Fuminori.

    1982-01-01

    Sputtering yields of Si and Ni from thin layer films of Ni-Si compounds (Ni sub(1-x)Si sub(x)), including the pure materials (Ni and Si), caused by 5keV Ar + ion bombardment were investigated using backscattering spectrometry. The sputtering yield for Si from Ni sub(1-x)Si sub(x) increased with increasing Si concentration. However, there is an abrupt decrease in the yield for Si concentrations above NiSi 2 to pure Si. This is in clear contrast to the sputtering yield of Ni from Ni sub(1-x)Si sub(x) which increased with increasing Ni concentration monotonously. These results are discussed on the basis of both the difference in the atomic density and the electronic state of the alloy. (author)

  17. Dynamic behaviors of laser ablated Si particles

    International Nuclear Information System (INIS)

    Ohyanagi, T.; Murakami, K.; Miyashita, A.; Yoda, O.

    1995-01-01

    The dynamics of laser-ablated Si particles produced by laser ablation have been investigated by time-and-space resolved X-ray absorption spectroscopy in a time scale ranging from 0 ns to 120 ns with a time resolution of 10 ns. Neutral and charged particles are observed through all X-ray absorption spectra. Assignments of transitions from 2s and 2p initial states to higher Rydberg states of Si atom and ions are achieved, and we experimentally determine the L II,III absorption edges of neutral Si atom (Si 0 ) and Si + , Si 2+ , Si 3+ and Si 4+ ions. The main ablated particles are found to be Si atom and Si ions in the initial stage of 0 ns to 120 ns. The relative amounts depend strongly on times and laser energy densities. We find that the spatial distributions of particles produced by laser ablation are changed with supersonic helium gas bombardment, but no cluster formation takes place. This suggests that a higher-density region of helium gas is formed at the top of the plume of ablated particles, and free expansion of particles is restrained by this helium cloud, and that it takes more than 120 ns to form Si clusters. (author)

  18. Diffusion processes in bombardment-induced surface topography

    International Nuclear Information System (INIS)

    Robinson, R.S.

    1984-01-01

    The bombardment of surfaces with moderate energy ions can lead to the development of various micron-sized surface structures. These structures include ridges, ledges, flat planes, pits and cones. The causal phenomena in the production of these features are sputtering, ion reflection, redeposition of sputtered material, and surface diffusion of both impurity and target-atom species. The authors concentrate on the formation of ion bombardment-induced surface topography wherein surface diffusion is a dominant process. The most thoroughly understood aspect of this topography development is the generation of cone-like structures during sputtering. The formation of cones during sputtering has been attributed to three effects. These are: (1) the presence of asperities, defects, or micro-inclusions in the surface layers, (2) the presence of impurities on the surfaces, and (3) particular crystal orientations. (Auth.)

  19. Molecular projectile effects for kinetic electron emission from carbon- and metal-surfaces bombarded by slow hydrogen ions

    International Nuclear Information System (INIS)

    Cernusca, S.; Winter, H.P.; Aumayr, F.; Diez Muino, R.; Juaristi, J.I.

    2003-01-01

    Total yields for kinetic electron emission (KE) have been determined for impact of hydrogen monomer-, dimer- and trimer-ions (impact energy <10 keV) on atomically clean surfaces of carbon-fiber inforced graphite used as first-wall armour in magnetic fusion devices. The data are compared with KE yields for impact of same projectile ions on atomically clean highly oriented pyrolytic graphite and polycrystalline gold. We discuss KE yields for the different targets if bombarded by equally fast molecular and atomic ions in view to 'projectile molecular effects' (different yields per proton for equally fast atomic and molecular ions), which are expected from calculated electronic projectile energy losses in these target materials

  20. Molecular projectile effects for kinetic electron emission from carbon- and metal-surfaces bombarded by slow hydrogen ions

    CERN Document Server

    Cernusca, S; Aumayr, F; Diez-Muino, R; Juaristi, J I

    2003-01-01

    Total yields for kinetic electron emission (KE) have been determined for impact of hydrogen monomer-, dimer- and trimer-ions (impact energy <10 keV) on atomically clean surfaces of carbon-fiber inforced graphite used as first-wall armour in magnetic fusion devices. The data are compared with KE yields for impact of same projectile ions on atomically clean highly oriented pyrolytic graphite and polycrystalline gold. We discuss KE yields for the different targets if bombarded by equally fast molecular and atomic ions in view to 'projectile molecular effects' (different yields per proton for equally fast atomic and molecular ions), which are expected from calculated electronic projectile energy losses in these target materials.

  1. Materials surface modification by plasma bombardment under simultaneous erosion and redeposition conditions

    International Nuclear Information System (INIS)

    Hirooka, Y.; Goebel, D.M.; Conn, R.W.

    1986-07-01

    The first in-depth investigation of surface modification of materials by continuous, high-flux argon plasma bombardment under simultaneous erosion and redeposition conditions have been carried out for copper and 304 stainless steel using the PISCES facility. The plasma bombardment conditions are: incident ion flux range from 10 17 to 10 19 ions sec -1 cm -2 , total ion fluence is controlled between 10 19 and 10 22 ions cm -2 , electron temperature range from 5 to 15 eV, and plasma density range from 10 11 to 10 13 cm -3 . The incident ion energy is 100 eV. The sample temperature is between 300 and 700K. Under redeposition dominated conditions, the material erosion rate due to the plasma bombardment is significantly smaller (by a factor up to 10) than that can be expected from the classical ion beam sputtering yield data. It is found that surface morphologies of redeposited materials strongly depend on the plasma bombardment condition. The effect of impurities on surface morphology is elucidated in detail. First-order modelings are implemented to interpret the reduced erosion rate and the surface evolution. Also, fusion related surface properties of redeposited materials such as hydrogen reemission and plasma driven permeation have been characterized

  2. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  3. Production of Mg and Al Auger electrons by noble gas ion bombardment of Mg and Al surfaces. [3 KeV, electron promotion

    Energy Technology Data Exchange (ETDEWEB)

    Ferrante, J; Pepper, S V [National Aeronautics and Space Administration, Cleveland, Ohio (USA). Lewis Research Center

    1976-08-01

    In this letter the relative production efficiency of Mg and Al Auger electrons by He, Ne, Ar, Kr and Xe ion bombardment as a function of ion energy (<=3 keV) is reported. Some comments on the interpretation of the results in terms of electron promotion are also given.

  4. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  5. Alkali depletion and ion-beam mixing in glasses

    International Nuclear Information System (INIS)

    Arnold, G.W.

    1983-01-01

    Ion-implantation-induced alkali depletion in simple alkali-silicate glasses (12M 2 O.88SiO 2 ) has been studied for implantations at room temperature and near 77K. Results are consistent with a mechanism for alkali removal, by heavy ion bombardment, based on radiation-enhanced migration and preferential removal of alkali from the outermost layers. Similar results were obtained for mixed-alkali glasses ((12-x)Cs 2 .O.xM 2 O.88SiO 2 ) where, in addition, a mixed-alkali effect may also be operative. Some preliminary experiments with ion implantation through thin Al films on SiO 2 glass and on a phosphate glass show that inter-diffusion takes place and suggest that this ion-mixing technique may be a useful method for altering the physical properties of glass surfaces

  6. Sputtering yields of Si and Ni from the Ni sub(1-x)Si sub(x) system studied by Rutherford backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S C; Yamaguchi, S; Kataoka, Y; Iwami, M; Hiraki, A [Osaka Univ., Suita (Japan). Faculty of Engineering; Satou, M; Fujimoto, F

    1982-01-01

    Sputtering yields of Si and Ni from thin layer films of Ni-Si compounds (Ni sub(1-x)Si sub(x)), including the pure materials (Ni and Si), caused by 5keV Ar/sup +/ ion bombardment were investigated using backscattering spectrometry. The sputtering yield for Si from Ni sub(1-x)Si sub(x) increased with increasing Si concentration. However, there is an abrupt decrease in the yield for Si concentrations above NiSi/sub 2/ to pure Si. This is in clear contrast to the sputtering yield of Ni from Ni sub(1-x)Si sub(x) which increased with increasing Ni concentration monotonously. These results are discussed on the basis of both the difference in the atomic density and the electronic state of the alloy.

  7. Au L-shell ionization by Si and S ions

    International Nuclear Information System (INIS)

    Berinde, A.; Ciortea, C.; Enulescu, A.; Fluerasu, D.; Piticu, I.; Zoran, V.; Trautmann, D.

    1984-01-01

    We present the following experimental results on Au L-shell ionization: (1) in the bombarding energy range 0.25-2.5 MeV/u, absolute X-ray yields and the L 3 -vacancy integral alignment for Si, and cross section ratios for Si and S as projectiles; (2) at 32 MeV sulphur energy, subshell ionization probability ratios and the components A 20 (b) and A 22 (b) of the statistical tensor describing the L 3 -vacancy for impact parameters b=20-450 fm. A comparison of the data to SCA calcualtions reveals, except perhaps for the differential alignment, important discrepancies relative to the theoretical predictions. (orig./BRB)

  8. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  9. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  10. Diamond-like carbon layers modified by ion bombardment during growth and researched by Resonant Ultrasound Spectroscopy

    Czech Academy of Sciences Publication Activity Database

    Kocourek, Tomáš; Jelínek, Miroslav; Písařík, Petr; Remsa, Jan; Janovská, Michaela; Landa, Michal; Zemek, Josef; Havránek, Vladimír

    2017-01-01

    Roč. 417, Sep (2017), s. 213-217 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GA15-05864S Institutional support: RVO:68378271 ; RVO:61388998 ; RVO:61389005 Keywords : in-situ ion bombardment * pulsed laser deposition * diamond-like carbon * hybrid technology * flm modification Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 3.387, year: 2016

  11. Treatment of PVC using an alternative low energy ion bombardment procedure

    Science.gov (United States)

    Rangel, Elidiane C.; dos Santos, Nazir M.; Bortoleto, José Roberto R.; Durrant, Steven F.; Schreiner, Wido H.; Honda, Roberto Y.; Rangel, Rita de Cássia C.; Cruz, Nilson C.

    2011-12-01

    In many applications, polymers have progressively substituted traditional materials such as ceramics, glasses, and metals. Nevertheless, the use of polymeric materials is still limited by their surface properties. Frequently, selective modifications are necessary to suit the surface to a given application. Amongst the most common treatments, plasma immersion ion implantation (PIII) has attracted the attention of many researchers owing to its versatility and practicality. This method, however, requires a power supply to provide high voltage (tens of kV) negative pulses, with a controlled duty cycle, width and frequency. Owing to this, the implementation of PIII on the industrial scale can become economically inviable. In this work, an alternative plasma treatment that enables low energy ion bombardment without the need of a high voltage pulse generator is presented. To evaluate the efficiency of the treatment of polymers, polyvinylchloride, PVC, specimens were exposed to 5 Pa argon plasmas for 3600 s, at excitation powers, P, of between 10 and 125 W. Through contact angle and atomic force microscopy data, the influence of P on the wettability, surface free energy and roughness of the samples was studied. Surface chemical composition was measured by X-ray photoelectron spectroscopy, XPS. To evaluate the effect of aging under atmospheric conditions, contact angle and XPS measurements were performed one and 1334 days after the treatment. The plasma potential and ion density around the driven electrode were determined from Langmuir probe measurements while the self-bias potential was derived with the aid of an oscilloscope. From these data it was possible to estimate the mean energy of ions bombarding the PVC surface. Chlorine, carbon and oxygen contamination were detected on the surface of the as-received PVC. Upon exposure to the plasma, the proportion of chlorine was observed to decrease while that of oxygen increased. Consequently, the wettability and surface energy

  12. Treatment of PVC using an alternative low energy ion bombardment procedure

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Santos, Nazir M. dos; Bortoleto, José Roberto R.; Durrant, Steven F.; Schreiner, Wido H.; Honda, Roberto Y.; Cássia C Rangel, Rita de; Cruz, Nilson C.

    2011-01-01

    In many applications, polymers have progressively substituted traditional materials such as ceramics, glasses, and metals. Nevertheless, the use of polymeric materials is still limited by their surface properties. Frequently, selective modifications are necessary to suit the surface to a given application. Amongst the most common treatments, plasma immersion ion implantation (PIII) has attracted the attention of many researchers owing to its versatility and practicality. This method, however, requires a power supply to provide high voltage (tens of kV) negative pulses, with a controlled duty cycle, width and frequency. Owing to this, the implementation of PIII on the industrial scale can become economically inviable. In this work, an alternative plasma treatment that enables low energy ion bombardment without the need of a high voltage pulse generator is presented. To evaluate the efficiency of the treatment of polymers, polyvinylchloride, PVC, specimens were exposed to 5 Pa argon plasmas for 3600 s, at excitation powers, P, of between 10 and 125 W. Through contact angle and atomic force microscopy data, the influence of P on the wettability, surface free energy and roughness of the samples was studied. Surface chemical composition was measured by X-ray photoelectron spectroscopy, XPS. To evaluate the effect of aging under atmospheric conditions, contact angle and XPS measurements were performed one and 1334 days after the treatment. The plasma potential and ion density around the driven electrode were determined from Langmuir probe measurements while the self-bias potential was derived with the aid of an oscilloscope. From these data it was possible to estimate the mean energy of ions bombarding the PVC surface. Chlorine, carbon and oxygen contamination were detected on the surface of the as-received PVC. Upon exposure to the plasma, the proportion of chlorine was observed to decrease while that of oxygen increased. Consequently, the wettability and surface energy

  13. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  14. Ion-bombardment-induced reduction in vacancies and its enhanced effect on conductivity and reflectivity in hafnium nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Gu, Zhiqing; Wang, Jiafu; Hu, Chaoquan; Zhang, Xiaobo; Dang, Jianchen; Gao, Jing; Zheng, Weitao [Jilin University, School of Materials Science and Engineering, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Changchun (China); Zhang, Sam [Nanyang Technological University, School of Mechanical and Aerospace Engineering, Singapore (Singapore); Wang, Xiaoyi [Chinese Academy of Sciences, Key Laboratory of Optical System Advanced Manufacturing Technology, Changchun Institute of Optics, Fine Mechanics and Physics, Changchun (China); Chen, Hong [Jilin University, Department of Control Science and Engineering, Changchun (China)

    2016-08-15

    Although the role of ion bombardment on electrical conductivity and optical reflectivity of transition metal nitrides films was reported previously, the results were controversial and the mechanism was not yet well explored. Here, we show that proper ion bombardment, induced by applying the negative bias voltage (V{sub b}), significantly improves the electrical conductivity and optical reflectivity in rocksalt hafnium nitride films regardless of level of stoichiometry (i.e., in both near-stoichiometric HfN{sub 1.04} and over-stoichiometric HfN{sub 1.17} films). The observed improvement arises from the increase in the concentration of free electrons and the relaxation time as a result of reduction in nitrogen and hafnium vacancies in the films. Furthermore, HfN{sub 1.17} films have always much lower electrical conductivity and infrared reflectance than HfN{sub 1.04} films for a given V{sub b}, owing to more hafnium vacancies because of larger composition deviation from HfN exact stoichiometry (N:Hf = 1:1). These new insights are supported by good agreement between experimental results and theoretical calculations. (orig.)

  15. Nano-scale pattern formation on the surface of HgCdTe produced by ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Smirnov, A.B.; Gudymenko, A.I.; Kladko, V.P.; Korchevyi, A.A.; Savkina, R.K.; Sizov, F.F.; Udovitska, R.S. [V. Lashkaryov Institute of Semiconductor Physics, NAS of Ukraine, Kiev (Ukraine)

    2015-08-15

    Presented in this work are the results concerning formation of nano-scale patterns on the surface of a ternary compound Hg{sub 1-x}Cd{sub x}Te (x ∝ 0.223). Modification of this ternary chalcogenide semiconductor compound was performed using the method of oblique-incidence ion bombardment with silver ions, which was followed by low-temperature treatment. The energy and dose of implanted ions were 140 keV and 4.8 x 10{sup 13} cm{sup -2}, respectively. Atomic force microscopy methods were used for the surface topography characterization. The structural properties of MCT-based structure was analyzed using double and triple crystal X-ray diffraction to monitor the disorder and strain of the implanted region as a function of processing conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Auger processes in ion-surface collisions

    International Nuclear Information System (INIS)

    Zampieri, Guillermo.

    1985-01-01

    Bombardment of solid targets with low-energy noble gas ions can produce Auger electron emission from the target atoms and/or from the projectiles. In the case of Auger emission from the projectile, Auger emission was observed during the bombardment of Na, Mg, Al and Si with Ne + ions. This emission was studied as a function of the energy, incidence angle and charge state of the projectile. From the analysis, it is concluded that the emission originates in the decay in vacuum of excited and reflected Ne atoms, moving outside the surface. Auger emission was not observed during the bombardment of K, V and Ni with Ar + ions; Zr and Cs with Kr + , and Xe + ions, respectively; and Li and Be with He + ions. In the case of Auger emission from the target, studies of certain aspects of the Na, Mg and Al Auger electron emission spectra were made. The results allow to identify two components in the Auger feature, coresponding to two kinds of Auger transition. The total spectra results from the superposition of both kinds of emission. Auger spectra from K obtained during Ar + and K + bombardment of K-implanted Be, Mg, Al and Cu were also analyzed. Similar to the Na, Mg and Al Auger spectra, the K Auger feature is composed of an atomic like peak superimposed on a bandlike structure. Both components correspond to Auger transitions in K atoms with a 3p vacancy, occuring in vacuum and inside the solid, respectively. (M.E.L.) [es

  17. Suppression of self-organized surface nanopatterning on GaSb/InAs multilayers induced by low energy oxygen ion bombardment by using simultaneously sample rotation and oxygen flooding

    Science.gov (United States)

    Beainy, Georges; Cerba, Tiphaine; Bassani, Franck; Martin, Mickaël; Baron, Thierry; Barnes, Jean-Paul

    2018-05-01

    Time of flight secondary ion mass spectrometry (ToF-SIMS) is a well-adapted analytical method for the chemical characterization of concentration profiles in layered or multilayered materials. However, under ion beam bombardment, initially smooth material surface becomes morphologically unstable. This leads to abnormal secondary ion yields and depth profile distortions. In this contribution, we explore the surface topography and roughening evolution induced by O2+ ion bombardment on GaSb/InAs multilayers. We demonstrate the formation of nanodots and ripples patterning according to the ion beam energy. Since the latter are undesirable for ToF-SIMS analysis, we managed to totally stop their growth by using simultaneously sample rotation and oxygen flooding. This unprecedented coupling between these two latter mechanisms leads to a significant enhancement in depth profiles resolution.

  18. MeV ion induced damage production and accumulation in silicon

    International Nuclear Information System (INIS)

    Suzuki, Motoyuki; Okazaki, Makoto; Shin, Kazuo; Takagi, Ikuji; Yoshida, Koji

    1993-01-01

    Measurement and analysis were made for radiation damages in silicon induced by MeV ions. A single crystal silicon was bombarded by 800 keV O + and 700 keV Si + with the dose from 2x10 15 up to 8x10 15 cm -2 . And defects induced by the ion bombardments were observed by the channeling method. Some new modifications were made to the analysis of the channeling RBS spectrum so that the accuracy of the unfolded defect distribution may be improved. A new model of point-defect clustering and amorphous formation was proposed, which well reproduced the observed defect distribution in silicon. (author)

  19. Surface Morphologies of Ti and Ti-Al-V Bombarded by 1.0-MeV Au+ Ions

    Science.gov (United States)

    Garcia, M. A.; Rickards, J.; Cuerno, R.; Trejo-Luna, R.; Cañetas-Ortega, J.; de la Vega, L. R.; Rodríguez-Fernández, L.

    2017-12-01

    Ion implantation is known to enhance the mechanical properties of biomaterials such as, e.g., the wear resistance of orthopedic joints. Increasing the surface area of implants may likewise improve their integration with, e.g., bone tissue, which requires surface features with sizes in the micron range. Ion implantation of biocompatible metals has recently been demonstrated to induce surface ripples with wavelengths of a few microns. However, the physical mechanisms controlling the formation and characteristics of these patterns are yet to be understood. We bombard Ti and Ti-6Al-4V surfaces with 1.0-MeV Au+ ions. Analysis by scanning electron and atomic force microscopies shows the formation of surface ripples with typical dimensions in the micron range, with potential indeed for biomedical applications. Under the present specific experimental conditions, the ripple properties are seen to strongly depend on the fluence of the implanted ions while being weakly dependent on the target material. Moreover, by examining experiments performed for incidence angle values θ =8 ° , 23°, 49°, and 67°, we confirm the existence of a threshold incidence angle for (ripple) pattern formation. Surface indentation is also used to study surface features under additional values of θ , agreeing with our single-angle experiments. All properties of the surface structuring process are very similar to those found in the production of surface nanopatterns under low-energy ion bombardment of semiconductor targets, in which the stopping power is dominated by nuclear contributions, as in our experiments. We consider a continuum model that combines the effects of various physical processes as originally developed in that context, with parameters that we estimate under a binary-collision approximation. Notably, reasonable agreement with our experimental observations is achieved, even under our high-energy conditions. Accordingly, in our system, ripple formation is determined by mass

  20. Ion-materials interactions and their application

    International Nuclear Information System (INIS)

    Whitlow, H.J.

    1998-01-01

    The interaction of energetic ions and other charged particles with solid matter leads to a wealth of physical processes. This thesis comprises a collection of papers and an introductory commentary, which explore some aspects of how these interactions may be used for: (i) Characterisation of thin surface layers of material, (ii) characterisation of energetic charged particles, and (iii) modification of materials by ion bombardment. In (i) Elastic Recoil Detection using a detector system for measurement of Time of Flight and kinetic energy of recoiling target atoms has been developed as a quantitative method for elemental depth profiling of thin (0.5-1 μm) surface layers. This method has been applied to the study of reactions of metal/III-V structures, which are of importance for the semiconductor industry. (ii) MeV-ion - materials interactions have been used as the basis for developing Si p-i-n detectors for the CHICSi programme which will undertake experimental studies of heavy ion collisions at intermediate energies. This involved development and testing of extremely thin (10-12 μm) Si ΔE detectors for characterising light- and intermediate mass charged particles as well as calibration of Si p-i-n detectors and their susceptibility to radiation damage. (iii) Nuclear Reaction Analysis (NRA) with resonant nuclear reactions has been used to study modification of material with ion beams. In the first study, the accumulation of fluorine in BF 2 + ion implanted WSi 2 solid diffusion sources was investigated. The second study investigated if there was a correlation between photoluminescence and segregation of hydrogen to buried heterojunctions in plasma-etched III-V quantum-well structures. The ion bombardment in this case was during etching in an Ar+CH 4 plasma using an Electron Cyclotron Resonance (ECR) source. (author)

  1. Miniature electron bombardment evaporation source: evaporation rate measurement

    International Nuclear Information System (INIS)

    Nehasil, V.; Masek, K.; Matolin, V.; Moreau, O.

    1997-01-01

    Miniature electron beam evaporation sources which operate on the principle of vaporization of source material, in the form of a tip, by electron bombardment are produced by several companies specialized in UHV equipment. These sources are used primarily for materials that are normally difficult to deposit due to their high evaporation temperature. They are appropriate for special applications such as heteroepitaxial thin film growth requiring a very low and well controlled deposition rate. A simple and easily applicable method of evaporation rate control is proposed. The method is based on the measurement of ion current produced by electron bombardment of evaporated atoms. The absolute evaporation flux values were measured by means of the Bayard-Alpert ion gauge, which enabled the ion current vs evaporation flux calibration curves to be plotted. (author). 1 tab., 4 figs., 6 refs

  2. Study on the desorption yield for natural botanic sample induced by energetic heavy ions

    International Nuclear Information System (INIS)

    Xue, J.M.; Wang, Y.G.; Du, G.H.; Yan, S.; Zhao, W.J.

    2002-01-01

    The dependence of desorption yield for the natural botanic sample bombarded with heavy ion on the electronic stopping power (S e ) and dose has been measured by weighing sample mass before and after irradiation. Primary ions including 50 keV N + , 1.5 MeV F + , 3.0 MeV F 2+ , 4.0 MeV F 2+ and 3.0 MeV Si 2+ were used in the experiment. Three megaelectron volts of F 2+ with doses ranging from 4x10 15 to 4x10 16 ions/cm 2 were used in order to investigate the influence of ion dose. A mass spectrum from the sample bombarded with 3 MeV Si 2+ was also taken for a better understanding of the desorption process. Results show that the natural botanic sample is very easily to be desorpted. The yield of MeV heavy ions can be as high as thousands CH 2 O/ion, and significantly depends on both the S e and dose. The measured yields increase quickly with S e , but drop down with increasing ion dose. These results fit roughly with the prediction of the pressure pulse model

  3. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    Science.gov (United States)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  4. Secondary ion emission from ultra-thin oxide layers bombarded by energetic (MeV) heavy ions: depth of origin and layer homogeneity

    International Nuclear Information System (INIS)

    Allali, H.; Nsouli, B.; Thomas, J.P.; Cabaud, B.; Fuchs, G.; Hoareau, A.; Treilleux, M.; Danel, J.S.

    1993-09-01

    The escape depth of the secondary ions resulting from electronic sputtering of fast heavy ions in inorganic thin films has been investigated. Chromium layers deposited onto SiO 2 substrate as well as SiO x layers deposited onto chromium substrate have been characterized by secondary ion emission mass spectrometry (SIMS) in combination with time-of-flight (TOF) mass analysis (also referred as HSF-SIMS). These crossed experiments lead to a value around 1 nm for SiO x layers and 0.5 nm for Cr layers. On the other hand, HSF-SIMS can be used to correlate the intensity of the secondary ion emission to the film coverage rate and (or) the morphology of particular films like those produced by Low Energy Cluster Beam Deposition (LECBD). Using Sb deposits, the non-linear relationship between ion emission and coverage is interpreted in terms of sputtering enhancement in the individual supported clusters. (author) 22 refs., 9 figs., 1 tab

  5. Low-energy ion bombardment of frozen bacterial spores and its relevance to interplanetary space

    Energy Technology Data Exchange (ETDEWEB)

    Tuleta, M.; Gabla, L. [Jagiellonian Univ., Institute of Physics, Cracow (Poland); Szkarlat, A. [Clinical Children' s Hospital of the Jagiellonian Univ., Medical College, Lab. of Microbiology, Cracow (Poland)

    2005-04-01

    The panspermia hypothesis is concerned with the dissemination of life in space in the form of simple micro-organisms. During an interplanetary journey the micro-organisms are subjected to the action of, among others, the solar wind. We have simulated experimentally such conditions bombarding frozen bacterial spores with low-energy hydrogen ions. On the basis of the results obtained and our earlier research, a new look at the panspermia hypothesis is discussed. The general conclusion is that unprotected naked spores, their conglomerates and protected spores can survive attack of the solar wind, although to various degrees. (authors)

  6. Low-energy ion bombardment of frozen bacterial spores and its relevance to interplanetary space

    International Nuclear Information System (INIS)

    Tuleta, M.; Gabla, L.; Szkarlat, A.

    2005-01-01

    The panspermia hypothesis is concerned with the dissemination of life in space in the form of simple micro-organisms. During an interplanetary journey the micro-organisms are subjected to the action of, among others, the solar wind. We have simulated experimentally such conditions bombarding frozen bacterial spores with low-energy hydrogen ions. On the basis of the results obtained and our earlier research, a new look at the panspermia hypothesis is discussed. The general conclusion is that unprotected naked spores, their conglomerates and protected spores can survive attack of the solar wind, although to various degrees. (authors)

  7. Light ions cyclotron bombardment to simulate fast neutron radiation damage in nuclear materials

    International Nuclear Information System (INIS)

    Segura, E.; Lucki, G.; Aguiar, D.

    1984-01-01

    The applicability and limitations of the use of cyclotron light ions bombardment to simulate the effects of the neutron irradiation are presented. Light ions with energies of about 10 MeV are capable to produce homogeneous damage in specimens suitable for measuring bulk mechanical properties although their low damage rate of 10 -5 dpa.sec -1 limit the dose range to a few dpa. On the other hand, cyclotron alpha particle implantation provides a fast and convenient way of introducing helium with a minimum of side effects so that we can take advantage of this technique to get better understanding of the mechanism by which this insoluble gas produces high temperature embrittlement. Some experimental details such as dimensions and cooling techniques are described. Finally a description of the infrastructure for cyclotron alpha particle implantation and a creep-test facility of the Division of Radiation Damage at IPEN-CNEN/SP are presented. (Author) [pt

  8. L-subshell ionization studies of Au for α-particle and lithium-ion bombardment

    International Nuclear Information System (INIS)

    Dhal, B.B.; Nandi, T.; Padhi, H.C.; Trautmann, D.

    1995-01-01

    L-subshell ionization of Au has been investigated for α-particle and lithium-ion bombardments with energies 0.54-1.74 MeV u -1 and 0.65-1.44 MeV u -1 , respectively. Comparison of experimental x-ray production cross sections with the predictions of the ECPSSR and SCA theories shows reasonably good agreement for L α and L β x-rays, whereas for L γ and L γ1+5 the ECPSSR theory underestimates the cross sections by about 60% for both α-particle and lithium-ion impact, and the SCA theory agrees reasonably well. The ECPSSR theory underestimates the L 1 - and L 2 -subshell ionization cross sections and gives good agreement for the L 3 -subshell, whereas the SCA theory overestimates the L 3 -subshell ionization cross sections and gives good agreement for L 1 and L 2 . The experimental data for the total-ionization cross sections are within 25% of the predictions of both the theories. (Author)

  9. Synthesizing single-phase β-FeSi2 via ion beam irradiations of Fe/Si bilayers

    International Nuclear Information System (INIS)

    Milosavljevic, M.; Dhar, S.; Schaaf, P.; Bibic, N.; Lieb, K.P.

    2001-01-01

    This paper presents results on the direct synthesis of the β-FeSi 2 phase by ion beam mixing of Fe/Si bilayers with Xe ions. The influence of the substrate temperature, ion fluence and energy on the growth of this phase was investigated using Rutherford backscattering (RBS), X-ray diffraction (XRD) and conversion electron Moessbauer spectroscopy (CEMS). Complete growth of single-phase β-FeSi 2 was achieved by 205 keV Xe ion irradiation to a fluence of 2x10 16 ions/cm 2 at 600 deg. C. We propose a two-step reaction mechanism involving thermal and ion beam energy deposition

  10. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  11. Heavy-ion induced secondary electron emission from Mg, Al, and Si partially covered with oxygen

    International Nuclear Information System (INIS)

    Weng, J; Veje, E.

    1984-01-01

    We have bombarded Mg, Al, and Si with 80 keV Ar + ions and measured the secondary electron emission yields at projectile incidence angles from 0 0 to 85 0 , with oxygen present at the target as well as under UHV conditions. The total secondary electron emission yields are found to depend fairly much on the amount of oxygen present. The three elements studied show relatively large individual variations. For all three elements, and with as well as without oxygen present, the relative secondary electron emission yield is observed to vary as 1/cos v, where v is the angle of incidence of the projectiles. This seems to indicate that the secondary electron production is initiated uniformly along the projectile path in the solid, in a region close to the surface. The results are discussed, and it is tentatively suggested, that the increase in secondary electron emission, caused by the presence of oxygen, originates from neutralization of sputtered oxygen, which initially is sitting as O 2- ions. (orig.)

  12. Mechanical properties of MeV ion-irradiated SiC/SiC composites characterized by indentation technique

    International Nuclear Information System (INIS)

    Park, J.Y.; Park, K.H.; Kim, W.; Kishimoto, H.; Kohyama, A.

    2007-01-01

    Full text of publication follows: SiC/SiC composites have been considered as a structural material for advanced fusion concepts. In the core of fusion reactor, those SiC/SiC composites are experienced the complex attacks such as strong neutron, high temperature and transmuted gases. One of the vital data for designing the SiC/SiC composites to the fusion reactor is mechanical properties under the severe neutron irradiation. In this work, various SiC/SiC composites were prepared by the different fabrication processes like CVI (chemical vapor infiltration), WA-CVI (SiC whisker assisted CVI) and hot-pressed method. The expected neutron irradiation was simulated by a silicon self-ion irradiation at a DuET facility; Dual-beam for Energy Technologies, Kyoto University. The irradiation temperature were 600 deg. C and 1200 deg. C, and the irradiation does were 5 dpa and 20 dpa, respectively. The 5.1 MeV Si ions were irradiated to the intrinsic CVI-SiC, SiC whisker reinforced SiC and SiC composites produced by hot-press method. The mechanical properties like hardness, elastic modulus and fracture toughness were characterized by an indentation technique. The ion irradiation caused the increase of the hardness and fracture toughness, which was dependent on the irradiation temperature. SiC whisker reinforcement in the SiC matrix accelerated the increase of the fracture toughness by the ion irradiation. For SiC/SiC composites after the ion irradiation, this work will provide the additional data for the mechanical properties as well as the effect of SiC whisker reinforcement. (authors)

  13. High energy Xe{sup +} ion beam induced ripple structures on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hanisch, Antje; Grenzer, Joerg; Facsko, Stefan; Winkler, Ingolf [Forschungszentrum Dresden-Rossendorf, Institute for Ion Beam Physics and Materials Research, Dresden (Germany); Biermanns, Andreas; Grigorian, Souren; Pietsch, Ullrich [University of Siegen (Germany). Institute of Physics

    2008-07-01

    Ion beam bombardment on semiconductor surfaces leads to well-defined morphological structures in the nanoscale range. Due to the impact of ions a self-organized wave-like surface structure develops. Ion bombardment causes an amorphization of a surface-adjacent layer of several nanometers and creates a periodical structure on the surface as well as at the amorphous-crystalline interface. We investigate the dependence of the periodicity on the crystallography of (100) silicon bombarded with Xe{sup +} ions, the ion beam incidence and the azimutal angle of the sample surface. So far we found that the ripple wavelength scales with the ion energy in a range of 5 to 70 keV. In order to understand the initiation of the ripple formation we also ask the question which role the initial surface structure plays. Therefore we investigate the formation of ripples on pre-structured and rough surfaces such as wafers with an intentional miscut. Therefore, we not only introduce a certain initial roughness but also vary the orientation of the (100) lattice plane in respect to the surface. We distinguish between ion beam induced surface effects (sputter erosion) and the influence of the crystalline Si lattice (strain) on the ripple formation.

  14. Directional effect on coloration in LiF crystal by H{sup +} and H{sub 2}{sup +} ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Mingle, Gan; Naramoto, Hiroshi; Aoki, Yasushi; Yamamoto, Shunya; Jianer, Zeng; Takeshita, Hidefumi [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    In the present paper, the first results are reported about the coloration in LiF crystals induced by bombardments of single hydrogen ions (H{sup +}) and molecular hydrogen ions (H{sub 2}{sup +}) with the same velocity under the <100> aligned and random conditions. For the single hydrogen ion irradiation, the coloration is rather simple. The F-type color center absorption under the <100> aligned condition becomes larger than that under the random condition with the dose increase because of larger fraction of electronic energy loss under channeling condition. On the contrary, the coloration for the molecular ions does not show big channeling effect. In the low dose region some difference can be seen but the difference of coloration is not observed any more with the dose increase. The pronounced coloration for molecular ions under the channeling condition is observed in comparison with that for single ions. (author)

  15. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  16. Radiative Auger effect in ion-atom collisions

    International Nuclear Information System (INIS)

    Richard, P.; Oltjen, J.; Jamison, K.A.; Kauffman, R.L.; Woods, C.W.; Hall, J.M.

    1975-01-01

    The radiative Auger effect, RAE, is observed for Al and Si bombarded by 1-2MeV H + . This is the first observation of the RAE X-ray edge using ion excitation. The K-L 23 L 23 RAE edge energy and the relative intensity are in agreement with the previously reported electron and photon induced spectra. (Auth.)

  17. Development and evolution of biaxial texture of rolled nickel tapes by ion beam bombardment for high Tc coated conductors

    International Nuclear Information System (INIS)

    Wang, S.S.; Wu, K.; Shi, K.; Liu, Q.; Han, Z.

    2004-01-01

    High quality YBa 2 Cu 3 O 7-x films on metallic substrates with high critical current densities well over 10 6 A/cm 2 can be prepared by the rolling assisted biaxially textured substrates (RABiTS) method. Nickel or its alloys have been used as biaxially textured substrates formed through a specific rolling and high temperature annealing procedures. In this paper, we report a newly developed process for developing biaxial texture in rolled Ni tape by argon ion beam bombardment. It is named the ion-beam structure modification (ISM) process. In the ISM processed Ni foils, X-ray diffraction ω scans showed the full width-half maximum (FWHM) value of the (2 0 0) peak was 5.7 deg. . And the electron back scattering diffraction (EBSP) analysis based on scanning electron microscopy showed good {1 0 0} cubic orientation and the mean grain size was determined as about 25 μm. The texture evolution of rolled Ni foils during ISM process is reported also. For ISM process, local temperature elevation and distribution arises from the ion bombardment, coupled with anisotropic incident ion penetration and propagation as a result of channeling effects in the metal lattice, are expected to play the major roles in the development of grain reorientation in the Ni foil. Due to the simplicity and efficiency of the ISM process, the technique shows a great promise for application in the industrial scale production of long-lengths of superconductor tapes

  18. Influence of ion bombardment on structure and properties of TiZrN thin film

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Wei, E-mail: james722@itrc.narl.org.tw [Instrument Technology Research Center, National Applied Research Laboratories Taiwan (China); Huang, Jia-Hong; Yu, Ge-Ping [Department of Engineering and System Science, National Tsing Hua University, Taiwan (China); Hsiao, Chien-Nan; Chen, Fong-Zhi [Instrument Technology Research Center, National Applied Research Laboratories, Taiwan (China)

    2015-11-01

    Highlights: • (Ti,Zr)N thin films were produced using dual guns with Ti and Zr targets. • Ti{sub 0.5}Zr{sub 0.5}N shows excellent hardness of 37.8 GPa with exhibiting (1 1 1) preferred orientation. • Resistivity is inverse proportional to the packing density. • Hardness is proportional to the packing density. - Abstract: The study is focused on the characterization of TiZrN thin film by controlling the behavior of ion bombardment. Thin films are grown using radio frequency magnetron sputtering process on Si wafer. The negative bias voltage ranging from −20 V to −130 V was applied to the substrate. The ion current density increases rapidly as substrate bias is lower than −60 V, then slightly increases as the critical value about −60 V is exceeded. At the substrate bias of −60 V, the ion current density is close to 0.56 mA/cm{sup 2}. The resistivity measured by four-point probe decreases from conditions −20 V to −60 V and then increases for substrate bias increases from −60 V to −130 V. The resistivity of TiZrN films is contributed from the packing factor. The N/TiZr ratios about 1 were measured by Rutherford backscattering spectrometer, and the packing factors of TiZrN films can also be obtained by the results of RBS. Field Emission scanning electron microscope (FEG-SEM) is used to characterize the thickness and structure of the deposited TiZrN film. X-ray diffraction (XRD) is used to determine the preferred orientation and lattice parameter. The precursor results of XRD show that all the coating samples exhibited (1 1 1) preferred orientation, and the hardness values of TiZrN films were ranging from 20 to 40 GPa. To sum up the precursor studies, the TiZrN films which can improve the properties from TiN and ZrN is a new ceramic material with higher potential. Following the advance process and analysis research, the structure and properties can be correlated and as a reference for industry application.

  19. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  20. Effects of dual-ion irradiation on the swelling of SiC/SiC composites

    International Nuclear Information System (INIS)

    Kishimoto, Hirotatsu; Kohyama, Akira; Ozawa, Kazumi; Kondo, Sosuke

    2005-01-01

    Silicon carbide (SiC) matrix composites reinforced by SiC fibers is a candidate structural material of fusion gas-cooled blanket system. From the viewpoint of material designs, it is important to investigate the swelling by irradiation, which results from the accumulation of displacement damages. In the fusion environment, (n, α) nuclear reactions are considered to produce helium gas in SiC. For the microstructural evolution, a dual-ion irradiation method is able to simulate the effects of helium. In the present research, 1.7 MeV tandem and 1 MeV single-end accelerators were used for Si self-ion irradiation and helium implantation, respectively. The average helium over displacement per atom (dpa) ratio in SiC was adjusted to 60 appm/dpa. The irradiation temperature ranged from room temperature to 1400degC. The irradiation-induced swelling was measured by the step height method. Helium that was implanted simultaneously with displacement damages in dual-ion irradiated SiC increased the swelling that was larger than that by single-ion irradiated SiC below 800degC. Since this increase was not observed above 1000degC, the interaction of helium and displacement damages was considered to change above 800degC. In this paper, the microstructural behavior and dimensional stability of SiC materials under the fusion relevant environment are discussed. (author)

  1. Anomalous behavior in temporal evolution of ripple wavelength under medium energy Ar{sup +}-ion bombardment on Si: A case of initial wavelength selection

    Energy Technology Data Exchange (ETDEWEB)

    Garg, Sandeep Kumar [Institute of Physics, Sachivalaya Marg, Bhubaneswar 751 005 (India); Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Cuerno, Rodolfo [Departamento de Matematicas and Grupo Interdisciplinar de Sistemas Complejos (GISC), Universidad Carlos III de Madrid, 28911 Leganes (Spain); Kanjilal, Dinakar [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Som, Tapobrata, E-mail: tsom@iopb.res.in [Institute of Physics, Sachivalaya Marg, Bhubaneswar 751 005 (India)

    2016-06-14

    We have studied the early stage dynamics of ripple patterns on Si surfaces, in the fluence range of 1–3 × 10{sup 18} ions cm{sup −2}, as induced by medium energy Ar{sup +}-ion irradiation at room temperature. Under our experimental conditions, the ripple evolution is found to be in the linear regime, while a clear decreasing trend in the ripple wavelength is observed up to a certain time (fluence). Numerical simulations of a continuum model of ion-sputtered surfaces suggest that this anomalous behavior is due to the relaxation of the surface features of the experimental pristine surface during the initial stage of pattern formation. The observation of this hitherto unobserved behavior of the ripple wavelength seems to have been enabled by the use of medium energy ions, where the ripple wavelengths are found to be order(s) of magnitude larger than those at lower ion energies.

  2. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Marin, D.V.; Kesler, V.G.; Volodin, V.A.; Skuratov, V.A.

    2012-01-01

    Three hundred and twenty nanometer-thick SiO 2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10 12 cm −2 and 10 14 cm −2 , or with 700 MeV Bi ions in the fluence range of 3 × 10 12 –1 × 10 13 cm −2 . After irradiation the yellow–orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950–1150 cm −1 , Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si–O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO 2 . Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ∼10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  3. Analysis of intensities of positive and negative ion species from silicon dioxide films using time-of-flight secondary ion mass spectrometry and electronegativity of fragments

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi

    2010-01-01

    Intensities of positive and negative ion species emitted from thermally oxidized and plasma-enhanced chemical vapor deposited (PECVD) SiO 2 films were analyzed using time-of-flight secondary ion mass spectrometry (TOF-SIMS) and the Saha-Boltzmann equation. Intensities of positive and negative secondary ion species were normalized to those of 28 Si + and 28 Si - ions, respectively, and an effective temperature of approximately (7.2 ± 0.1) x 10 3 K of the sputtered region bombarded with pulsed 22 kV Au 3 + primary ions was determined. Intensity spectra showed polarity dependence on both n and m values of Si n O m fragments, and a slight shift to negative polarity for PECVD SiO 2 compared to thermally oxidized SiO 2 films. By dividing the intensity ratios of negative-to-positive ions for PECVD SiO 2 by those for thermally oxidized SiO 2 films to cancel statistical factors, the difference in absolute electronegativity (half the sum of ionization potential and electron affinity of fragments) between both films was obtained. An increase in electronegativity for SiO m (m = 1, 2) and Si 2 O m (m = 1-4) fragments for PECVD SiO 2 films compared to thermally oxidized films was obtained to be 0.1-0.2 Pauling units, indicating a more covalent nature of Si-O bonds for PECVD SiO 2 films compared to the thermally oxidized SiO 2 films.

  4. Influence of helium-ion bombardment on the surface properties of pure and ammonia-adsorbed water thin films

    International Nuclear Information System (INIS)

    Kondo, M.; Shibata, T.; Kawanowa, H.; Gotoh, Y.; Souda, R.

    2005-01-01

    The influence of the ion bombardment on the surface properties of water-ice films has been investigated. The films are irradiated with 1.5 keV He + ions and analyzed sequentially on the basis of time-of-flight secondary-ion mass spectrometry (TOF-SIMS). In order to minimize any temperature-induced effects, the measurements were made at 15 K. The damage of the films, as estimated from the H/D exchange between NH 3 and the D 2 O ice and the intermixing of NH 3 with the H 2 18 O ice, is recognized at the fluence above 2 x 10 14 ions/cm 2 . The sputtering yield of the D 2 O ice is determined as 0.9 ± 0.2 molecules per incoming He + ion. The temperature-programmed TOF-SIMS analysis of the water-ice films has been completed within the fluence of 5.8 x 10 12 ions/cm 2 , so that no appreciable damage of the film should be induced during the measurement

  5. Push-and-stick mechanism for charged and excited small cluster emission under ion bombardment

    International Nuclear Information System (INIS)

    Bitensky, I.S.; Parilis, E.S.; Wojciechowski, I.A.

    1992-01-01

    The mechanism for the formation, excitation and ionization of small clusters emitted under ion bombardment is discussed. It is shown that the increased degree of ionization for the transition metal dimers, trimers and tetramers can be explained by the existence of an additional effective channel for their formation, namely the associative ionization process. A simple estimate shows that the sticking together of a fast cascade atom and the pushed out surface atom is 30-40 times more effective for dimer formation, than the recombination of two fast atoms. This push-and-stick mechanism of cluster formation could also be effective for the formation of trimers and tetramers. (orig.)

  6. Charge-state correlated cross sections for the production of low-velocity highly charged Ne ions by heavy-ion bombardment

    International Nuclear Information System (INIS)

    Gray, T.J.; Cocke, C.L.; Justiniano, E.

    1980-01-01

    We report measured cross sections for the collisional production of highly charged low-velocity Ne recoil ions resulting from the bombardment of a thin Ne gas target by highly charged 1-MeV/amu C, N, O, and F projectiles. The measurements were made using time-of-flight techniques which allowed the simultaneous identification of the final charge state of both the low-velocity recoil ion and the high-velocity projectile for each collision event. For a given incident-projectile charge state, the recoil charge-state distribution is very dependent upon the final charge state of the projectile. Single- and double-electron capture events by incident bare nuclei and projectile K-shell ionization during the collision cause large shifts in the recoil charge-state distributions toward higher charge states. A previously proposed energy-deposition model is modified to include the effects of projectile charge-changing collisions during the collision for bare and hydrogenlike projectiles and is used to discuss the present experimental results

  7. Heavy Ion Current Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; Vizkelethy, Gyorgy; McMorrow, Dale; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philipe; Duhanel, Olivier; Phillips, Stanley D.; Sutton, Akil K.; hide

    2009-01-01

    Time-resolved ion beam induced charge reveals heavy ion response of IBM 5AM SiGe HBT: a) Position correlation[ b) Unique response for different bias schemes; c) Similarities to TPA pulsed-laser data. Heavy ion broad-beam transients provide more realistic device response: a) Feedback using microbeam data; b) Overcome issues of LET and ion range with microbeam. Both micro- and broad-beam data sets yield valuable input for TCAD simulations. Uncover detailed mechanisms for SiGe HBTs and other devices fabricated on lightly-doped substrates.

  8. Study on the desorption yield for natural botanic sample induced by energetic heavy ions

    CERN Document Server

    Xue, J M; Du, G H; Yan, S; Zhao, W J

    2002-01-01

    The dependence of desorption yield for the natural botanic sample bombarded with heavy ion on the electronic stopping power (S sub e) and dose has been measured by weighing sample mass before and after irradiation. Primary ions including 50 keV N sup + , 1.5 MeV F sup + , 3.0 MeV F sup 2 sup + , 4.0 MeV F sup 2 sup + and 3.0 MeV Si sup 2 sup + were used in the experiment. Three megaelectron volts of F sup 2 sup + with doses ranging from 4x10 sup 1 sup 5 to 4x10 sup 1 sup 6 ions/cm sup 2 were used in order to investigate the influence of ion dose. A mass spectrum from the sample bombarded with 3 MeV Si sup 2 sup + was also taken for a better understanding of the desorption process. Results show that the natural botanic sample is very easily to be desorpted. The yield of MeV heavy ions can be as high as thousands CH sub 2 O/ion, and significantly depends on both the S sub e and dose. The measured yields increase quickly with S sub e , but drop down with increasing ion dose. These results fit roughly with the pr...

  9. Use of positive ion fast atom bombardment mass spectrometry for rapid identification of a bile alcohol glucuronide isolated from cerebrotendinous xanthomatosis patients

    International Nuclear Information System (INIS)

    Dayal, B.; Salen, G.; Tint, G.S.; Shefer, S.; Benz, S.W.

    1990-01-01

    The identification of a major biliary and plasma bile alcohol glucuronide, 5 beta-cholestane-3 alpha, 7 alpha, 12 alpha, 25-tetrol-3-0-beta-D-glucuronide, present in cerebrotendinous xanthomatosis (CTX) patients, was investigated by positive ion fast atom bombardment mass spectrometry (FAB-MS). The spectrum was characterized by abundant ions formed by attachment of a proton, [M + H]+, or of alkali ions, [M + Na]+ and [M + 39K]+, to the glucuronide salt. These ions allowed an unambiguous deduction of the molecular weight of the sample. It is suggested that FAB-MS could be used in the rapid diagnosis of CTX

  10. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A., E-mail: kachurin@isp.nsc.ru [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Cherkova, S.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Marin, D.V. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Kesler, V.G. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Volodin, V.A. [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk (Russian Federation); Novosibirsk State University, 630090 Novosibirsk (Russian Federation); Skuratov, V.A. [Joint Institute for Nuclear Research, 141980 Dubna (Russian Federation)

    2012-07-01

    Three hundred and twenty nanometer-thick SiO{sub 2} layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 10{sup 12} cm{sup -2} and 10{sup 14} cm{sup -2}, or with 700 MeV Bi ions in the fluence range of 3 Multiplication-Sign 10{sup 12}-1 Multiplication-Sign 10{sup 13} cm{sup -2}. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm{sup -1}, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO{sub 2}. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and {approx}10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  11. Photon emission produced by Kr+ ions bombardment of Cr and Cr2O3 targets

    International Nuclear Information System (INIS)

    Boujlaidi, A. El; Hammoum, K.; Jadoual, L.; Jourdani, R.; Ait El Fqih, M.; Aouchiche, H.; Kaddouri, A.

    2015-01-01

    The sputter induced photon spectroscopy technique was used to study the luminescence spectra of the species sputtered from chromium powder and its oxide Cr 2 O 3 , during 5 keV Kr + ions bombardment in vacuum better than 10 −7 torr. The optical spectra recorded between 350 and 470 nm exhibit discrete lines which are attributed to neutral excited atoms of chromium (Cr I lines). The experiments are also performed under 10 −5 torr ultra pure oxygen partial pressure. The results demonstrate that the measured intensities of the emitted photons are always higher in the presence of oxygen and even higher than those obtained for Cr 2 O 3 target. In the presence of oxygen vapor we assume that an oxide film is formed on the chromium surface which is responsible of the increase of photon emission. This variation in the intensities is correctly explained in the model of electron transfer processes between the excited sputtered atom and the bombarded surface. This model suggests that the structure formed on the Cr surface in the case of oxygenated chromium is closer to that of Cr 2 O 3 oxide

  12. Diamond-like carbon prepared by pulsed laser deposition with ion bombardment: physical properties

    Science.gov (United States)

    Písařík, P.; Mikšovský, J.; Remsa, J.; Zemek, J.; Tolde, Z.; Jelínek, M.

    2018-01-01

    Diamond-like carbon (DLC) and titanium-doped DLC thin films were prepared by unique hybrid system consisting of pulsed laser deposition, ion source (bombardment) and magnetron sputtering. The influence of deposition parameters (ion energies, deposition pressures and magnetron power) on composition and physical properties was studied. Composition and sp 3/ sp 2 ratio were determined by XPS. sp 3/ sp 2 ratio was in the range from 1.4 to 2.2 for undoped DLC and from 3.4 to 4.8 for Ti-DLC. AFM showed that the layers were smooth, but with small amounts of random droplets. The measurements of the contact angle and determination of surface free energy were made for water, diiodomethane and ethylene glycol. Hardness and reduced Young's modulus varied from 20 to 31 GPa and from 182 to 276 GPa, respectively. Film adhesion was determined by scratch test; L C3 reached 23 N for DLC and 27 N for TiDLC. Optimization of sp 3/ sp 2 ratio, hardness and adhesion to biomedical alloys will advance the DLC coatings usability in the field of implantology.

  13. Ion-beam bombardment induced texture in nickel substrates for coated high-Tc superconductors

    International Nuclear Information System (INIS)

    Wang, S S; Wu, K; Zhou, Y; Godfrey, A; Meng, J; Liu, M L; Liu, Q; Liu, W; Han, Z

    2003-01-01

    Biaxially textured metal substrates are often used for making YBa 2 Cu 3 O 7-x coated conductors with high critical current density. Generally, specific rolling and high-temperature annealing procedures are required to obtain the biaxial texture for metal substrates. Here, we report on a new method for developing strongly biaxially textured grain structure in rolled nickel tape by argon ion-beam bombardment. X-ray diffraction (XRD) θ-2θ scans have shown that a (200) diffraction peak intensity of the Ni foil processed by ion-beam structure modification (ISM) is two orders of magnitude greater than that of cold-rolled foil, while the (111) and (220) intensities are very weak. In the ISM processed Ni foils, from the rocking curve, the full width at half maximum (FWHM) value of the (200) peak has been found to be less than 5.9 deg., whilst the in-plane FWHM obtained from a pole figure analysis is just 8 deg. We discuss the possible mechanisms leading to the texture changes during ISM. (rapid communication)

  14. Study and realisation of an ion source obtained by electronic bombardment - experimentation with phosphorus

    International Nuclear Information System (INIS)

    Schneider, Philippe

    1979-01-01

    This research thesis reports the study and development of an ion source by electronic bombardment. In order to solve some practical difficulties (cathode destruction, source instability, and so on), the design of each component has been very careful, notably for the electron gun. The author first briefly discusses the exiting ionisation processes, gives a list of ion which can be produced, with a focus on phosphorus for which the ionisation cross section is defined and assessed. After an assessment of different ionisation processes, and an indication of performance of the best existing sources, the author explains the choice for a totally different process. In the second part, he describes the experimental device, and particularly the electron gun as its design has been an important part of this research work. The source operation is described and its characteristics and performance are studied. Finally, the author outlines that some improvements are still possible to obtain a totally exploitable source [fr

  15. Erosion of volatile elemental condensed gases by keV electron and light-ion bombardment

    International Nuclear Information System (INIS)

    Schou, J.

    1991-11-01

    Erosion of the most volatile elemental gases by keV electron and light-ion bombardment has been studied at the experimental setup at Risoe. The present work includes frozen neon, argon, krypton, nitrogen, oxygen and three hydrogen isotopes, deuterium, hydrogen deuteride and hydrogen. The yield of these condensed gases has been measured as a function of film thickness and primary energy for almost all combinations of primary particles (1-3 keV electrons, 5-10 keV hydrogen- and helium ions) and ices. These and other existing results show that there are substantial common features for the sputtering of frozen elemental gases. Within the two groups, the solid rare gases and the solid molecular gases, the similarity is striking. The hydrogenic solids deviate in some respects from the other elements. The processes that liberate kinetic energy for the particle ejection in sputtering are characteristic of the specific gas. (au) 3 tabs., 12 ills., 159 refs

  16. Influence of both ion bombardment and chemical treatment processes on the electrical conductivity of PVC/poly aniline composites

    International Nuclear Information System (INIS)

    Gad, E.A.M.; Ashour, A.H.; Abdel-Hamid, H.M.; Sayed, W.M.

    1999-01-01

    In this article the changes in the electrical conductivity of PVC/poly aniline composites, as temperature consecutively increases, have been measured. The measurement were taken with correspondence to a control series of the composites under two processes:A. Composite samples bombarded with Ar + ions with fluence 2.44 x 10 13 beam ions /cm 2 ., sec 4 of 4 ke V beam energy where argon atoms can induce defects in the surface layer take place. Composite samples treated chemically with concentrated H 2 SO 4 as dopant which reacts with nitrogen atom in aniline. The measurements were also, done with the composites as the ratio of poly(aniline) stepped upward

  17. Effects of sintering additives on the microstructural and mechanical properties of the ion-irradiated SiCf/SiC

    Science.gov (United States)

    Fitriani, Pipit; Sharma, Amit Siddharth; Yoon, Dang-Hyok

    2018-05-01

    SiCf/SiC composites containing three different types of sintering additives viz. Sc-nitrate, Al2O3-Sc2O3, and Al2O3-Y2O3, were subjected to ion irradiation using 0.2 MeV H+ ions with a fluence of 3 × 1020 ions/m2 at room temperature. Although all composites showed volumetric swelling upon ion irradiation, SiCf/SiC with Sc-nitrate showed the smallest change followed by those with the Al2O3-Sc2O3 and Al2O3-Y2O3 additives. In particular, SiCf/SiC containing the conventional Al2O3-Y2O3 additive revealed significant microstructural changes, such as surface roughening and the formation of cracks and voids, resulting in reduced fiber pullout upon irradiation. On the other hand, the SiCf/SiC with Sc-nitrate showed the highest resistance against ion irradiation without showing any macroscopic changes in surface morphology and mechanical strength, indicating the importance of the sintering additive in NITE-based SiCf/SiC for nuclear structural applications.

  18. Effect of hydrogen ion beam treatment on Si nanocrystal/SiO_2 superlattice-based memory devices

    International Nuclear Information System (INIS)

    Fu, Sheng-Wen; Chen, Hui-Ju; Wu, Hsuan-Ta; Chuang, Bing-Ru; Shih, Chuan-Feng

    2016-01-01

    Graphical abstract: - Highlights: • Memory window and retention properties are improved employing HIBAS technique. • The O/Si ratio and radiative recombination are changed by HIBAS. • Memory properties are affected not only by Si NCs and O/Si ratio but also the RDCs. • The mechanism of hydrogen ion beam alters the memory properties is investigated. - Abstract: This study presents a novel route for synthesizing silicon-rich oxide (SRO)/SiO_2 superlattice-based memory devices with an improved memory window and retention properties. The SiO_2 and SRO superlattices are deposited by reactive sputtering. Specifically, the hydrogen ion beam is used to irradiate the SRO layer immediately after its deposition in the vacuum chamber. The use of the hydrogen ion beam was determined to increase oxygen content and the density of the Si nanocrystals. The memory window increased from 16 to 25.6 V, and the leakage current decreased significantly by two orders, to under ±20 V, for the hydrogen ion beam-prepared devices. This study investigates the mechanism into how hydrogen ion beam treatment alters SRO films and influences memory properties.

  19. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  20. Entrance channel excitations in the 28Si + 28Si reaction

    International Nuclear Information System (INIS)

    Decowski, P.; Gierlik, E.; Box, P.F.; Kamermans, R.; Nieuwenhuizen, G.J. van; Meijer, R.J.; Griffioen, K.A.; Wilschut, H.W.; Giorni, A.; Morand, C.; Demeyer, A.; Guinet, D.

    1991-01-01

    Velocity spectra of heavy ions produced in the 28 Si + 28 Si reaction at bombarding energies of 19.7 and 30 MeV/nucleon were measured and interpreted within the Q-optimum model extended by the inclusion of particle evaporation from excited fragments. Regions of forward angle spectra corresponding to the mutual excitation of the reaction partners with net mass transfer zero projected onto the Q-value variable show an enhancement at Q-values of -60 - -80 MeV (excitation energies of the reaction partners equal to 30 - 40 MeV). This energy range coincides with the region of 2ℎω - 3ℎω excitations characteristic for giant osciallations. This selective excitation, which occurs at a very early stage of the reaction (the cross section is the largest at very forward angles), provides an important doorway to other dissipative processes

  1. Hydrogen pumping and release by graphite under high flux plasma bombardment

    International Nuclear Information System (INIS)

    Hirooka, Y.; Leung, W.K.; Conn, R.W.; Goebel, D.M.; Labombard, B.; Nygren, R.; Wilson, K.L.

    1988-01-01

    Inert gas (helium or argon) plasma bombardment has been found to increase the surface gas adsorptivity of isotropic graphite (POCO-graphite), which can then getter residual gases in a high vacuum system. The inert gas plasma bombardment was carried out at a flux ∼ 1 x 10 18 ions s -1 cm -2 to a fluence of the order of 10 21 ions/cm 2 and at temperatures around 800 degree C. The plasma bombarding energy was varied between 100 and 200 eV. The gettering speed of the activated graphite surface is estimated to be as large as 25 liters s -1 cm -2 at total pressures between 10 -6 and 10 -7 torr. The gettering capacity estimated is 0.025 torr-liter/cm 2 at room temperature. The gettering capability of graphite can be easily recovered by repeating inert gas plasma bombardment. The activated graphite surface exhibits a smooth, sponge-like morphology with significantly increased pore openings, which correlates with the observed increase in the surface gas adsorptivity. The activated graphite surface has been observed to pump hydrogen plasma particles as well. From calibrated H-alpha measurements, the dynamic hydrogen retention capacity is evaluated to be as large as 2 x 10 18 H/cm 2 at temperatures below 100 degree C and at a plasma bombarding energy of 300 eV

  2. Preparation, properties, and application characteristics of metastable layers of the Ti-Si-C-N system

    International Nuclear Information System (INIS)

    Fella, R.

    1992-10-01

    In the Ti-Si-C and Ti-Si-C-N systems, metastable layers were precipitated by means of non-reactive magnetron sputtering of hot-pressed two-phase TiC/SiC and TiN/SiC targets with 20 mole% and 50 mole% SiC. The preparation parameters were varied as follows: ion bombardment during precipitation (bias sputtering), substrate temperature, and annealing times when annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC layers. Sputtering of targets containing 20% SiC was found to result in monophase fcc layers (NaCl structure). This was documented on the basis of X-ray and electron diffraction patterns. Direct precipitation of targets with 50 mole% SiC resulted in amorphous layers. Increasing the ion bombardment during accretion, raising the substrate temperature, and annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC (layers precipitated directly) resulted in the crystallization of TiC and TiN nanocrystallites, respectively, imbedded in an amorphous SiC matrix. These crystallites were detected both by X-ray and by electron diffractions and by XPS studies. The XPS measurements of crystalline TiC and amorphous SiC reference layers demonstrated the existence of new kinds of carbon interface phases ('pseudocarbide layers') around TiC and amorphous SiC regions, respectively, which have a positive impact on the mechanical properties of the layers. The hardness of the layers can be correlated with the degrees of crystallization and texture. Adhesion and toughness are worse in SiC-bearing layers than PVD TiC and TiN layers, respectively. The application characteristics of the layers were determined by model wear tests relative to 100Cr6 by means of a pin/disk tribometer. N-bearing layers were found to have clearly higher friction coefficients and greater wear than layers without N. (orig.)

  3. Underling modification in ion beam induced Si wafers

    International Nuclear Information System (INIS)

    Hazra, S.; Chini, T.K.; Sanyal, M.K.; Grenzer, J.; Pietsch, U.

    2005-01-01

    Subsurface (amorphous-crystalline interface) structure of keV ion beam modified Si(001) wafers was studied for the first time using non-destructive technique and compared with that of the top one. Ion-beam modifications of the Si samples were done using state-of-art high-current ion implanter facility at Saha Institute of Nuclear Physics by changing energy, dose and angle of incidence of the Ar + ion beam. To bring out the underlying modification depth-resolved x-ray grazing incidence diffraction has been carried out using synchrotron radiation facility, while the structure of the top surface was studied through atomic force microscopy

  4. Self-organization of nanocluster δ-layers at ion-beam-mixed Si-SiO2 interfaces

    International Nuclear Information System (INIS)

    Roentzsch, L.

    2003-11-01

    This diploma thesis presents experimental evidence of a theoretical concept which predicts the self-organization of δ-layers of silicon nanoclusters in the buried oxide of a MOS-like structure. This approach of ''bottom-up'' structuring might be of eminent importance in view of future semiconductor memory devices. Unconventionally, a 15 nm thin SiO 2 layer, which is enclosed by a 50 nm poly-Si capping layer and the Si substrate, is irradiated with Si + ions. Ion impact drives the system to a state far from thermodynamic equilibrium, i.e. the local composition of the target is modified to a degree unattainable in common processes. A region of SiO x (x 2 matrix at a distance of ∼3 nm from the Si substrate. The physical mechanisms of ion mixing of the two Si-SiO 2 interfaces and subsequent phase separation, which result in the desired sample structure, are elucidated from the viewpoint of computer simulations. In addition, experimental evidence is presented based on various methods, including TEM, RBS, and SIMS. A novel method of Si nanocluster decoration is of particular importance which applies Ge as contrast enhancing element in TEM studies of tiny Si nanoclusters. (orig.)

  5. Si nanoparticle-decorated Si nanowire networks for Li-ion battery anodes

    KAUST Repository

    Hu, Liangbing

    2011-01-01

    We designed and fabricated binder-free, 3D porous silicon nanostructures for Li-ion battery anodes, where Si nanoparticles electrically contact current collectors via vertically grown silicon nanowires. When compared with a Si nanowire anode, the areal capacity was increased by a factor of 4 without having to use long, high temperature steps under vacuum that vapour-liquid-solid Si nanowire growth entails. © 2011 The Royal Society of Chemistry.

  6. Multiple ionization produced in Yb due to N-,Si- and Ti-ion impact

    International Nuclear Information System (INIS)

    Verma, P.

    2000-01-01

    Heavy ion induced inner shell ionization produces multiple vacancies in the outer shells (M, N etc.) simultaneous to vacancies in the inner-shells (viz. L-shell), which in turn create a very complicated electronic configuration. Three projectiles N 2+,3+ , Si 7+,8+ and Ti 10+,11+ ion beams having a range of 0.3 to 3.5 MeV/u were bombarded on a thin rare earth target of Yb. The recorded L X-ray spectra of Yb have been analyzed in the light of multiple ionization produced due to the heavy ion impact. The outer-shell vacancies acting as spectator vacancies cause a shift in the energy of the various L X-ray diagram lines. A comparison of the shifts in the energies of the various L X-ray transitions of Yb due to the impact of these projectiles, from standard values and that due to proton impact along with the deviation of the intensity ratios from single hole branching ratios, reveal a dependence of multiple ionization on the projectile atomic number (Z) and energy. A further comparison of the degree of multiple ionization produced in Yb, evident by the number of spectator vacancies produced due to the impact of projectiles with 7≤Z≤22 and overlapping MeV/u range lead to explicit conclusions regarding the probability of multiple vacancy production in outer shells simultaneous to a single L-shell vacancy for such projectile target combinations. (orig.)

  7. Quantification of steroid conjugates using fast atom bombardment mass spectrometry

    International Nuclear Information System (INIS)

    Gaskell, S.J.

    1990-01-01

    Fast atom bombardment/mass spectrometry or liquid secondary ion mass spectrometry provides the capability for direct analysis of steroid conjugates (sulfates, glucuronides) without prior hydrolysis or derivatization. During the analysis of biologic extracts, limitations on the sensitivity of detection arise from the presence of co-extracted material which may suppress or obscure the analyte signal. A procedure is described for the quantitative determination of dehydroepiandrosterone sulfate in serum which achieved selective isolation of the analyte using immunoadsorption extraction and highly specific detection using tandem mass spectrometry. A stable isotope-labeled analog [( 2H2]dehydroepiandrosterone sulfate) was used as internal standard. Fast atom bombardment of dehydroepiandrosterone sulfate yielded abundant [M-H]- ions that fragmented following collisional activation to give HSO4-; m/z 97. During fast atom bombardment/tandem mass spectrometry of serum extracts, a scan of precursor ions fragmenting to give m/z 97 detected dehydroepiandrosterone sulfate and the [2H2]-labeled analog with a selectivity markedly superior to that observed using conventional mass spectrometry detection. Satisfactory agreement was observed between quantitative data obtained in this way and data obtained by gas chromatography/mass spectrometry of the heptafluorobutyrates of dehydroepiandrosterone sulfate and [2H2]dehydroepiandrosterone sulfate obtained by direct derivatization. 21 refs

  8. Neutralized ion beam modification of cellulose membranes for study of ion charge effect on ion-beam-induced DNA transfer

    Science.gov (United States)

    Prakrajang, K.; Sangwijit, K.; Anuntalabhochai, S.; Wanichapichart, P.; Yu, L. D.

    2012-02-01

    Low-energy ion beam biotechnology (IBBT) has recently been rapidly developed worldwide. Ion-beam-induced DNA transfer is one of the important applications of IBBT. However, mechanisms involved in this application are not yet well understood. In this study plasma-neutralized ion beam was applied to investigate ion charge effect on induction of DNA transfer. Argon ion beam at 7.5 keV was neutralized by RF-driven plasma in the beam path and then bombarded cellulose membranes which were used as the mimetic plant cell envelope. Electrical properties such as impedance and capacitance of the membranes were measured after the bombardment. An in vitro experiment on plasmid DNA transfer through the cellulose membrane was followed up. The results showed that the ion charge input played an important role in the impedance and capacitance changes which would affect DNA transfer. Generally speaking, neutral particle beam bombardment of biologic cells was more effective in inducing DNA transfer than charged ion beam bombardment.

  9. Study of clean and ion bombardment damaged silver single crystal surfaces by work function measurements

    International Nuclear Information System (INIS)

    Chelvayohan, N.

    1982-06-01

    Work function values of the (110), (100) and (111) faces of silver single crystal were measured by the photoelectric emission method and found to be 4.14 +- 0.04 eV, 4.22 +-0.04 eV and 4.46 +- 0.02 eV respectively. Oxygen adsorption on the faces were studied by surface potential measurement. Strong oxygen adsorption was observed on (110) and (100) faces, whereas the (111) face was found to be inert for oxygen adsorption. Oxygen adsorption on the (111) face damaged by argon ion bombardment was also investigated. The above results were compared with those of early reported work function and oxygen adsorption values. (U.K.)

  10. Recovery of 201Tl by ion exchange chromatography from proton bombarded thallium cyclotron targets

    International Nuclear Information System (INIS)

    Walt, T.N. van der; Naidoo, C.

    2000-01-01

    A method based on ion exchange chromatography is presented for the recovery of 201 Tl and its precursor 201 Pb from proton bombarded natural thallium cyclotron targets. After bombardment the target is dissolved in diluted nitric acid. Water, hydrazine and ammonium acetate are added to the solution and the lead radioisotopes separated from the thallium by cation exchange chromatography on a Bio-Rex 70 column. The sorbed lead radioisotopes are eluted with dilute nitric acid and the separation repeated on a second Bio-Rex 70 column. After elution of the remaining thallium the column is left for 32 hours and the 201 Tl formed by decay of 201 Pb is eluted with an ammonium acetate solution. The 201 Tl eluate is acidified with a HNO 3 -HBr-Br 2 mixture and the resulting solution is passed through an AG MP-1 anion exchanger column to remove any remaining lead isotopes. The 201 Tl is eluted with a hydrazine solution, the eluate evaporated to dryness and the 201 Tl finally dissolved in an appropriate solution to produce a 201 TlCl solution suitable for medical use. A high quality 201 Tl product is obtained containing ≤ 0.1 μg of Tl/mCi (37 MBq) 201 Tl. The radionuclidic impurities are less than the maximum values specified by the US Pharmacopoeia and the British Pharmacopoeia. (orig.)

  11. A Au82Si18 liquid metal field-ion emitter for the production of Si ions: fundamental properties and mechanisms

    International Nuclear Information System (INIS)

    Bischoff, L.; Mair, G.L.R.; Aidinis, C.J.; Londos, C.A.; Akhmadaliev, C.; Ganetsos, Th.

    2004-01-01

    Focused silicon beams are useful for direct write applications, e.g., lithography on silicon without the undesirable effect of substrate contamination. However, since pure silicon is not amenable to liquid metal ion source (LMIS) manufacture, a suitable alloy containing silicon has to be produced. This paper covers almost all fundamental aspects of a Au 82 Si 18 eutectic, including the most detailed beam mass spectra reported to date of a AuSi source. A finding worthy of note in this investigation, manifested in the behaviour of the ion extraction voltage with temperature, is the abnormal behaviour of the surface tension coefficient of the alloy with temperature. An important deduction from this work, however, concerns the mechanisms responsible for the creation of doubly charged ions: reasons of self-consistency indicate that while Si 2+ is directly field evaporated, Au 2+ must form by the post-ionization of Au + . Finally, two different mechanisms seem to co-exist, as far as the production of cluster ions is concerned. While for cluster ions containing only a few atoms some sort of surface field-ionization mechanism might be responsible for their creation, for larger clusters, a droplet break-up mechanism, possibly by ion capture, seems very likely

  12. Optical refractive index and static permittivity of mixed Zr-Si oxide thin films prepared by ion beam induced CVD

    Energy Technology Data Exchange (ETDEWEB)

    Ferrer, F.J. [Centro Nacional de Aceleradores, Av. Thomas A. Edison, 7, 41092 Sevilla (Spain)], E-mail: fjferrer@us.es; Frutos, F. [E.T.S. de Ingenieria Informatica, Avda. Reina Mercedes, s/n, 41012 Sevilla (Spain); Garcia-Lopez, J. [Centro Nacional de Aceleradores, Av. Thomas A. Edison, 7, 41092 Sevilla (Spain); Gonzalez-Elipe, A.R.; Yubero, F. [Insituto de Ciencia de Materiales de Sevilla, c/ Americo vespucio, no. 49, 41092 Sevilla (Spain)

    2007-12-03

    Mixed oxides Zr{sub x}Si{sub 1-x}O{sub 2} (0 < x < 1) thin films have been prepared at room temperature by decomposition of (CH{sub 3}CH{sub 2}O){sub 3}SiH and Zr[OC(CH{sub 3}){sub 3}]{sub 4} volatile precursors induced by mixtures of O{sub 2}{sup +} and Ar{sup +} ions. The films were flat and amorphous independently of the Si/Zr ratio and did not present phase segregation of the pure single oxides (SiO{sub 2} and ZrO{sub 2}). A 10-23 at.% of H and 1-5 at.% of C atoms remained incorporated in the films depending on the mixture ratio of the Si and Zr precursors and the composition of the bombarding gas used during the deposition process. These impurities are mainly forming hydroxyl and carboxylic groups. Optical refractive index and static permittivity of the films were determined by reflection NIR-Vis spectroscopy and C-V electrical characterization, respectively. It is found that the refractive index increases non-linearly from 1.45 to 2.10 as the Zr content in the thin films increases. The static permittivity also increases non-linearly from {approx} 4 for pure SiO{sub 2} to {approx} 15 for pure ZrO{sub 2}. Optical and electrical characteristics of the films are justified by their impurity content and the available theories.

  13. SIMS analyses of ultra-low-energy B ion implants in Si: Evaluation of profile shape and dose accuracy

    International Nuclear Information System (INIS)

    Magee, C.W.; Hockett, R.S.; Bueyueklimanli, T.H.; Abdelrehim, I.; Marino, J.W.

    2007-01-01

    Numerous experimental studies for near-surface analyses of B in Si have shown that the B distribution within the top few nanometers is distorted by secondary ion mass spectrometry (SIMS) depth profiling with O 2 -flooding or normal incidence O 2 bombardment. Furthermore, the presence of surface oxide affects the X j determination as well as B profile shape when SIMS analyses are conducted while fully oxidizing the analytical area. Nuclear techniques such as elastic recoil detection (ERD), nuclear reaction analysis (NRA), and high-resolution Rutherford backscattering spectrometry (HR-RBS), are known to provide a profile shape near the surface that is free of artifacts. Comparisons with SIMS analyses have shown that SIMS analyses without fully oxidizing the analytical area agree well with these techniques at sufficiently high concentrations (where the nuclear techniques are applicable). The ability to measure both the B profile and an oxide marker with this non-oxidizing SIMS technique also allows accurate positioning of the B profile with respect to the SiO 2 /Si interface. This SIMS analysis protocol has been used to study the differences in near-surface dopant distribution for plasma-based implants. This study specifically focuses on measuring near-surface profile shapes as well as total implant doses for ultra-shallow B implants in Si especially those made with high peak B concentrations

  14. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  15. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, Andrey; Tichelaar, F.D.; Verhoeven, J.; Louis, Eric; Bijkerk, Frederik

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10–20 nm were produced by sequential deposition of Si and implantation of 1 keV View the MathML source ions. Only about 3% of the implanted carbon was transferred into the SiC, with a thin, 0.5–1 nm, buried SiC layer being

  16. MeV ion irradiation effects on the luminescence properties of Si-implanted SiO{sub 2}-thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Primetzhofer, D. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J.; Hallen, A. [Royal Institute of Technology (KTH), School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2016-12-15

    The effects of MeV heavy ion irradiation at varying fluence and flux on excess Si, introduced in SiO{sub 2} by keV ion implantation, are investigated by photoluminescence (PL). From the PL peak wavelength (λ) and decay lifetime (τ), two PL sources are distinguished: (i) quasi-direct recombination of excitons of Si-nanoparticles (SiNPs), appearing after thermal annealing (λ > 720 nm, τ ∝ μs), and (ii) fast-decay PL, possibly due to oxide-related defects (λ ∝ 575-690 nm, τ ∝ ns). The fast-decay PL (ii) observed before and after ion irradiation is induced by ion implantation. It is found that this fast-decay luminescence decreases for higher irradiation fluence of MeV heavy ions. After thermal annealing (forming SiNPs), the SiNP PL is reduced for samples irradiated by MeV heavy ions but found to stabilize at higher level for higher irradiation flux; the (ii) band vanishes as a result of annealing. The results are discussed in terms of the influence of electronic and nuclear stopping powers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Effect of Ar bombardment on the electrical and optical properties of ...

    Indian Academy of Sciences (India)

    The influence of low-energy Ar ion beam irradiation on both electrical and optical properties of low-density polyethylene (LDPE) films is presented. The polymer films were bombarded with 320 keV Ar ions with fuences up to 1 × 10 15 cm − 2 . Electrical properties of LDPE films were measured and the effect of ion ...

  18. Quantization of secondary ion mass spectrometry (SIMS) data using external and internal standards

    International Nuclear Information System (INIS)

    Gnaser, H.

    1983-01-01

    Some aspects of multi-dimensional characterization of solids by secondary ion mass spectrometry (SIMS) are given. A theoretical part discusses methods for the quantization of SIMS data and the most prominent effects of ion-solid interactions as related to SIMS. After a description of the instrument used for experiments (a quadrupole-equipped ion microprobe featuring a liquid metal ion source in addition to the standard duoplasmatron gas ion source) the first experimental section is devoted to the determination of practical sensitivities and relative sensitivity factors for selected pure elements, binary and treary alloys and multicomponent systems. For 23 pure elements practical sensitivities under O + 2 bombardment also have been compared to those under In + -bombardment; it was shown that on oxygen saturated surfaces yields under In + -bombardment are higher, this making feasible use of submicron In-beams for surface analysis. In the second experimental section boron implants in silicon have been used for studying depth profiling capabilities of the instrument. Sputtering yields of Si and degrees of ionization of both B and Si have been measured. It has been shown that implantation profiles may deviate considerably from Gaussian but can be described by means of mathematical distribution functions. In the third experimental section depth resolution of the erosion process has been studied by profiling a Ni/Cr multilayer sample (100 A single layer) and been found to be approximately constant over the depth range investigated. Quantization of depth profiles, usually distorted by matrix effects, has been attempted using the primary beam species (In) as internal implantation standard. Some problems in connection with the conversion of secondary ion micrographs to concentration maps are discussed. Elemental detection limits in multidimensional SIMS analysis are given in dependence of primary beam size and total eroded depth. (Author)

  19. Irradiation-induced changes in the local environment of Si and Al in LnSiAlON glasses as probed by {sup 27}Al and {sup 29}Si NMR

    Energy Technology Data Exchange (ETDEWEB)

    Sangleboeuf, J.C. [Univ Rennes 1, CNRS, FRE 2717, LARMAUR, F-35042 Rennes (France); Dauce, R.; Le Floch, M.; Verdier, P. [Univ Rennes 1, Inst Chem, UMR 6512, CNRS, F-35042 Rennes (France); Dauce, R. [CE Cadarache, DEC/SESC, F-13018 St Paul Les Durance (France)

    2007-03-15

    Two compounds have been studied: an oxide glass from the Y-Si-Al-O system and an oxynitride glass from the Y-Si-Al-O-N system, both bombarded with Sn-ions (975 MeV, fluences ranging from 10{sup 12} to 2.7 * 10{sup 13} Sn/cm{sup 2}). The changes in the environment of the silicon and the aluminium were investigated using NMR spectroscopy. Irradiation by Sn ions leads to a loss of nitrogen in the silicon and probably the aluminium environments. Part of the aluminium changes from a network former coordination to a network modifier coordination while the oxide silicate network exhibits a higher cross-linking due to an increase of the population of bridging oxygen. Part of the aluminium in five-fold coordination is formed at the expense of aluminium in six-fold coordination in the case of the oxynitride glass and the changes in the silicon environment occur at lower fluences than for the oxide glass. (authors)

  20. Diffusion processes in bombardment-induced surface topography

    International Nuclear Information System (INIS)

    Robinson, R.S.

    1984-01-01

    A treatment is given of the problem of surface diffusion processes occurring during surface topography development, whenever a surface is simultaneously seeded with impurities and ion bombarded. The development of controllable topography and the importance of surface diffusion parameters, which can be obtained during these studies, are also analyzed. 101 refs.; 7 figs.; 2 tabs

  1. Energy dependence of angular distributions of sputtered particles by ion-beam bombardment at normal incidence

    International Nuclear Information System (INIS)

    Matsuda, Yoshinobu; Ueda, Yasutoshi; Uchino, Kiichiro; Muraoka, Katsunori; Maeda, Mitsuo; Akazaki, Masanori; Yamamura, Yasunori.

    1986-01-01

    The angular distributions of sputtered Fe-atoms were measured using the laser fluorescence technique during Ar-ion bombardment for energies of 0.6, 1, 2 and 3 keV at normal incidence. The measured cosine distribution at 0.6 keV progressively deviated to an over-cosine distribution at higher energies, and at 3 keV the angular distribution was an overcosine distribution of about 20 %. The experimental results agree qualitatively with calculations by a recent computer simulation code, ACAT. The results are explained by the competition between surface scattering and the effects of primary knock-on atoms, which tend to make the angular distributions over-cosine and under-cosine, respectively. (author)

  2. Damage structure in Nimonic PE16 alloy ion bombarded to high doses and gas levels

    International Nuclear Information System (INIS)

    Farrell, K.; Packan, N.H.

    1981-01-01

    The Nimonic PE16 alloy in solution-treated-and-aged condition was bombarded simultaneously with nickel ions and α and deuteron beams at 625 0 C to doses of 80 to 313 dpa at He/dpa = 10 and D/dpa = 25. Microstructural changes consisted of the introduction of dislocations and of cavities, and the redistribuion of γ' precipitates to these defects. Cavitational swelling remained below 1%. Cavities were represented by several distinct size classes, the smaller ones believed to be gas bubbles, and some larger ones associated with preferred growth of precipitate. Formation of bubbles at grain boundaries, and large cavities at incoherent twins intensified the possibility of mechanical separation of interfaces under high-gas irradiation conditions

  3. Preparation of a Si/SiO2 -Ordered-Mesoporous-Carbon Nanocomposite as an Anode for High-Performance Lithium-Ion and Sodium-Ion Batteries.

    Science.gov (United States)

    Zeng, Lingxing; Liu, Renpin; Han, Lei; Luo, Fenqiang; Chen, Xi; Wang, Jianbiao; Qian, Qingrong; Chen, Qinghua; Wei, Mingdeng

    2018-04-03

    In this work, an Si/SiO 2 -ordered-mesoporous carbon (Si/SiO 2 -OMC) nanocomposite was initially fabricated through a magnesiothermic reduction strategy by using a two-dimensional bicontinuous mesochannel of SiO 2 -OMC as a precursor, combined with an NaOH etching process, in which crystal Si/amorphous SiO 2 nanoparticles were encapsulated into the OMC matrix. Not only can such unique porous crystal Si/amorphous SiO 2 nanoparticles uniformly dispersed in the OMC matrix mitigate the volume change of active materials during the cycling process, but they can also improve electrical conductivity of Si/SiO 2 and facilitate the Li + /Na + diffusion. When applied as an anode for lithium-ion batteries (LIBs), the Si/SiO 2 -OMC composite displayed superior reversible capacity (958 mA h g -1 at 0.2 A g -1 after 100 cycles) and good cycling life (retaining a capacity of 459 mA h g -1 at 2 A g -1 after 1000 cycles). For sodium-ion batteries (SIBs), the composite maintained a high capacity of 423 mA h g -1 after 100 cycles at 0.05 A g -1 and an extremely stable reversible capacity of 190 mA h g -1 was retained even after 500 cycles at 1 A g -1 . This performance is one of the best long-term cycling properties of Si-based SIB anode materials. The Si/SiO 2 -OMC composites exhibited great potential as an alternative material for both lithium- and sodium-ion battery anodes. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Sputtering Yields of Si and Ni from the Ni1-xSix System Studied by Rutherford Backscattering Spectrometry

    Science.gov (United States)

    Kim, Su Chol; Yamaguchi, Satoru; Kataoka, Yoshihide; Iwami, Motohiro; Hiraki, Akio; Satou, Mamoru; Fujimoto, Fuminori

    1982-01-01

    Sputtering yields of Si and Ni from thin layer films of Ni-Si compounds (Ni1-xSix), including the pure materials (Ni and Si), caused by 5 keV Ar+ ion bombardment were investigated using backscattering spectrometry. The sputtering yield for Si from Ni1-xSix increased with increasing Si concentration. However, there is an abrupt decrease in the yield for Si concentrations above NiSi2 to pure Si. This is in clear contrast to the sputtering yield of Ni from Ni1-xSix which increased with increasing Ni concentration monotonously. These results are discussed on the basis of both the difference in the atomic density and the electronic state of the alloy.

  5. Structural changes IN THE Kh20N45M4B nickel alloys and THE Kh16N15M3B steel due to helium ion bombardment

    International Nuclear Information System (INIS)

    Kalin, B.A.; Chernikov, U.N.; Chernov, I.I.; Kozhevnikov, O.A.; Shishkin, G.N.; Yakushin, V.L.

    1986-01-01

    Using transmission electron microscopy, x-ray structural analysis, and the thermal desorption techniques, the authors carried out a detailed study of the structural and phase changes, defect formation, and helium accumulation in the He + -bombarded 16-15 austenitic steels and 20-45 nickel alloys. Microstructure of the bombarded specimens was studied using the methods of transmission electron microscopy of thin foils in the EVM-100, and EM-301G electron microscopes. Results of x-ray studies on the bombarded specimens are presented. The conducted studies show that bombardment of structural materials with light ions can lead to significant structural damages and changes in the chemical and phase composition of the surface layer. The possible mechanisms of the changes in the chemical and phase composition include selective sputtering and radiation-induced accelerated diffusion of elements in the field of internal lateral stresses developing during the He + implantation process

  6. The influence of ion energy, target temperature, dose rate and crystal order on the shape of bombardment induced pyramids on copper crystals

    International Nuclear Information System (INIS)

    Tanovic, L.; Whitton, J.L.; Kofod, S.

    1978-01-01

    Following recent studies of energetic ion bombardment of copper, which established the conditions necessary for the production of cones/pyramids, investigations have been extended to include the effects of change in ion energy, target temperature and dose rate. In addition, the authors have attempted a detailed analysis of the influence of sample crystal orientation on the final form of pyramids and have investigated the stability of the pyramids as a function of the total dose. These experiments, as in earlier work, have been done using very pure copper, mass-analyzed ion beams and free of any metal contamination from, for example, defining apertures. (Auth.)

  7. Linear dose dependence of ion beam mixing of metals on Si

    International Nuclear Information System (INIS)

    Poker, D.B.; Appleton, B.R.

    1985-01-01

    These experiments were conducted to determine the dose dependences of ion beam mixing of various metal-silicon couples. V/Si and Cr/Si were included because these couples were previously suspected of exhibiting a linear dose dependence. Pd/Si was chosen because it had been reported as exhibiting only the square root dependence. Samples were cut from wafers of (100) n-type Si. The samples were cleaned in organic solvents, etched in hydrofluoric acid, and rinsed with methanol before mounting in an oil-free vacuum system for thin-film deposition. Films of Au, V, Cr, or Pd were evaporated onto the Si samples with a nominal deposition rate of 10 A/s. The thicknesses were large compared with those usually used to measure ion beam mixing and were used to ensure that conditions of unlimited supply were met. Samples were mixed with Si ions ranging in energy from 300 to 375 keV, chosen to produce ion ranges that significantly exceeded the metal film depth. Si was used as the mixing ion to prevent impurity doping of the Si substrate and to exclude a background signal from the Rutherford backscattering (RBS) spectra. Samples were mixed at room temperature, with the exception of the Au/Si samples, which were mixed at liquid nitrogen temperature. The samples were alternately mixed and analyzed in situ without exposure to atmosphere between mixing doses. The compositional distributions after mixing were measured using RBS of 2.5-MeV 4 He atoms

  8. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  9. Structural modification by swift heavy ion at metal/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Sisodia, Veenu; Jain, R.K.; Bhattacharaya, D.; Kabiraj, D.; Jain, I.P. E-mail: ipjain46@sify.com

    2003-06-01

    Transition metal silicides produced by swift heavy ion (SHI) irradiation have found applications in ultra-large-scale integrated circuits due to their small contact resistivities and higher thermal and chemical stabilities. In the present work, the mixing in Ni/Si and Ti/Si systems was studied under irradiation with Au ions. A layer of Ni (15 nm) and Ti (18 nm) was deposited by e-gun evaporation on Si (1 0 0) substrate at 10{sup -8} Torr vacuum. The samples were irradiated with 95 Mev Au ions at room temperature to a fluence of 10{sup 13} ions/cm{sup 2} and 1 pna beam current. Rutherford backscattering spectroscopy and X-Ray reflectivity have been employed to characterize the samples. The large electronic excitation due to SHI irradiation produces defects in the system. It is expected that SHI irradiation followed by thermal annealing in Ni/Si system will provide the required energy to the atoms to diffuse across the interface resulting in mixing.

  10. Damage accumulation in ceramics during ion implantation

    International Nuclear Information System (INIS)

    McHargue, C.J.; Farlow, G.C.; Begun, G.M.; Williams, J.M.; White, C.W.; Appleton, B.R.; Sklad, P.S.; Angelini, P.

    1985-01-01

    The damage structures of α-Al 2 O 3 and α-SiC were examined as functions of ion implantation parameters using Rutherford backscattering-channeling, analytical electron microscopy, and Raman spectroscopy. Low temperatures or high fluences of cations favor formation of the amorphous state. At 300 0 K, mass of the bombarding species has only a small effect on residual damage, but certain ion species appear to stabilize the damage microstructure and increase the rate of approach to the amorphous state. The type of chemical bonding present in the host lattice is an important factor in determining the residual damage state

  11. Tuning the shape and damage in ion-beam induced ripples on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik, 57068 Siegen (Germany); Hanisch, Antje; Grenzer, Joerg [Helmholtz-Zentrum Dresden-Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, P.O. Box 510119, 01314 Dresden (Germany); Metzger, Till Hartmut [ESRF, 6 rue Jules Horowitz, BP220, 38043 Grenoble Cedex (France)

    2011-11-15

    We investigate the influence of ion beam parameters on the ripple formation on Si(001) surfaces after bombardment with Xe{sup +} ions of 25 keV kinetic energy using a scanning ion beam system. By combining grazing incidence X-ray diffraction, small angle scattering and X-ray reflectivity, we show that during ion irradiation with 70 off-normal angle of incidence, changing the size of the irradiated area leads to an increased number of defects at the interface towards crystalline material. At 65 angle of incidence, the ripple amplitude grows. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, A. E.; Tichelaar, F. D.; Verhoeven, J.; E. Louis,; F. Bijkerk,

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10-20 nm were produced by sequential deposition of Si and implantation of 1 key CHx+ ions. Only about 3% of the implanted carbon was transferred into the SIC, with a thin, 0.5-1 nm, buried SIC layer being formed. We

  13. Spatial variation in void volume during charged particle bombardment: the effects of injected interstitials

    International Nuclear Information System (INIS)

    Lee, E.H.; Mansur, L.K.; Yoo, M.H.

    1979-01-01

    Experimental observations of the void volume at several depths along the range of 4 MeV Ni ions in 316 stainless steel are reported. The specimens were first preconditioned by neutron irradiation at temperatures of 450 and 584 0 C to fluences of approximately 8 x 10 26 n/m -2 . The void volume after ion bombardment to 60 dpa at the peak damage depth is significantly lower at the peak damage depth than in the region between that and the free surface. The ratio of the step height to void volume at the depth of peak energy deposition between regions masked from and exposed to the beam is strongly dependent on bombardment temperature. The reduction of void volume near the peak damage depth is larger for the 584 0 C than for the 450 0 C preconditioned material. These observations are consistent with recent theoretical results which account for the injection of the bombarding ions as self-interstitials. The theory necessary to understand the effect is developed

  14. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  15. Ion beam synthesis and characterization of metastable group-IV alloy semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, Naoto; Hasegawa, Masataka; Hayashi, Nobuyuki; Makita, Yunosuke; Shibata, Hajime [Electrotechnical Lab., Tsukuba, Ibaraki (Japan); Katsumata, Hiroshi; Uekusa, Shin-ichiro

    1997-03-01

    New Group-IV metastable alloy semiconductors and their heterostructures based on combinations of C-Si-Ge-Sn are recently attracting interest because of feasible new electronic and optoelectronic application in Si-technology and here research works on synthesis and characterization of the epitaxial heterostructures of Si-C, Si-Sn on Si fabricated by ion implantation together either with ion-beam-induced epitaxial crystallization (IBIEC) or solid phase epitaxial growth (SPEG) have been investigated. Formations of layers of Si{sub 1-y}C{sub y} (y=0.014 at peak concentration) on Si(100) have been performed by high-dose implantation of 17 keV C ions and successive IBIEC with 400 keV Ar or Ge ion bombardments at 300-400degC or SPEG up to 750degC. Crystalline growth by IBIEC has shown a lower growth rate in Si{sub 1-y}C{sub y}/Si than in intrinsic Si due mainly to the strain existence, which was observed by the X-ray diffraction (XRD) measurements. Photoluminescence(PL) measurements have revealed I{sub 1} or G line emissions that are relevant to small vacancy clusters or C pair formation, respectively. The crystalline growth of Si{sub 1-z}Sn{sub z} layers by 110 keV {sup 120}Sn ion implantation (z=0.029 and z=0.058 at peak concentration) into Si(100) followed either by IBIEC or by SPEG has been also investigated. PL emission from both IBIEC-grown and SPEG-grown samples with the lower Sn concentration has shown similar peaks to those by ion-implanted and annealed Si samples with intense I{sub 1} or I{sub 1}-related (Ar) peaks. Present results suggest that IBIEC has a feature for the non-thermal equilibrium fabrication of Si-C and Si-Sn alloy semiconductors. (J.P.N.)

  16. Formation mechanism and yield of molecules ejected from ZnS, CdS, and FeS2 during ion bombardment

    International Nuclear Information System (INIS)

    Nikzad, S.; Calaway, W.F.; Pellin, M.J.; Young, C.E.; Gruen, D.M.; Tombrello, T.A.

    1994-01-01

    Neutral species ejected from single crystals of ZnS, CdS, and FeS 2 during ion bombardment by 3 keV Ar + were detected by laser post-ionization followed by time-of-flight mass spectrometry. While metal atoms (Fe, Zn, Cd) and S 2 were the dominant species observed, substantial amounts of S, FeS, Zn 2 , ZnS, Cd 2 , and CdS were also detected. The experimental results demonstrate that molecules represent a larger fraction of the sputtered yield than was previously believed from secondary ion mass spectrometry experiments. In addition, the data suggest that the molecules are not necessarily formed from adjacent atoms in the solid and that a modified form of the recombination model could provide a mechanism for their formation

  17. Carbon nanotube growth from catalytic nano-clusters formed by hot-ion-implantation into the SiO{sub 2}/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan); Arima, Hiroki; Yokoyama, Ai; Saito, Yasunao; Nakata, Jyoji [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2012-07-01

    We have studied growth of chirality-controlled carbon nanotubes (CNTs) from hot-implantation-formed catalytic nano-clusters in a thermally grown SiO{sub 2}/Si substrate. This procedure has the advantage of high controllability of the diameter and the number of clusters by optimizing the conditions of the ion implantation. In the present study, Co{sup +} ions with ion dose of 8 Multiplication-Sign 10{sup 16} cm{sup -2} are implanted in the vicinity of the SiO{sub 2}/Si interface at 300 Degree-Sign C temperature. The implanted Co atoms located in the SiO{sub 2} layer has an amorphous-like structure with a cluster diameter of several nm. In contrast, implanted Co atoms in the Si substrate are found to take a cobalt silicide structure, confirmed by the high-resolution image of transmission electron microscope. CNTs are grown by microwave-plasma-enhanced chemical vapor deposition. We have confirmed a large amount of vertically-aligned multi-walled CNTs from the Co nano-clusters formed by the hot-ion-implantation near the SiO{sub 2}/Si interface.

  18. Measurement of ion species produced due to bombardment of 450 eV N{sub 2}{sup +} ions with hydrocarbons-covered surface of tungsten: Formation of tungsten nitride

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, S. [Atomic Physics Laboratory, Department of Physics, Institute of Science, Banaras Hindu University, Varanasi 221005 (India); Bhatt, P. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Kumar, A. [Institute for Plasma Research, Bhat, Gandhinagar 382428 (India); Singh, B.K.; Singh, B.; Prajapati, S. [Atomic Physics Laboratory, Department of Physics, Institute of Science, Banaras Hindu University, Varanasi 221005 (India); Shanker, R., E-mail: shankerorama@gmail.com [Atomic Physics Laboratory, Department of Physics, Institute of Science, Banaras Hindu University, Varanasi 221005 (India)

    2016-08-01

    A laboratory experiment has been performed to study the ions that are produced due to collisions of 450 eV N{sub 2}{sup +} ions with a hydrocarbons-covered surface of polycrystalline tungsten at room temperature. Using a TOF mass spectrometry technique, the product ions formed in these collisions have been detected, identified and analyzed. Different ion–surface reaction processes, namely, neutralization, reflection, surface induced dissociation, surface induced chemical reactions and desorption are observed and discussed. Apart from the presence of desorbed aliphatic hydrocarbon and other ions, the mass spectra obtained from the considered collisions show the formation and sputtering of tungsten nitride (WN). A layer of WN on tungsten surface is known to decrease the sputtering of bulk tungsten in fusion devices more effectively than when the tungsten is bombarded with other seeding gases (He, Ar). It is further noted that there is a negligible diffusion of N in the bulk tungsten at room temperature.

  19. Dependence of ion - photon emission characteristics on the concentration of implanted atoms of the bombarding beam

    International Nuclear Information System (INIS)

    Belykh, S.F.; Evtukhov, R.N.; Redina, I.V.; Ferleger, V.Kh.

    1989-01-01

    Results of experiment, where Dy + beams, its spraying products emitting intensively optical radiation with continuous spectrum (CSR), are used for tantalum surface bombardment, are presented. The given experiment allowed one to separate the scattered particle CSR contribution and was conducted under controlled beam n atom concentration on the target surface. E 0 energy and j 0 dysprosium ion flux density made up respectively 3.5 keV and 3x10 5 Axcm -2 . The obtained result analysis has shown that a notable dependence of spectrum type on n value is detected. Dy scattered atoms to not emit CSR. The main contribution to CSR is made by sprayed particles, containing dysprosium atoms

  20. Nanostructured Si/TiC composite anode for Li-ion batteries

    International Nuclear Information System (INIS)

    Zeng, Z.Y.; Tu, J.P.; Yang, Y.Z.; Xiang, J.Y.; Huang, X.H.; Mao, F.; Ma, M.

    2008-01-01

    Si/TiC nanocomposite anode was synthesized by a surface sol-gel method in combination with a following heat-treatment process. Through this process, nanosized Si was homogeneously distributed in a titanium carbide matrix. The electrochemically less active TiC working as a buffer matrix successfully prevented Si from cracking/crumbling during the charging/discharging process. The interspaces in the Si/TiC nanocomposite could offer convenient channels for Li ions to react with active Si. The Si/TiC composite exhibited a reversible charge/discharge capacity of about 1000 mAh g -1 with average discharge capacity fading of 1.8 mAh g -1 (0.18%) from 2nd to 100th cycle, indicating its excellent cyclability when used as anode materials for lithium-ion batteries

  1. Ejection of fast recoil atoms from solids under ion bombardment (medium-energy ion scattering by solid surfaces: Pt. 3)

    International Nuclear Information System (INIS)

    Dodonoy, A.I.; Mashkova, E.S.; Molchanov, V.A.

    1989-01-01

    This paper is the third part of our review surface scattering. Part I, which was devoted to the scattering of ions by the surfaces of disordered solids, was published in 1972; Part II, concerning scattering by crystal surfaces, was published in 1974. Since the publication of these reviews the material contained in them has become obsolete in many respects. A more recent account of the status of the problem has been given in a number of studies, including the book by E.S. Mashkova and V.A. Molchanov, Medium-Energy Ion Scattering by Solid Surfaces (Atomizdat, Moscow, 1980), than extended version of which was published by North-Holland in 1985. We note, however, that at the time these reviews were written the study of fast recoil atoms had not been carried out systematically; the problem was studied only as a by-product of surface scattering and sputtering. For this reason, in the above-mentioned works and in other reviews the data relating to recoil atoms were considered only occasionally. In recent years there have appeared a number of works - theoretical, experimental and computer -specially devoted to the study of the ejection of recoil atoms under ion bombardment. A number of interesting effects, which are due to the crystal structure of the target, have been discovered. It therefore, appeared desirable to us to systematize the available material and to present it as Part III of our continuing review. (author)

  2. Energy dependence of fusion evaporation-residue cross sections in the 28Si+28Si reaction

    International Nuclear Information System (INIS)

    Vineyard, M.F.; Bauer, J.S.; Gosdin, C.H.; Trotter, R.S.; Kovar, D.G.; Beck, C.; Henderson, D.J.; Janssens, R.V.F.; Wilkins, B.D.; Rosner, G.; Chowdhury, P.; Ikezoe, H.; Kuhn, W.; Kolata, J.J.; Hinnefeld, J.D.; Maguire, C.F.; Mateja, J.F.; Prosser, F.W.; Stephans, G.S.F.

    1990-01-01

    Velocity distributions of mass-identified evaporation residues produced in the 28 Si+ 28 Si reaction have been measured at bombarding energies of 174, 215, 240, 309, 397, and 452 MeV using time-of-flight techniques. These distributions were used to identify evaporation residues and to separate the complete-fusion and incomplete-fusion components. Angular distributions and total cross sections were extracted at all six bombarding energies. The complete-fusion evaporation-residue cross sections and the deduced critical angular momenta are compared with lower energy data and the predictions of existing models

  3. Improvement of the wear resistance of electroplated Au-Ni coatings by Zr ion bombardment of Ni-B sublayer

    International Nuclear Information System (INIS)

    Lyazgin, Alexander; Shugurov, Artur; Sergeev, Viktor; Neufeld, Vasily; Panin, Alexey; Shesterikov, Evgeny

    2015-01-01

    The effect of bombardment of the Ni-B sublayer by Zr ion beams on the surface morphology and tribomechanical properties of Au-Ni coatings was investigated. It was found that the treatment has no significant effect on the surface roughness and grain size of the Au-Ni coatings, while it provides essential reducing of their friction coefficient and improvement of wear resistance. It is shown that increased wear resistance of these coatings was caused by their strain hardening resulted from localization of plastic strain. The optimal Zr fluence were determined that provide the maximum reduction of linear wear of the coatings

  4. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  5. Marker experiments in growth studies of Ni2Si, Pd2Si, and CrSi2 formed both by thermal annealing and by ion mixing

    International Nuclear Information System (INIS)

    Hung, L.S.; Mayer, J.W.; Pai, C.S.; Lau, S.S.

    1985-01-01

    Inert markers (evaporated tungsten and silver) were used in growth studies of silicides formed both by thermal annealing and by ion mixing in the Ni/Si, Pd/Si, and Cr/Si systems. The markers were initially imbedded inside silicides and backscattering spectrometry was used to determine the marker displacement after different processing conditions. The results obtained in thermal annealing are quite consistent with that found in previous investigations. Ni is the dominant diffusing species in Ni 2 Si, while Si is the diffusing species in CrSi 2 . In Pd 2 Si, both Pd and Si are moving species with Pd the faster of the two. In contrast, in growth of silicides by ion irradiation Si is the faster diffusing species in all three systems

  6. Structural and electrical characterization of ion beam synthesized and n-doped SiC layers

    Energy Technology Data Exchange (ETDEWEB)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R. [Barcelona Univ. (Spain). Dept. Electronica; Panknin, D.; Koegler, R.; Skorupa, W. [Forschungszentrum Rossendorf, Dresden (Germany); Esteve, J.; Acero, M.C. [CSIC, Bellaterra (Spain). Centre Nacional de Microelectronica

    2001-07-01

    This work reports preliminary data on the ion beam synthesis of n-doped SiC layers. For this, two approaches have been studied: (i) doping by ion implantation (with N{sup +}) of ion beam synthesized SiC layers and (ii) ion beam synthesis of SiC in previously doped (with P) Si wafers. In the first case, the electrical data show a p-type overcompensation of the SiC layer in the range of temperatures between -50 C and 125 C. The structural (XRD) and in-depth (SIMS, Spreading Resistance) analysis of the samples suggest this overcompensation to be induced by p-type active defects related to the N{sup +} ion implantation damage, and therefore the need for further optimization their thermal processing. In contrast, the P-doped SiC layers always show n-type doping. This is also accompanied by a higher structural quality, being the spectral features of the layers similar to those from the not doped material. Electrical activation of P in the SiC lattice is about one order of magnitude lower than in Si. These data constitute, to our knowledge, the first results reported on the doping of ion beam synthesized SiC layers. (orig.)

  7. Dissociative scattering of low-energy SiF{sub 3}{sup +} and SiF{sup +} ions (5-200 eV) on Cu(100) surface

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Hiroyuki; Baba, Yuji; Sasaki, T A [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1997-03-01

    Dissociative scattering of molecular SiF{sub 3}{sup +} and SiF{sup +} ions from a Cu(100) single crystal surface has been investigated in the incident energy range from 5 eV to 200 eV with a scattering angle of 77deg. The scattered ion intensity of dissociative ions and parent molecular ions were measured as a function of incident ion energy. The observed data show that onset energies of dissociation for SiF{sub 3}{sup +} and SiF{sup +} ions are 30 eV and 40 eV, respectively. The obtained threshold energies are consistent with a impulsive collision model where the dissociation of incident ion is caused by vibrational excitation during collision. (author)

  8. Ion-beam synthesis and photoluminescence of SiC nanocrystals assisted by MeV-heavy-ion-beam annealing

    International Nuclear Information System (INIS)

    Khamsuwan, J.; Intarasiri, S.; Kirkby, K.; Chu, P.K.; Singkarat, S.; Yu, L.D.

    2012-01-01

    This work explored a novel way to synthesize silicon carbide (SiC) nanocrystals for photoluminescence. Carbon ions at 90 keV were implanted in single crystalline silicon wafers at elevated temperature, followed by irradiation using xenon ion beams at an energy of 4 MeV with two low fluences of 5 × 10 13 and 1 × 10 14 ions/cm 2 at elevated temperatures for annealing. X-ray diffraction, Raman scattering, infrared spectroscopy and transmission electron microscopy were used to characterize the formation of nanocrystalline SiC. Photoluminescence was measured from the samples. The results demonstrated that MeV-heavy-ion-beam annealing could indeed induce crystallization of SiC nanocrystals and enhance emission of photoluminescence with violet bands dominance due to the quantum confinement effect.

  9. Hydrogen pumping and release by graphite under high flux plasma bombardment

    International Nuclear Information System (INIS)

    Hirooka, Y.; Leung, W.K.; Conn, R.W.; Goebel, D.M.; LaBombard, B.; Nygren, R.; Wilson, K.L.

    1988-01-01

    Inert gas (helium or argon) plasma bombardment has been found to increase the surface gas adsorptivity of isotropic graphite (POCO-graphite), which can then getter residual gases in a high vacuum system. The inert gas plasma bombardment was carried out at a flux ≅ 1 x 10 18 ions s -1 cm -2 to a fluence of the order of 10 21 ions/cm 2 and at temperatures around 800 0 C. The gettering capability of graphite can be easily recovered by repeating inert gas plasma bombardment. The activated graphite surface exhibits a smooth, sponge-like morphology with significantly increased pore openings, which correlates with the observed increase in the surface gas adsorptivity. The activated graphite surface has been observed to pump hydrogen plasma particles as well. From calibrated H-alpha measurements, the dynamic hydrogen retention capacity is evaluated to be as large as 2 x 10 18 H/cm 2 at temperatures below 100 0 C and at a plasma bombarding energy of 300 eV. The graphite temperature was varied between 15 and 480 0 C. Due to the plasma particle pumping capability, hydrogen recycling from the activated graphite surface is significantly reduced, relative to that from a pre-saturated surface. A pre-saturated surface was also observed to reproducibly pump a hydrogen plasma to a concentration of 9.5 x 10 17 H/cm 2 . The hydrogen retention capacity of graphite is found to decrease with increasing temperature. A transient pumping mechanism associated with the sponge-like surface morphology is conjectured to explain the large hydrogen retention capacity. Hydrogen release behavior under helium and argon plasma bombardment was also investigated, and the result indicated the possibility of some in-pore retrapping effect. 43 refs., 11 figs

  10. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  11. Ion-induced Auger electron spectroscopy: a new detection method for compositional homogeneities of alloyed atoms in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hiraki, A [Osaka Univ., Japan; Imura, T; Iwami, M; Kim, S C; Ushita, K; Okamoto, H; Hamakawa, Y

    1979-09-01

    Auger spectra of Si LMM transitions induced by keV Ar/sup +/ ion bombardment of Si alloy systems have been studied. The spectra observed are composed of two well-defined peaks termed elsewhere the atomic-like and bulk-like peaks, repsectively. A clear correlation has been found between the intensity of the atomic-like peak lying at 88 eV and the content of the foreign atoms alloyed with Si. Experiments were carried out on metallic silicides, or Si alloys with Au, Cu, Pd and Ni, and covalently bonded non-metallic Si alloys of C and H. From these studies, we propose that ion-induced Auger electron spectroscopy might be a useful tool for the determination of alloyed foreign atoms as well as for the study of their compositional homogeneity in binary alloy systems of silicon.

  12. Electron emission from molybdenum under ion bombardment

    International Nuclear Information System (INIS)

    Ferron, J.; Alonso, E.V.; Baragiola, R.A.; Oliva-Florio, A.

    1981-01-01

    Measurements are reported of electron emission yields of clean molybdenum surfaces under bombardment with H + , H 2 + , D + , D 2 + , He + , N + , N 2 + , O + , O 2 + , Ne + , Ar + , Kr + and Xe + in the wide energy range 0.7-60.2 keV. The clean surfaces were produced by inert gas sputtering under ultrahigh vacuum. The results are compared with those predicted by a core-level excitation model. The disagreement found when using correct values for the energy levels of Mo is traced to wrong assumptions in the model. A substantially improved agreement with experiment is obtained using a model in which electron emission results from the excitation of valence electrons from the target by the projectiles and fast recoiling target atoms. (author)

  13. P and Si functionalized MXenes for metal-ion battery applications

    KAUST Repository

    Zhu, Jiajie

    2017-04-10

    MXenes are a family of two-dimensional materials, composed of early transition metal carbides, nitrides, and carbonitrides, with great potential in energy storage systems, in particular in electrodes for Li, Na, K-ion batteries. However, so far the capacities are not competitive. In this context, we investigate P and Si functionalized MXenes for metal-ion battery applications, using first-principles calculations, since P and Si provide reaction products with high ion content. Replacement of the F and OH ligands of Ti2C and V2C with P and Si is demonstrated to be feasible (energy barriers of less than 0.128 eV) and the ion diffusion barriers turn out to be less than 0.32 eV. Importantly, the Li, Na, and K capacities are predicted to be 1767 mAh g−1, 711 mAh g−1, and 711 mAh g−1, respectively, thus being much higher than in the case of F and OH functionalization.

  14. Ion-beam mixing in silicon and germanium at low temperatures

    International Nuclear Information System (INIS)

    Clark, G.J.; Marwick, A.D.; Poker, D.B.

    1982-01-01

    Ion-beam mixing of thin marker layers in amorphous silicon and germanium was studied using irradiations with Xe ions at temperatures of 34k and 77k. The marker species, ion energies and doses were: in silicon, markers of Ge and Pt irradiated with 200-keV Xe up to 2.7x10 16 ions cm -2 ; and in germanium, markers of Al and Si bombarded with 295-keV Xe up to 1.63x10 16 ions cm -2 . In silicon, Pt markers were found to broaden at about the same rate at 34k and 77k; and the rate of broadening was similar to that found by other workers when expressed as an efficiency of mixing, i.e., when dependence on ion dose and deposited energy was factored out. However, a Ge marker irradiated at 34k did not broaden from its original thickness. In germanium, markers of both Al and Si were mixed by irradiation at 34k, but at 77k only the Al marker broadened; the Si marker did not. The broadening of the markers is ascribed to ballistic mixing, while the cases where no broadening occurred are explicable if diffusion by a defect mechanism transported displaced marker atoms back to traps near their original sites

  15. Effect of simultaneous ion irradiation on microstructural change of SiC/SiC composites at high temperature

    International Nuclear Information System (INIS)

    Taguchi, T.; Wakai, E.; Igawa, N.; Nogami, S.; Snead, L.L.; Hasegawa, A.; Jitsukawa, S.

    2002-01-01

    The effect of simultaneous triple ion irradiation of He, H and Si on microstructural evolution of two kinds of SiC/SiC composites (HNS composite (using Hi-Nicalon type S SiC fiber) and TSA composite (using Tyranno SA SiC fiber)) at 1000 deg. C has been investigated. The microstructure observations of SiC/SiC composites irradiated to 10 dpa were examined by transmission electron microscopy. He bubbles were hardly formed in matrix of TSA composite, but many helium bubbles and some cracks were observed at grain boundaries of matrix of HNS composite. He bubbles and cracks were not, on the other hand, observed in the both fiber fabrics of HNS and TSA composites. Debonding between fiber and carbon layer following irradiation region was not observed in the both composites. Under these irradiation conditions, TSA composite showed the better microstructural stability against ion beams irradiation than one of HNS composite

  16. Nitrogen ion induced nitridation of Si(111) surface: Energy and fluence dependence

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India); ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Kumar, Mahesh [Physics and Energy Harvesting Group, National Physical Laboratory, New Delhi 110012 (India); Nötzel, R. [ISOM, Universidad Politecnia de Madrid, 28040 (Spain); Shivaprasad, S.M., E-mail: smsprasad@jncasr.ac.in [Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore 560064 (India)

    2014-06-01

    We present the surface modification of Si(111) into silicon nitride by exposure to energetic N{sub 2}{sup +} ions. In-situ UHV experiments have been performed to optimize the energy and fluence of the N{sub 2}{sup +} ions to form silicon nitride at room temperature (RT) and characterized in-situ by X-ray photoelectron spectroscopy. We have used N{sub 2}{sup +} ion beams in the energy range of 0.2–5.0 keV of different fluence to induce surface reactions, which lead to the formation of Si{sub x}N{sub y} on the Si(111) surface. The XPS core level spectra of Si(2p) and N(1s) have been deconvoluted into different oxidation states to extract qualitative information, while survey scans have been used for quantifying of the silicon nitride formation, valence band spectra show that as the N{sub 2}{sup +} ion fluence increases, there is an increase in the band gap. The secondary electron emission spectra region of photoemission is used to evaluate the change in the work function during the nitridation process. The results show that surface nitridation initially increases rapidly with ion fluence and then saturates. - Highlights: • A systematic study for the formation of silicon nitride on Si(111). • Investigation of optimal energy and fluence for energetic N{sub 2}{sup +} ions. • Silicon nitride formation at room temperature on Si(111)

  17. Target bombardment by ion beams generated in the Focus experiment

    International Nuclear Information System (INIS)

    Bernard, Alain; Coudeville, Alain; Garconnet, J.-P.; Jolas, A.; Mascureau, J. de; Nazet, Christian.

    1976-01-01

    In a Mather-Focus experiment, it was shown that 80% of the neutron emitted were generated through bombardment. The apparatus was operated with various targets at a distance of 13mm from the anode. In the low pressure regime, a deuteron beam of high energy was produced. Its emission duration was measured using a CD 2 target [fr

  18. Ion beam effects on the surface and near-surface composition of TaSi2

    International Nuclear Information System (INIS)

    Valeri, S.; Di Bona, A.; Ottaviani, G.; Procop, M.

    1991-01-01

    Low-energy (0.7-4.5 keV) ion bombardment effects on polycrystalline TaSi 2 at sputter steady state and in various intermediate steps have been investigated, in the temperature range up to 550degC, to determine the time and temperature dependence of the altered layer formation. This in turn enables a better knowledge of the synergistic effects of the processes mentioned above. At low temperatures (T≤410degC) the surface is silicon depleted, and the depletion is even more severe in the subsurface region up to a depth of several tens of angstroems; silicon preferential sputtering and radiation-enhanced segregation assisted by the displacement mixing-induced motion of atoms are assumed to be responsible for this composition profile, while thermally activated diffusion processes become operative above 410degC, reducing progressively the concentration gradient between the surface and the subsurface zone. The composition at different depths has been determined from Auger peaks for different kinetic energies, by varying the take-off angle and finally by sputter profiling at low in energy the high energy processed surfaces. Quantitative analysis has been performed by XPS and AES by using the elemental standard method. (orig.)

  19. Estimation of the depth resolution of secondary ion mass spectrometry at the interface SiO2/Si

    Science.gov (United States)

    Kocanda, J.; Fesič, V.; Veselý, M.; Breza, J.; Kadlečíková, M.

    1995-08-01

    Similarities between the processes that occur during sputtering of monocrystalline Si by reactive O2+ primary ions and the interface SiO2/monocrystalline Si by noble gas ions (e.g., by Ar+) have motivated us to utilize the semiempirical model of P. C. Zalm and C. J. Vriezema [Nucl. Instrum. Methods B 67, 495 (1992)], modified later by M. Petravić, B. G. Svensson, and J. S. Williams [Appl. Phys. Lett. 62, 278 (1993)] to calculate the decay length λb, as defined by J. B. Clegg [Surf. Interface Anal. 10, 322 (1987)], at the SiO2/Si interface. The measured and calculated results agree remarkably well. Inconsistency observed to be larger than 100% for glancing incidence angles confirms limitations of this model that were admitted already by its authors.

  20. Positron Annihilation Study of Ion-irradiated Si

    International Nuclear Information System (INIS)

    Shin, Jung Ki; Kwon, Jun Hyun; Lee, Jong Yong

    2009-01-01

    Structural parts like a spaceship, satellite and solar cell are composed of metal alloy or semiconductor materials. Especially, Si is used as a primary candidate alloy. But, manned and robotic missions to the Earth's moon and Mars are exposed to a continuous flux of Galactic Cosmic Rays (GCR) and occasional, but intense, fluxes of Solar Energetic Particles. These natural radiations impose hazards to manned exploration. Irradiation of cosmic particle induces various changes in the mechanical and physical properties of device steels. It is, therefore, important to investigate radiation damage to the component materials in semiconductor. The evolution of radiation-induced defects leads to degradation of the mechanical properties. One of them includes irradiation embrittlement, which can cause a loss of ductility and further increase the probability of a brittle fracture. It can be more dangerous in the space. Positron annihilation lifetime spectroscopy(PALS) have been applied to investigate the production of vacancy-type defects for Ion-irradiated Si wafer penetrated by H, He, O and Fe ions. Then, we carried out a comparison with an un-irradiated Si wafer

  1. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  2. Optical properties of Ag nanoclusters formed by irradiation and annealing of SiO{sub 2}/SiO{sub 2}:Ag thin films

    Energy Technology Data Exchange (ETDEWEB)

    Güner, S., E-mail: sguner@fatih.edu.tr [Department of Physics, Fatih University, 34500 Büyükçekmece, İstanbul (Turkey); Budak, S. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL 35810 (United States); Gibson, B. [Department of Physics, UAH, Huntsville, AL 35899 (United States); Ila, D. [Department of Chemistry and Physics, Fayetteville St. University, Fayetteville, NC 28301 (United States)

    2014-08-15

    Highlights: • Fabrication of films through the Reactive Electron Beam deposition technique. • Perfect and reproducible Ag nanoclustered host matrix. • Potential technological applicability in thermoelectric devices. - Abstract: We have deposited five periodic SiO{sub 2}/SiO{sub 2} + Ag multi-nano-layered films on fused silica substrates using physical vapor deposition technique. The co-deposited SiO{sub 2}:Ag layers were 2.7–5 nm and SiO{sub 2} buffer layers were 1–15 nm thick. Total thickness was between 30 and 105 nm. Different concentrations of Ag, ranging from 1.5 to 50 molecular% with respect to SiO{sub 2} were deposited to determine relevant rates of nanocluster formation and occurrence of interaction between nanoclusters. Using interferometry as well as in situ thickness monitoring, we measured the thickness of the layers. The concentration of Ag in SiO{sub 2} was measured with Rutherford Backscattering Spectrometry (RBS). To nucleate Ag nanoclusters, 5 MeV cross plane Si ion bombardments were performed with fluence varying between 5 × 10{sup 14} and 1 × 10{sup 16} ions/cm{sup 2} values. Optical absorption spectra were recorded in the range of 200–900 nm in order to monitor the Ag nanocluster formation in the thin films. Thermal annealing treatment at different temperatures was applied as second method to form varying size of nanoclusters. The physical properties of formed super lattice were criticized for thermoelectric applications.

  3. Cluster-surface collisions: Characteristics of Xe55- and C20 - Si[111] surface bombardment

    International Nuclear Information System (INIS)

    Cheng, H.

    1999-01-01

    Molecular dynamics (MD) simulations are performed to study the cluster-surface collision processes. Two types of clusters, Xe 55 and C 20 are used as case studies of materials with very different properties. In studies of Xe 55 - Si[111] surface bombardment, two initial velocities, 5.0 and 10.0 km/s (normal to the surface) are chosen to investigate the dynamical consequences of the initial energy or velocity in the cluster-surface impact. A transition in the speed of kinetic energy propagation, from subsonic velocities to supersonic velocities, is observed. Energy transfer, from cluster translational motion to the substrate, occurs at an extremely fast rate that increases as the incident velocity increases. Local melting and amorphous layer formation in the surfaces are found via energetic analysis of individual silicon atoms. For C 20 , the initial velocity ranges from 10 to 100 km/s. The clusters are damaged immediately upon impact. Similar to Xe 55 , increase in the potential energy is larger than the increase in internal kinetic energy. However, the patterns of energy distribution are different for the two types of clusters. The energy transfer from the carbon clusters to Si(111) surface is found to be slower than that found in the Xe clusters. Fragmentation of the carbon cluster occurs when the initial velocity is greater than 30 km/s. At 10 km/s, the clusters show recrystallization at later times. The average penetration depth displays a nonlinear dependence on the initial velocity. Disturbance in the surface caused by C 20 is discussed and compared to the damage caused by Xe 55 . Energetics, structures, and dynamics of these systems are fully analyzed and characterized. copyright 1999 American Institute of Physics

  4. A statistical analysis of the lateral displacement of Si atoms in molecular dynamics simulations of successive bombardment with 20-keV C{sub 60} projectiles

    Energy Technology Data Exchange (ETDEWEB)

    Krantzman, K.D., E-mail: krantzmank@cofc.edu [Department of Chemistry and Biochemistry, College of Charleston, Charleston, SC 29424 (United States); Cook, E.L. [Department of Chemistry and Biochemistry, College of Charleston, Charleston, SC 29424 (United States); Wucher, A. [Faculty of Physics, University of Duisburg-Essen, 47048 Duisburg (Germany); Garrison, B.J. [Department of Chemistry, The Pennsylvania State University, University Park, PA 16802 (United States)

    2011-07-15

    An important factor that determines the possible lateral resolution in sputter depth profiling experiments is ion induced lateral displacement of substrate atoms. Molecular dynamics (MD) simulations are performed to model the successive bombardment of Si with 20 keV C{sub 60} at normal incidence. A statistical analysis of the lateral displacement of atoms that originate from the topmost layer is presented and discussed. From these results, it is determined that the motion is isotropic and can be described mathematically by a simple diffusion equation. A 'diffusion coefficient' for lateral displacement is determined to be 3.5 A{sup 2}/impact. This value can be used to calculate the average lateral distance moved as a function of the number of impacts. The maximum distance an atom may move is limited by the time that it remains on the surface before it is sputtered. After 800 impacts, 99% of atoms from the topmost layer have been removed, and the average distance moved by these atoms is predicted to be 100 A. Although the behavior can be described mathematically by the diffusion equation, the behavior of the atoms is different than what is thought of as normal diffusion. Atoms are displaced a large distance due to infrequent large hops.

  5. Irradiation effects on SiAlO(N) rare earth aluminosilicate glasses in the framework of actinides transmutation

    International Nuclear Information System (INIS)

    Dauce, R.

    2003-11-01

    Actinides transmutation would permit to decrease the amount of waste to be dispose in deep geological site. However, a surrounding matrix is generally necessary after the separation of the radionuclides. Reference ceramics irradiations in the context of transmutation have been widely investigated, but no study have been performed on amorphous materials in the same conditions. The extensive study of glass evolution under heavy-ions bombardment can however permit to get insight damaging mechanisms during irradiation. The glassy compositions, which are SiAlO(N) type, were chosen for their refractoriness, their high chemical durability and excellent mechanical properties. Five compositions, in the Y-Mg-Si-Al-O(-N), Nd-Mg-Si-Al-O(-N) and La-Y-Al-O-N systems, were synthesized and characterized. A link is find between the structure of glasses and their deformation mechanism. The glasses were irradiated at GANIL (Caen), with several MeV energy heavy-ions. Their hardness decrease after bombardment, in close link with the electronic stopping power, but seems to be independent of the amount and nature of the network modifiers. This hardness decrease is more pronounced in the case of nitrogen containing glasses, and is due to a change in the glass deformation mechanism under indentation. The pristine glasses exhibit a 'normal' behavior, but the irradiated glasses are strained mainly by a densification mechanism. This change in the indentation behavior is probably due to several structural modifications. Indeed, UV-visible absorption spectroscopy shows the presence of a large amount of point defects after bombardment. Furthermore, particularly in the case of nitrogen containing glasses, the local environment of aluminum and silicon are largely disturbed, as shown by NMR and Raman spectroscopies. (author)

  6. Comparison between thermal annealing and ion mixing of multilayered Ni-W films on Si. II

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between bilayered Ni/W films and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by electron-beam sequential deposition of Ni and W onto the Si substrates and following by either furnace annealing (approx. 200--900 0 C) or ion mixing (approx. 2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). Thermal annealing of both W/Ni/Si and Ni/W/Si samples led to the formation of Ni silicide next to the Si substrate and W silicide on the sample surface (layer reversal between Ni and W in the Ni/W/Si case). Ion mixing of W/Ni/Si samples led to the formation of Ni silicide with a thin layer of Ni-W-Si mixture located at the sample surface. For Ni/W/Si samples a ternary amorphous mixture of Ni-W-Si was obtained with ion mixing. These reactions were rationalized in terms of the mobilities of various atoms and the intermixings between layers

  7. Precipitation in Ni-Si during electron and ion irradiation

    Science.gov (United States)

    Lucas, G. E.; Zama, T.; Ishino, S.

    1986-11-01

    This study was undertaken to further investigate how the nature of the irradiation condition affects precipitation in a dilute Ni-Si system. Transmission electron microscopy (TEM) discs of a solution annealed Ni alloy containing 5 at% Si were irradiated with 400 keV Ar + ions, 200 keV He + ions and 1 MeV electrons at average displacement rates in the range 2 × 10 -5dpa/s to 2 × 10 -3dpa/s at temperatures in the range 25°C to 450°C. Samples irradiated with electrons were observed in situ in an HVEM, while ion irradiated specimens were examined in a TEM after irradiation. Precipitation of Ni 3Si was detected by the appearance of superlattice spots in the electron diffraction patterns. It was found that as the mass of the irradiating species increased, the lower bound temperature at which Ni 3Si precipitation was first observed increased. For electron irradiation, the lower bound temperature at 2 × 10 -3dpa/s was ˜125°C, whereas for 400 keV Ar + irradiation at a similar average displacement rate the lower boundary was approximately 325°C. This suggests that cascade disordering competes with radiation induced solute segregation.

  8. Precipitation in Ni-Si during electron and ion irradiation

    International Nuclear Information System (INIS)

    Lucas, G.E.; Zama, T.; Ishino, S.

    1986-01-01

    This study was undertaken to further investigate how the nature of the irradiation condition affects precipitation in a dilute Ni-Si system. Transmission electron microscopy (TEM) discs of a solution annealed Ni alloy containing 5 at% Si were irradiated with 400 keV Ar + ions, 200 keV He + ions and 1 MeV electrons at average displacement rates in the range 2x10 -5 dpa/s to 2x10 -3 dpa/s at temperatures in the range 25 0 C to 450 0 C. Samples irradiated with electrons were observed in situ in an HVEM, while ion irradiated specimens were examined in a TEM after irradiation. Precipitation of Ni 3 Si was detected by the appearance of superlattice spots in the electron diffraction patterns. It was found that as the mass of the irradiating species increased, the lower bound temperature at which Ni 3 Si precipitation was first observed increased. For electron irradiation, the lower bound temperature at 2x10 -3 dpa/s was ∝125 0 C, whereas for 400 keV Ar + irradiation at a similar average displacement rate the lower boundary was approximately 325 0 C. This suggests that cascade disordering competes with radiation induced solute segregation. (orig.)

  9. High-energy ion-beam-induced phase separation in SiOx films

    International Nuclear Information System (INIS)

    Arnoldbik, W.M.; Tomozeiu, N.; Hattum, E.D. van; Lof, R.W.; Vredenberg, A.M.; Habraken, F.H.P.M.

    2005-01-01

    The modification of the nanostructure of silicon suboxide (SiO x ) films as a result of high-energy heavy-ion irradiation has been studied for the entire range 0.1≤x x films have been obtained by radio-frequency magnetron sputter deposition. For 50 MeV 63 Cu 8+ ions and an angle of incidence of 20 deg. with the plane of the surface, and for x≥0.5, it takes a fluence of about 10 14 /cm 2 to reach a Si-O-Si infrared absorption spectrum, which is supposed to be characteristic for a Si-SiO 2 composite film structure. For smaller x values, it takes a much larger fluence. The interpretation of the IR spectra is corroborated for the surface region by results from x-ray photoelectron spectroscopy. The results present evidence for a mechanism, in which the phase separation takes place in the thermal spike, initiated by the energy deposited in many overlapping independent ion tracks. Such a process is possible since the suboxides fulfill the conditions for spinodal decomposition

  10. Removal of foreign atoms from a metal surface bombarded with fast atomic particles

    Energy Technology Data Exchange (ETDEWEB)

    Dolotov, S.K.; Evstigneev, S.A.; Luk' yanov, S.Yu.; Martynenko, Yu.V.; Chicherov, V.M.

    1976-07-01

    A metal surface coated with foreign atoms was irradiated with periodically repeating ion current pulses. The energy of the ions bombarding the target was 20 to 30 keV, and inert gas ions were used. A study of the time dependences of the current of the dislodged foreign atoms showed that the rate of their removal from the target surface is determined by the sputtering coefficient of the substrate metal.

  11. Removal of foreign atoms from a metal surface bombarded with fast atomic particles

    International Nuclear Information System (INIS)

    Dolotov, S.K.; Evstigneev, S.A.; Luk'yanov, S.Yu.; Martynenko, Yu.V.; Chicherov, V.M.

    A metal surface coated with foreign atoms was irradiated with periodically repeating ion current pulses. The energy of the ions bombarding the target was 20 to 30 keV, and inert gas ions were used. A study of the time dependences of the current of the dislodged foreign atoms showed that the rate of their removal from the target surface is determined by the sputtering coefficient of the substrate metal

  12. The repetitive flaking of Inconel 625 by 100 keV helium bombardment

    International Nuclear Information System (INIS)

    Whitton, J.L.; Chen, H.M.; Littmark, U.

    1981-01-01

    Repetitive flaking of Inconel 625 occurs with ion bombardment doses of > than 10 18 100 keV helium ions cm -2 , with up to 39 exfoliations being observed after bombardment with 3 x 10 19 ions cm -2 . The thickness of the flakes, measured by scanning electron microscopy, is some 30% greater than when measured by Rutherford backscattering (RBS) of 1.8 MeV helium ions. These RBS measurements compare well with the thickness of the remaining layers in the resultant craters and to the most probable range of the 100 keV helium. The area of the flakes is dictated by the grain boundaries, and when one flake is ejected, the adjacent grains are prevented from doing so since there now exists an escape route for the injected helium. A strong dose rate dependence is observed; decreasing the beam current from 640 μA cm -2 to 64 μA cm -2 results in a factor 20 fewer flakes being exfoliated (for the same total dose of 3 x 10 19 ions cm -2 ). Successive flakes decrease in area, suggesting that eventually a cratered, but stable, surface will result with the only erosion being by the much less effective mechanism of sputtering. (orig.)

  13. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  14. Compositional changes during ion bombardment

    International Nuclear Information System (INIS)

    Rehn, L.E.

    1988-09-01

    Ion irradiation initiates several processes that can alter the composition of the target. This presentation provides an overview of our current understanding of these kinetics processes, which include implantation, sputtering, displacement mixing, radiation-enhanced diffusion, and radiation-induced segregation. The latter two effects can alter the target composition to depths that are substantially greater than the projected ion range. 45 refs., 8 figs

  15. Surface wet-ability modification of thin PECVD silicon nitride layers by 40 keV argon ion treatments

    Science.gov (United States)

    Caridi, F.; Picciotto, A.; Vanzetti, L.; Iacob, E.; Scolaro, C.

    2015-10-01

    Measurements of wet-ability of liquid drops have been performed on a 30 nm silicon nitride (Si3N4) film deposited by a PECVD reactor on a silicon wafer and implanted by 40 keV argon ions at different doses. Surface treatments by using Ar ion beams have been employed to modify the wet-ability. The chemical composition of the first Si3N4 monolayer was investigated by means of X-ray Photoelectron Spectroscopy (XPS). The surface morphology was tested by Atomic Force Microscopy (AFM). Results put in evidence the best implantation conditions for silicon nitride to increase or to reduce the wet-ability of the biological liquid. This permits to improve the biocompatibility and functionality of Si3N4. In particular experimental results show that argon ion bombardment increases the contact angle, enhances the oxygen content and increases the surface roughness.

  16. Ion emission in solids bombarded with Aun+ (n = 1 - 9) clusters accelerated within the 0.15 - 1.25 MeV energy range

    International Nuclear Information System (INIS)

    Wehbe, Nimer

    2006-06-01

    This experimental work is devoted to the study of the ion emission in solids at the impact of gold clusters of energies within 0.15 to 1.25 MeV range. The physics of ion-solid collisions and the theoretical models of sputtering of solids under ion bombardment are presented in the first chapter. The chapter no. 2 deals with the description of the experimental setup. The study of a gold target allowed to evidence the role of the size and energy of the clusters in determining the emission intensity and the mass distribution of the ions. The 4. chapter gives results from the study of cesium iodide in which the intense emission of CsI clusters could be investigated quantitatively due to multiplicity measurements. Finally, the chapter no. 5 was devoted to the study of a biologic molecule, the phenylalanine, and of a pesticide molecule, chlorosulfuron. This work evidenced the importance of clusters for surface analyses by mass spectrometry

  17. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  18. Modelling of ion implantation in SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chakarov, Ivan [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)]. E-mail: ivan.chakarov@silvaco.com; Temkin, Misha [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)

    2006-01-15

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator.

  19. Modelling of ion implantation in SiC crystals

    International Nuclear Information System (INIS)

    Chakarov, Ivan; Temkin, Misha

    2006-01-01

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator

  20. Current Progress of Si/Graphene Nanocomposites for Lithium-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Yinjie Cen

    2018-03-01

    Full Text Available The demand for high performance lithium-ion batteries (LIBs is increasing due to widespread use of portable devices and electric vehicles. Silicon (Si is one of the most attractive candidate anode materials for next generation LIBs. However, the high-volume change (>300% during lithium ion alloying/de-alloying leads to poor cycle life. When Si is used as the anode, conductive carbon is needed to provide the necessary conductivity. However, the traditional carbon coating method could not overcome the challenges of pulverization and unstable Solid Electrolyte Interphase (SEI layer during long-term cycling. Since 2010, Si/Graphene composites have been vigorously studied in hopes of providing a material with better cycling performance. This paper reviews current progress of Si/Graphene nanocomposites in LIBs. Different fabrication methods have been studied to synthesize Si/Graphene nanocomposites with promising electrochemical performances. Graphene plays a key enabling role in Si/Graphene anodes. However, the desired properties of graphene for this application have not been systematically studied and understood. Further systematic investigation of the desired graphene properties is suggested to better control the Si/Graphene anode performance.

  1. Fast atom bombardment mass spectrometry of condensed tannin sulfonate derivatives

    Science.gov (United States)

    J.J. Karchesy; L.Y. Foo; Richard W. Hemingway; E. Barofsky; D.F. Barofsky

    1989-01-01

    Condensed tannin sulfonate derivatives were studied by fast atom bombardment mass spectrometry (FAB-MS) to assess the feasibility of using this technique for determining molecular weight and structural information about these compounds. Both positive- and negative-ion spectra provided useful data with regard to molecular weight, cation species present, and presence of...

  2. Ion beam effects on the surface and near-surface composition of TaSi sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Valeri, S.; Di Bona, A.; Ottaviani, G. (Dipt. di Fisica, Univ. di Modena (Italy)); Procop, M. (Zentralinstitut fuer Elektronenphysik, Berlin (Germany))

    1991-07-01

    Low-energy (0.7-4.5 keV) ion bombardment effects on polycrystalline TaSi{sub 2} at sputter steady state and in various intermediate steps have been investigated, in the temperature range up to 550degC, to determine the time and temperature dependence of the altered layer formation. This in turn enables a better knowledge of the synergistic effects of the processes mentioned above. At low temperatures (T{<=}410degC) the surface is silicon depleted, and the depletion is even more severe in the subsurface region up to a depth of several tens of angstroems; silicon preferential sputtering and radiation-enhanced segregation assisted by the displacement mixing-induced motion of atoms are assumed to be responsible for this composition profile, while thermally activated diffusion processes become operative above 410degC, reducing progressively the concentration gradient between the surface and the subsurface zone. The composition at different depths has been determined from Auger peaks for different kinetic energies, by varying the take-off angle and finally by sputter profiling at low in energy the high energy processed surfaces. Quantitative analysis has been performed by XPS and AES by using the elemental standard method. (orig.).

  3. Uranium targets sandwiched between carbon layers for use on target wheels and on a Wobbler in heavy-ion bombardments

    International Nuclear Information System (INIS)

    Folger, H.; Hartmann, W.; Klemm, J.; Thalheimer, W.

    1989-01-01

    Uranium layers of ≅ 0.4 mg/cm 2 are evaporated by means of a 6 kW electron-beam gun onto 0.04 mg/cm 2 thick carbon films in a high-vacuum process; a protecting layer of ≅ 0.01 mg/cm 2 of carbon is added in the same vacuum cycle. The evaporation- and deposition yields are discussed and measurements of target characteristics are described. C/U/C sandwich targets in the shape of a sector of an annulus are prepared for use on rotating target wheels of 155 mm radius to be bombarded with a pulsed beam of heavy ions. One type of circular targets of 20 mm in diameter is mounted to a target wobbler. Both, wheel and wobbler, distribute the intensity of the heavy-ion beam to a larger area to reduce radiation damages. Examples of target applications will be mentioned. (orig.)

  4. Results on positron diffusion in Si

    International Nuclear Information System (INIS)

    Nielsen, B.; Lynn, K.G.; Vehanen, A.; Schultz, P.J.

    1984-10-01

    Positron diffusion in Si(100) and Si(111) has been measured using a variable energy positron beam. The diffusion related parameter, E 0 is found to be 4.2 +- 0.2 keV, significantly longer than previously reported values. The positron diffusion coefficient is estimated at D/sub +/ = 2.3 +- 0.4 cm 2 /sec, the uncertainty arising mainly from the characteristics of the assumed positron implantation profile. A drastic reduction in E 0 is found after heating the sample to 1300 0 K, showing that previously reported low values of E 0 are associated with the thermal history of the sample. A high sensitivity to defects introduced by low energy ion bombardment is found, and the defect recovery was followed during heat treatments. Reconstruction of the Si(111) surface into the so-called 7 x 7 structure had no detectable influence on the positron diffusion behavior. No changes in the positron diffusion was observed after covering the surface with atomic hydrogen. However the yield of positronium formation at the surface was enhanced, attributed to an increased density of states at the surface

  5. Ion beam synthesis of IrSi3 by implantation of 2 MeV Ir ions

    International Nuclear Information System (INIS)

    Sjoreen, T.P.; Chisholm, M.F.; Hinneberg, H.J.

    1992-11-01

    Formation of a buried IrSi 3 layer in (111) oriented Si by ion implantation and annealing has been studied at an implantation energy of 2 MeV for substrate temperatures of 450--550C. Rutherford backscattering (RBS), ion channeling and cross-sectional transmission electron microscopy showed that a buried epitaxial IrSi 3 layer is produced at 550C by implanting ≥ 3.4 x 10 17 Ir/cm 2 and subsequently annealing for 1 h at 1000C plus 5 h at 1100C. At a dose of 3.4 x 10 17 Ir/cm 2 , the thickness of the layer varied between 120 and 190 nm and many large IrSi 3 precipitates were present above and below the film. Increasing the dose to 4.4 x 10 17 Ir/cm 2 improved the layer uniformity at the expense of increased lattice damage in the overlying Si. RBS analysis of layer formation as a function of substrate temperature revealed the competition between the mechanisms for optimizing surface crystallinity vs. IrSi 3 layer formation. Little apparent substrate temperature dependence was evident in the as-implanted state but after annealing the crystallinity of the top Si layer was observed to deteriorate with increasing substrate temperature while the precipitate coarsening and coalescence improved

  6. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  7. Heavy Ion Microbeam and Broadbeam Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; McMorrow, Dale; Vizkelethy, Gyorgy; Dodd, Paul E.; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philippe; Duhamel, Olivier; Phillips, Stanley D.; hide

    2009-01-01

    SiGe HBT heavy ion current transients are measured using microbeam and both high- and low-energy broadbeam sources. These new data provide detailed insight into the effects of ion range, LET, and strike location.

  8. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  9. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  10. Damage growth in Si during self-ion irradiation: A study of ion effects over an extended energy range

    International Nuclear Information System (INIS)

    Holland, O.W.; El-Ghor, M.K.; White, C.W.

    1989-01-01

    Damage nucleation/growth in single-crystal Si during ion irradiation is discussed. For MeV ions, the rate of growth as well as the damage morphology are shown to vary widely along the track of the ion. This is attributed to a change in the dominant, defect-related reactions as the ion penetrates the crystal. The nature of these reactions were elucidated by studying the interaction of MeV ions with different types of defects. The defects were introduced into the Si crystal prior to high-energy irradiation by self-ion implantation at a medium energy (100 keV). Varied damage morphologies were produced by implanting different ion fluences. Electron microscopy and ion-channeling measurements, in conjunction with annealing studies, were used to characterize the damage. Subtle changes in the predamage morphology are shown to result in markedly different responses to the high-energy irradiation, ranging from complete annealing of the damage to rapid growth. These divergent responses occur over a narrow range of dose (2--3 times 10 14 cm -2 ) of the medium-energy ions; this range also marks a transition in the growth behavior of the damage during the predamage implantation. A model is proposed which accounts for these observations and provides insight into ion-induced growth of amorphous layers in Si and the role of the amorphous/crystalline interface in this process. 15 refs, 9 figs

  11. Influence of ion beam and geometrical parameters on properties of Si thin films grown by Ar ion beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Bundesmann, Carsten; Feder, Rene; Neumann, Horst [Leibniz-Institut fuer Oberflaechenmodifizierung e.V., Leipzig (Germany)

    2012-07-01

    Ion beam sputtering (IBS) offers, in contrast to other physical vapour deposition techniques, such as magnetron sputtering or electron beam evaporation, the opportunity to change the properties of the layer forming particles (sputtered and scattered particles) by varying ion beam parameters (ion species, ion energy) and geometrical parameters (ion incidence angle, emission angle). Consequently, these effects can be utilized to tailor thin film properties [1]. The goal is to study systematically the correlations between the primary and secondary parameters and, at last, the effects on the properties of Si thin films, such as optical properties, stress, surface topography and composition. First experimental results are presented for Ar-ion sputtering of Si.

  12. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    International Nuclear Information System (INIS)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R.

    2000-01-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si + and C + ions into thermal SiO 2 and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO 2 . Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO 2

  13. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R

    2000-03-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si{sup +} and C{sup +} ions into thermal SiO{sub 2} and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO{sub 2}. Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO{sub 2}.

  14. The influence of projectile ion induced chemistry on surface pattern formation

    Energy Technology Data Exchange (ETDEWEB)

    Karmakar, Prasanta, E-mail: prasantak@vecc.gov.in [Variable Energy Cyclotron Centre, 1/AF, Bidhannagar, Kolkata 700064 (India); Satpati, Biswarup [Saha Institute of Nuclear Physics, 1/AF, Bidhannagar, Kolkata 700064 (India)

    2016-07-14

    We report the critical role of projectile induced chemical inhomogeneity on surface nanostructure formation. Experimental inconsistency is common for low energy ion beam induced nanostructure formation in the presence of uncontrolled and complex contamination. To explore the precise role of contamination on such structure formation during low energy ion bombardment, a simple and clean experimental study is performed by selecting mono-element semiconductors as the target and chemically inert or reactive ion beams as the projectile as well as the source of controlled contamination. It is shown by Atomic Force Microscopy, Cross-sectional Transmission Electron Microscopy, and Electron Energy Loss Spectroscopy measurements that bombardment of nitrogen-like reactive ions on Silicon and Germanium surfaces forms a chemical compound at impact zones. Continuous bombardment of the same ions generates surface instability due to unequal sputtering and non-uniform re-arrangement of the elemental atom and compound. This instability leads to ripple formation during ion bombardment. For Argon-like chemically inert ion bombardment, the chemical inhomogeneity induced boost is absent; as a result, no ripples are observed in the same ion energy and fluence.

  15. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  16. Gas cluster ion beam assisted NiPt germano-silicide formation on SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Ozcan, Ahmet S., E-mail: asozcan@us.ibm.com [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States); Lavoie, Christian; Jordan-Sweet, Jean [IBM T. J. Watson Research Center, 1101 Kitchawan Road, Yorktown Heights, New York 10598 (United States); Alptekin, Emre; Zhu, Frank [IBM Semiconductor Research and Development Center, 2070 Route 52, Hopewell Junction, New York 12533 (United States); Leith, Allen; Pfeifer, Brian D.; LaRose, J. D.; Russell, N. M. [TEL Epion Inc., 900 Middlesex Turnpike, Bldg. 6, Billerica, Massachusetts 01821 (United States)

    2016-04-21

    We report the formation of very uniform and smooth Ni(Pt)Si on epitaxially grown SiGe using Si gas cluster ion beam treatment after metal-rich silicide formation. The gas cluster ion implantation process was optimized to infuse Si into the metal-rich silicide layer and lowered the NiSi nucleation temperature significantly according to in situ X-ray diffraction measurements. This novel method which leads to more uniform films can also be used to control silicide depth in ultra-shallow junctions, especially for high Ge containing devices, where silicidation is problematic as it leads to much rougher interfaces.

  17. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  18. Study of the ion sputter-machining, 1

    International Nuclear Information System (INIS)

    Miyamoto, Iwao; Taniguchi, Norio

    1979-01-01

    A lattice disordering of the surface of single crystal silicon due to ion bombardment of Ar + was investigated by the high energy electron diffraction method, with the incident angle of 1.7 0 and 2.8 0 . By this measuring system, the degree of disorders of the sputter-machined surface layer of Si single crystal in the depth of 50 A and 30 A has been determined, under the working conditions of the ion energy ranging from 0.2 keV to 1.5 keV and the incident angle of ion ranging from 0 0 to 75 0 . Moreover, the recovery of lattice disorder of sputter-machined surface layer of Si single crystal by means of the isochronal thermal annealing has been also confirmed by the same method. From the above experiments, the following conclusions are obtained. (1) The layers of sputter-machined surface of Si single crystal workpiece are highly disordered like amorphous, under the working conditions of ion energy ranging from 0.2 keV to 1.5 keV for the vertical ion incident angle. (2) Under the working conditions of ion incident angle larger than 60 0 , using the ion beam with a lower energy under 300 eV, the surface of the workpiece is not disordered. Therefore, a sputter-machined surface of Si single crystal with highly ordered structure can be obtained under this working condition. (3) The recovery of disorder of sputter-machined surface is completed by the heat-treatment of workpiece under isochronal annealing for 1 hour at 800 0 C. However, it is not clear whether this recovery of lattice point or the dispersion of interstitially located argon atoms from the surface to the outside. (author)

  19. Si- and Sn-containing SiOCN-based nanocomposites as anode materials for lithium ion batteries. Synthesis, thermodynamic characterization and modeling

    Energy Technology Data Exchange (ETDEWEB)

    Rohrer, Jochen; Albe, Karsten [Technische Univ. Darmstadt (Germany). Materialmodellierung; Vrankovic, Dragoljub; Riedel, Ralf; Graczyk-Zajac, Magdalena [Technische Univ. Darmstadt (Germany). Disperse Feststoffe; Cupid, Damian; Seifert, Hans J. [Karlsruher Institut fuer Technologie, Eggenstein-Leopoldshafen (Germany). IAM - Angewandte Werkstoffphysik

    2017-11-15

    Novel nanocomposites consisting of silicon/tin nanoparticles (n-Si/n-Sn) embedded in silicon carbonitride (SiCN) or silicon oxycarbide (SiOC) ceramic matrices are investigated as possible anode materials for Li-ion batteries. The goal of our study is to exploit the large mass specific capacity of Si/Sn (3 579 mAh g{sup -1}/994 mAh g{sup -1}), while avoiding rapid capacity fading due to the large volume changes of Si/Sn during Li insertion. We show that a large amount (∝30-40 wt.%) of disordered carbon phase is dispersed within the SiOC/SiCN matrix and stabilizes the Si/Sn nanoparticles with respect to extended reversible lithium ion storage. Silicon nanocomposites are prepared by mixing of a polymeric precursor with commercial and ''home-synthesized'' crystalline and amorphous silicon. Tin nanocomposites, in contrast, are prepared using a single precursor approach, which allows the in-situ generation of Sn nanoparticles homogeneously dispersed within the SiOC host. The best electrochemical stability along with capacities of 600 - 700 mAh g{sup -1} is obtained when amorphous/porous silicon is used. Mechanisms contributing to the increase of storage capacity and the cycle stability are clarified by analyzing elemental composition, local solid-state structures, intercalation hosts and Li-ion mobility. Our work is supplemented by first-principles based atomistic modeling and thermochemical measurements.

  20. Evidence for nano-Si clusters in amorphous SiO anode materials for rechargeable Li-ion batteries

    International Nuclear Information System (INIS)

    Sepehri-Amin, H.; Ohkubo, T.; Kodzuka, M.; Yamamura, H.; Saito, T.; Iba, H.; Hono, K.

    2013-01-01

    Atom probe tomography and high resolution transmission electron microscopy have shown the presence of nano-sized amorphous Si clusters in non-disproportionated amorphous SiO powders are under consideration for anode materials in Li-ion batteries. After Li insertion/extraction, no change was found in the chemistry and structure of the Si clusters. However, Li atoms were found to be trapped at the amorphous SiO phase after Li insertion/extraction, which may be attributed to the large capacity fade after the first charge/discharge cycle

  1. Structural and optical modification in 4H-SiC following 30 keV silver ion irradiation

    Science.gov (United States)

    Kaushik, Priya Darshni; Aziz, Anver; Siddiqui, Azher M.; Lakshmi, G. B. V. S.; Syväjärvi, Mikael; Yakimova, Rositsa; Yazdi, G. Reza

    2018-05-01

    The market of high power, high frequency and high temperature based electronic devices is captured by SiC due to its superior properties like high thermal conductivity and high sublimation temperature and also due to the limitation of silicon based electronics in this area. There is a need to investigate effect of ion irradiation on SiC due to its application in outer space as outer space is surrounded both by low and high energy ion irradiations. In this work, effect of low energy ion irradiation on structural and optical property of 4H-SiC is investigated. ATR-FTIR is used to study structural modification and UV-Visible spectroscopy is used to study optical modifications in 4H-SiC following 30 keV Ag ion irradiation. FTIR showed decrease in bond density of SiC along the ion path (track) due to the creation of point defects. UV-Visible absorption spectra showed decrease in optical band gap from 3.26 eV to 2.9 eV. The study showed degradation of SiC crystallity and change in optical band gap following low energy ion irradiation and should be addressed while fabricationg devices based on SiC for outer space application. Additionally, this study provides a platform for introducing structural and optical modification in 4H-SiC using ion beam technology in a controlled manner.

  2. ION-INDUCED PROCESSING OF COSMIC SILICATES: A POSSIBLE FORMATION PATHWAY TO GEMS

    Energy Technology Data Exchange (ETDEWEB)

    Jäger, C.; Sabri, T. [Max Planck Institute for Astronomy, Heidelberg, Laboratory Astrophysics and Cluster Physics Group, Institute of Solid State Physics, Friedrich Schiller University Jena, Helmholtzweg 3, D-07743 Jena (Germany); Wendler, E. [Institute of Solid State Physics, Friedrich Schiller University Jena, Helmholtzweg 3, D-07743 Jena (Germany); Henning, Th., E-mail: cornelia.jaeger@uni-jena.de [Max Planck Institute for Astronomy, Königstuhl 17, D-69117 Heidelberg (Germany)

    2016-11-01

    Ion-induced processing of dust grains in the interstellar medium and in protoplanetary and planetary disks plays an important role in the entire dust cycle. We have studied the ion-induced processing of amorphous MgFeSiO{sub 4} and Mg{sub 2}SiO{sub 4} grains by 10 and 20 keV protons and 90 keV Ar{sup +} ions. The Ar{sup +} ions were used to compare the significance of the light protons with that of heavier, but chemically inert projectiles. The bombardment was performed in a two-beam irradiation chamber for in situ ion-implantation at temperatures of 15 and 300 K and Rutherford Backscattering Spectroscopy to monitor the alteration of the silicate composition under ion irradiation. A depletion of oxygen from the silicate structure by selective sputtering of oxygen from the surface of the grains was observed in both samples. The silicate particles kept their amorphous structure, but the loss of oxygen caused the reduction of ferrous (Fe{sup 2+}) ions and the formation of iron inclusions in the MgFeSiO{sub 4} grains. A few Si inclusions were produced in the iron-free magnesium silicate sample pointing to a much less efficient reduction of Si{sup 4+} and formation of metallic Si inclusions. Consequently, ion-induced processing of magnesium-iron silicates can produce grains that are very similar to the glassy grains with embedded metals and sulfides frequently observed in interplanetary dust particles and meteorites. The metallic iron inclusions are strong absorbers in the NIR range and therefore a ubiquitous requirement to increase the temperature of silicate dust grains in IR-dominated astrophysical environments such as circumstellar shells or protoplanetary disks.

  3. Bremsstrahlung and Ion Beam Current Measurements with SuSI ECR Ion Source

    International Nuclear Information System (INIS)

    Ropponen, T.

    2012-01-01

    This series of slides presents: the Superconducting Source for Ions (SuSI), the X-ray measurement setup, the different collimation schemes, the flat B operation versus B(min) operation, and the impact of tuning ∇B while keeping fixed field profile

  4. Swift heavy-ion induced trap generation and mixing at Si/SiO{sub 2} interface in depletion n-MOS

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N. [Ecotopia Science Institute, Division of Energy Science, Nagoya University, Nagoya 464-8603 (Japan) and Department of Physics, University of Pune, Pune 411 007 (India)]. E-mail: nss@nucl.nagoya-u.ac.jp; Bhoraskar, V.N. [Department of Physics, University of Pune, Pune 411 007 (India); Dhole, S.D. [Department of Physics, University of Pune, Pune 411 007 (India)

    2006-01-15

    Large channel depletion n-channel MOSFET (Metal oxide semiconductor field effect transistor) is a basic Si-SiO{sub 2} structure to understand irradiation-induced modifications. The contribution of interface and oxide states denoted as {delta}N {sub IT} and {delta}N {sub OT}, respectively, was separated out by using I {sub D}-V {sub DS}, I {sub D}-V {sub GS} measurements. The threshold voltage shift {delta}V {sub T} (V {sub T-irrad} - V {sub T-virgin}) increased for all ions (50 MeV Li, B, F, P and Ni) over the fluence of 2 x 10{sup 11}-2 x 10{sup 13} ions/cm{sup 2}. The increase in {delta}N {sub IT} was associated to trap generation at Si-SiO{sub 2} interface, but a small change in {delta}N {sub OT} indicate less charge trapping in oxide. The electronic energy loss S {sub e} induced increase in {delta}N {sub IT} is not adequate to explain the large shift in threshold voltage. A rough estimate shows that the channel width, W should decrease by 40% for a large increase in {delta}N {sub IT}. Thus, the possible factor affecting reduction of W may be ion beam mixing induced broadening of Si-SiO{sub 2} interface.

  5. Ultra-low temperature process by ion shower doping technique for poly-Si TFTs on plastics

    International Nuclear Information System (INIS)

    Kim, Jong-Man; Lim, Huck; Kim, Do-Young; Jung, Ji-Sim; Kwon, Jang-Yeon; Hong, Wan-Shick; Noguchi, Takashi

    2006-01-01

    An ion doping process was performed by using a basic ion shower system. After ion doping and subsequent activation of the dopants in the Si film by excimer laser annealing (ELA), we studied the crystallinity of the Si surface using UV-reflectance spectroscopy and the sheet resistance by using 4-point probe measurements. To prevent excessive temperature increase on the plastic substrate during ion shower doping, the plasma shower was applied in a series of short pulses. As a result, dopant ions were efficiently incorporated and were activated into the a-Si film on plastic substrate after ELA. The sheet resistance decreased with increase of actual doping time, which corresponds to the incorporated dose. Also, we confirmed a distinct relationship between the crystallinity and the sheet resistance. This work shows that pulsed ion shower doping is a promising technique for ultra-low-temperature poly-Si TFTs on plastic substrates.

  6. Polymerization of solid C60 under C60 cluster ion bombardment

    Czech Academy of Sciences Publication Activity Database

    Lavrentiev, Vasyl; Vacík, Jiří; Naramoto, H.; Narumi, K.

    2009-01-01

    Roč. 95, - (2009), s. 867-873 ISSN 0947-8396 R&D Projects: GA AV ČR(CZ) KAN400480701; GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : fulleren * cluster * bombardment * polymerization Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.595, year: 2009 http://www.springerlink.com/content/0947-8396

  7. Fractal characterization of the silicon surfaces produced by ion beam irradiation of varying fluences

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, R.P. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); Kumar, T. [Department of Physics, Central University of Haryana, Jant-Pali, Mahendergarh, Haryana 123029 (India); Mittal, A.K. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Dwivedi, S., E-mail: suneetdwivedi@gmail.com [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Kanjilal, D. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, PO Box 10502, New Delhi 110 067 (India)

    2015-08-30

    Highlights: • Fractal analysis of Si(1 0 0) surface morphology at varying ion fluences. • Autocorrelation function and height–height correlation function as fractal measures. • Surface roughness and lateral correlation length increases with ion fluence. • Ripple pattern of the surfaces is found at higher ion fluences. • Wavelength of the ripple surfaces is computed for each fluence. - Abstract: Si (1 0 0) is bombarded with 200 keV Ar{sup +} ion beam at oblique incidence with fluences ranging from 3 × 10{sup 17} ions/cm{sup 2} to 3 × 10{sup 18} ions/cm{sup 2}. The surface morphology of the irradiated surfaces is captured by the atomic force microscopy (AFM) for each ion fluence. The fractal analysis is performed on the AFM images. The autocorrelation function and height–height correlation function are used as fractal measures. It is found that the average roughness, interface width, lateral correlation length as well as roughness exponent increase with ions fluence. The analysis reveals the ripple pattern of the surfaces at higher fluences. The wavelength of the ripple surfaces is computed for each ion fluence.

  8. Sputtering of solid deuterium by He-ions

    DEFF Research Database (Denmark)

    Schou, Jørgen; Stenum, B.; Pedrys, R.

    2001-01-01

    Sputtering of solid deuterium by bombardment of 3He+ and 4He+ ions was studied. Some features are similar to hydrogen ion bombardment of solid deuterium, but for the He-ions a significant contribution of elastic processes to the total yield can be identified. The thin-film enhancement is more pro...... pronounced than that for hydrogen projectiles in the same energy range....

  9. On the use of thin ion implanted Si detectors in heavy ion experiments

    International Nuclear Information System (INIS)

    Lavergne-Gosselin, L.; Stab, L.; Lampert, M.O.

    1988-10-01

    We present test results on the use of thin ion implanted epitaxial Si detectors for registration of low- and medium energy heavy fragments in nuclear reactions. A linear energy response for very low energy nuclei has been observed. A test of 10 μm + 300 μm telescopes under realistic experimental conditions for heavy ion experiments exhibits the possibilities to use these detectors for the measurements of multifragmentation products. (authors)

  10. Depth dependent modification of optical constants arising from H+ implantation in n-type 4H-SiC measured using coherent acoustic phonons

    Directory of Open Access Journals (Sweden)

    Andrey Baydin

    2016-06-01

    Full Text Available Silicon carbide (SiC is a promising material for new generation electronics including high power/high temperature devices and advanced optical applications such as room temperature spintronics and quantum computing. Both types of applications require the control of defects particularly those created by ion bombardment. In this work, modification of optical constants of 4H-SiC due to hydrogen implantation at 180 keV and at fluences ranging from 1014 to 1016 cm−2 is reported. The depth dependence of the modified optical constants was extracted from coherent acoustic phonon spectra. Implanted spectra show a strong dependence of the 4H-SiC complex refractive index depth profile on H+ fluence. These studies provide basic insight into the dependence of optical properties of 4H silicon carbide on defect densities created by ion implantation, which is of relevance to the fabrication of SiC-based photonic and optoelectronic devices.

  11. Damage profiles and ion distribution in Pt-irradiated SiC

    Energy Technology Data Exchange (ETDEWEB)

    Xue, H.Z. [Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Zhang, Y., E-mail: Zhangy1@ornl.gov [Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Zhu, Z. [Pacific Northwest National Laboratory, P.O. Box 999, Richland, WA 99352 (United States); Zhang, W.M. [Department of Radiation Therapy, Peking University First Hospital, Beijing 100034 (China); Bae, I.-T. [Small Scale Systems Integration and Packaging Center, State University of New York at Binghamton, P.O. Box 6000, Binghamton, NY 13902 (United States); Weber, W.J. [Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States)

    2012-09-01

    Single crystalline 6H-SiC samples were irradiated at 150 K with 2 MeV Pt ions. The local volume swelling was determined by electron energy loss spectroscopy (EELS), and a nearly sigmoidal dependence on irradiation dose is observed. The disorder profiles and ion distribution were determined by Rutherford backscattering spectrometry (RBS), transmission electron microscopy, and secondary ion mass spectrometry. Since the volume swelling reaches 12% over the damage region at high ion fluence, the effect of lattice expansion is considered and corrected for in the analysis of RBS spectra to obtain depth profiles. Projectile and damage profiles are estimated by SRIM (Stopping and Range of Ions in Matter). When compared with the measured profiles, the SRIM code predictions of ion distribution and the damage profiles are underestimated due to significant overestimation of the electronic stopping power for the slow heavy Pt ions. By utilizing the reciprocity method, which is based on the invariance of the inelastic energy loss in ion-solid collisions against interchange of projectile and target atom, a much lower electronic stopping power is deduced. A simple approach, based on reducing the density of SiC target in SRIM simulation, is proposed to compensate the overestimated SRIM electronic stopping power values, which results in improved agreement between predicted and measured damage profiles and ion ranges.

  12. Anomalously high yield of doubly charged Si ions sputtered from cleaned Si surface by keV neutral Ar impact

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.; Morita, K. E-mail: k-morita@mail.nucl.nagoya-u.ac.jp; Dhole, S.D.; Ishikawa, D

    2001-08-01

    The energy spectra of positively charged and neutral species ejected from the Si(1 1 1) surfaces by keV Ar impact have been measured by means of a combined technique of the time-of-flight (TOF) analysis with the multi-photon resonance ionization spectroscopy (MPRIS). It is shown that positively charged species of Si{sup +}, Si{sup 2+} and SiO{sup +} are ejected from the as-cleaned 7x7 surface by 11 keV Ar impact. It is also shown that Ar sputter cleaning of the as-cleaned 7x7 surface for 14 min at the flux of 2x10{sup 13}/cm{sup 2}s removes completely the oxygen impurity and the yields of Si{sup 2+} is comparable to that of Si{sup +}. Moreover, the ionization probability of Si atoms sputtered is shown to be expressed as an exponential function of the inverse of their velocity. The production mechanism for the doubly charged Si ion is discussed based on the L-shell ionization of Si atoms due to quasi-molecule formation in the collisions of the surface atoms with energetic recoils and subsequent Auger decay of the L-shell vacancy to doubly ionized Si ions.

  13. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  14. Microstructural changes in silicon induced by patterning with focused ion beams of Ga, Si and Au

    International Nuclear Information System (INIS)

    Chee, See Wee; Kammler, Martin; Balasubramanian, Prabhu; Reuter, Mark C.; Hull, Robert; Ross, Frances M.

    2013-01-01

    We use focused beams of Ga + , Au + and Si ++ ions to induce local microstructural changes in single crystal silicon. The ions were delivered as single spot pulses into thin Si membranes that could subsequently be imaged and annealed in situ in a transmission electron microscope. For each ion, the focused ion beam implantation created an array of amorphous regions in the crystalline membrane. Annealing causes solid phase epitaxial regrowth to take place, but we show that the resulting microstructure depends on the ion species. For Ga + and Au + , precipitates remain after recrystallization, while for Si ++ , dislocation loops form around the periphery of each implanted spot. We attribute these loops to defects formed during solid phase epitaxial regrowth, with controlled placement of the loops possible. - Highlights: ► Ga + , Au + and Si ++ were implanted into thin membranes of Si. ► Samples were imaged and annealed in situ in a transmission electron microscope. ► Focused ion beam implantation created an array of amorphous spots. ► After recrystallization, precipitates form for Ga + and Au + , dislocation loops for Si ++ . ► Controlled placement of the dislocation loops possible

  15. Characterization of the crystalline quality of β-SiC formed by ion beam synthesis

    International Nuclear Information System (INIS)

    Intarasiri, S.; Hallen, A.; Kamwanna, T.; Yu, L.D.; Possnert, G.; Singkarat, S.

    2006-01-01

    The ion beam synthesis (IBS) technique is applied to form crystalline silicon carbide (SiC) for future optoelectronics applications. Carbon ions at 80 and 40 keV were implanted into (1 0 0) high-purity p-type silicon wafers at room temperature and 400 deg. C, respectively, to doses in excess of 10 17 ions/cm 2 . Subsequent thermal annealing of the implanted samples was performed in a vacuum furnace at temperatures of 800, 900 and 1000 deg. C, respectively. Elastic recoil detection analysis was used to investigate depth distributions of the implanted ions and infrared transmittance (IR) measurement was used to characterize formation of SiC in the implanted Si substrate. Complementary to IR, Raman scattering measurements were also carried out. Levels of the residual damage distribution of the samples annealed at different temperatures were compared with that of the as-implanted one by Rutherford backscattering spectrometry (RBS) in the channeling mode. The results show that C-ion implantation at the elevated temperature, followed by high-temperature annealing, enhances the synthesis of crystalline SiC

  16. Design and application of ion-implanted polySi passivating contacts for interdigitated back contact c-Si solar cells

    International Nuclear Information System (INIS)

    Yang, Guangtao; Ingenito, Andrea; Hameren, Nienke van; Isabella, Olindo; Zeman, Miro

    2016-01-01

    Ion-implanted passivating contacts based on poly-crystalline silicon (polySi) are enabled by tunneling oxide, optimized, and used to fabricate interdigitated back contact (IBC) solar cells. Both n-type (phosphorous doped) and p-type (boron doped) passivating contacts are fabricated by ion-implantation of intrinsic polySi layers deposited via low-pressure chemical vapor deposition and subsequently annealed. The impact of doping profile on the passivation quality of the polySi doped contacts is studied for both polarities. It was found that an excellent surface passivation could be obtained by confining as much as possible the implanted-and-activated dopants within the polySi layers. The doping profile in the polySi was controlled by modifying the polySi thickness, the energy and dose of ion-implantation, and the temperature and time of annealing. An implied open-circuit voltage of 721 mV for n-type and 692 mV for p-type passivating contacts was achieved. Besides the high passivating quality, the developed passivating contacts exhibit reasonable high conductivity (R sh n-type  = 95 Ω/□ and R sh p-type  = 120 Ω/□). An efficiency of 19.2% (V oc  = 673 mV, J sc  = 38.0 mA/cm 2 , FF = 75.2%, and pseudo-FF = 83.2%) was achieved on a front-textured IBC solar cell with polySi passivating contacts as both back surface field and emitter. By improving the front-side passivation, a V OC of 696 mV was also measured

  17. Site of Er ions in silica layers codoped with Si nanoclusters and Er

    International Nuclear Information System (INIS)

    Pellegrino, P.; Garrido, B.; Arbiol, J.; Garcia, C.; Lebour, Y.; Morante, J.R.

    2006-01-01

    Silica layers implanted with Si and Er ions to various doses and annealed at 950 deg. C have been investigated by means of energy-filtered transmission electron microscopy (EFTEM) and high annular angle dark field (HAADF). EFTEM analysis reveals Si nanoclusters (Si-nc) with an average size around 3 nm for high Si content (15 at. %) whereas no clusters can be imaged for the lowest Si excess (5 at. %). Raman scattering supports that amorphous Si precipitates are present in all the samples. Moreover, the filtered images show that Er ions appear preferentially located outside the Si-nc. HAADF analysis confirms that the Er atoms form agglomerations of 5-10 nm size when the Er concentration exceeds 1x10 20 cm -3 . This observation correlates well with the reduction of the Er population excitable by Si nanoclusters, in the best case corresponding to 10% of the total. A suitable tuning of the annealing drastically reduces this deleterious effect

  18. Applications of ion scattering in surface analysis

    International Nuclear Information System (INIS)

    Armour, D.G.

    1981-01-01

    The study of ion scattering from surfaces has made an increasingly important contribution both to the development of highly surface specific analysis techniques and to the understanding of the atomic collision processes associated with ion bombardment of solid surfaces. From an analysis point of view, by appropriate choice of parameters such as ion energy and species, scattering geometry and target temperature, it is possible to study not only the composition of the surface layer but also the detailed atomic arrangement. The ion scattering technique is thus particularly useful for the study of surface compositional and structural changes caused by adsorption, thermal annealing or ion bombardment treatments of simple or composite materials. Ion bombardment induced desorption, damage or atomic mixing can also be effectively studied using scattering techniques. By reviewing the application of the technique to a variety of these technologically important surface investigations, it is possible to illustrate the way in which ion scattering has developed as the understanding of the underlying physics has improved. (author)

  19. Graphene-bonded and -encapsulated si nanoparticles for lithium ion battery anodes.

    Science.gov (United States)

    Wen, Yang; Zhu, Yujie; Langrock, Alex; Manivannan, Ayyakkannu; Ehrman, Sheryl H; Wang, Chunsheng

    2013-08-26

    Silicon (Si) has been considered a very promising anode material for lithium ion batteries due to its high theoretical capacity. However, high-capacity Si nanoparticles usually suffer from low electronic conductivity, large volume change, and severe aggregation problems during lithiation and delithiation. In this paper, a unique nanostructured anode with Si nanoparticles bonded and wrapped by graphene is synthesized by a one-step aerosol spraying of surface-modified Si nanoparticles and graphene oxide suspension. The functional groups on the surface of Si nanoparticles (50-100 nm) not only react with graphene oxide and bind Si nanoparticles to the graphene oxide shell, but also prevent Si nanoparticles from aggregation, thus contributing to a uniform Si suspension. A homogeneous graphene-encapsulated Si nanoparticle morphology forms during the aerosol spraying process. The open-ended graphene shell with defects allows fast electrochemical lithiation/delithiation, and the void space inside the graphene shell accompanied by its strong mechanical strength can effectively accommodate the volume expansion of Si upon lithiation. The graphene shell provides good electronic conductivity for Si nanoparticles and prevents them from aggregating during charge/discharge cycles. The functionalized Si encapsulated by graphene sample exhibits a capacity of 2250 mAh g⁻¹ (based on the total mass of graphene and Si) at 0.1C and 1000 mAh g⁻¹ at 10C, and retains 85% of its initial capacity even after 120 charge/discharge cycles. The exceptional performance of graphene-encapsulated Si anodes combined with the scalable and one-step aerosol synthesis technique makes this material very promising for lithium ion batteries. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. The influence of energetic bombardment on the structure formation of sputtered zinc oxide films. Development of an atomistic growth model and its application to tailor thin film properties

    Energy Technology Data Exchange (ETDEWEB)

    Koehl, Dominik

    2011-02-17

    The focus of this work is the investigation of the growth of zinc oxide (ZnO) thin films. It is demonstrated that with a modified, ion beam assisted sputtering (IBAS) process, zinc oxide films can be deposited which exhibit a markedly improved crystalline order. Furthermore, it is demonstrated that intense energetic oxygen ion bombardment can be utilized to change film texture from the typical (002)-self-texture to an a-axis texture where the (002)-planes are perpendicular to the substrate surface. An understanding of the underlying mechanisms is developed which also facilitates a more detailed understanding of the action of ion bombardment during zinc oxide film growth. It is shown that zinc oxide films are susceptible to the influence of ion bombardment particularly in the nucleation regime of growth and that this finding is generally true for all observed structural changes induced by ion bombardment with various species, energies and flux densities. It is demonstrated not only that the initial growth stage plays an important role in the formation of a preferred growth orientation but also that the action of texture forming mechanisms in subsequent growth stages is comparatively weak. (orig.)

  1. The influence of energetic bombardment on the structure formation of sputtered zinc oxide films. Development of an atomistic growth model and its application to tailor thin film properties

    International Nuclear Information System (INIS)

    Koehl, Dominik

    2011-01-01

    The focus of this work is the investigation of the growth of zinc oxide (ZnO) thin films. It is demonstrated that with a modified, ion beam assisted sputtering (IBAS) process, zinc oxide films can be deposited which exhibit a markedly improved crystalline order. Furthermore, it is demonstrated that intense energetic oxygen ion bombardment can be utilized to change film texture from the typical (002)-self-texture to an a-axis texture where the (002)-planes are perpendicular to the substrate surface. An understanding of the underlying mechanisms is developed which also facilitates a more detailed understanding of the action of ion bombardment during zinc oxide film growth. It is shown that zinc oxide films are susceptible to the influence of ion bombardment particularly in the nucleation regime of growth and that this finding is generally true for all observed structural changes induced by ion bombardment with various species, energies and flux densities. It is demonstrated not only that the initial growth stage plays an important role in the formation of a preferred growth orientation but also that the action of texture forming mechanisms in subsequent growth stages is comparatively weak. (orig.)

  2. Effects of synchrotron x-rays on PVD deposited and ion implanted α-Si

    International Nuclear Information System (INIS)

    Yu, K.M.; Wang, L.; Walukiewicz, W.; Muto, S.; McCormick, S.; Abelson, J.R.

    1997-01-01

    The authors have studied the effects of intense X-ray irradiation on the structure of amorphous Si films. The films were obtained by either physical vapor deposition or by implantation of high energy ions into crystalline Si. They were exposed to different total doses of synchrotron X-rays. From the EXAFS and EXELFS measurements they find that an exposure to X-rays increases the Si coordination number. Also in the PVD films a prolonged X-ray exposure enlarges, by about 2%, the Si-Si bond length. Raman spectroscopy shows that Si amorphized with high energy ions contains small residual amounts of crystalline material. Irradiation of such films with X-rays annihilates those crystallites resulting in homogeneously amorphous layer with a close to four-fold coordination of Si atoms. This rearrangement of the local structure has a pronounced effect on the crystallization process of the amorphous films. Thermal annealing of X-ray irradiated ion amorphized films leads to nearly defect free solid phase epitaxy at 500 C. Also they observe a delay in the onset of the crystallization process in X-ray irradiated PVD films. They associate this with a reduced concentration of nucleation centers in the x-ray treated materials

  3. High resistivity in InP by helium bombardment

    International Nuclear Information System (INIS)

    Focht, M.W.; Macrander, A.T.; Schwartz, B.; Feldman, L.C.

    1984-01-01

    Helium implants over a fluence range from 10 11 to 10 16 ions/cm 2 , reproducibly form high resistivity regions in both p- and n-type InP. Average resistivities of greater than 10 9 Ω cm for p-type InP and of 10 3 Ω cm for n-type InP are reported. Results are presented of a Monte Carlo simulation of helium bombardment into the compound target InP that yields the mean projected range and the range straggling

  4. Impurities-Si interstitials interaction in Si doped with B or Ga during ion irradiation

    International Nuclear Information System (INIS)

    Romano, L; Piro, A M; Grimaldi, M G; Rimini, E

    2005-01-01

    Substitutional impurities (B, Ga) in Si experienced an off-lattice displacement during ion-irradiation using a H + or He + beam at room temperature in random incidence. Samples were prepared by solid phase epitaxy (SPE) of pre-amorphized Si subsequently implanted with B and Ga at a concentration of about 1 x10 20 at.cm -3 confined in a 300 nm thick surface region. The lattice location of impurities was performed by a channelling technique along different axes ( , ) using the 11 B(p,α) 8 Be reaction and standard RBS for B and Ga, respectively. The normalized channelling yield χ of the impurity signal increases with the ion fluence, indicating a progressive off-lattice displacement of the dopant during irradiation in random incidence, until it saturates at χ F I ) generated by the impinging beam in the doped region

  5. Electrochemical characteristics of nc-Si/SiC composite for anode electrode of lithium ion batteries

    International Nuclear Information System (INIS)

    Jeon, Bup Ju; Lee, Joong Kee

    2014-01-01

    Graphical abstract: Cycling performances and coulombic efficiencies of the nc-Si/SiC composite anodes at different CH 4 /SiH 4 mole ratios. -- Highlights: • Our work has focused on irreversible discharge capacity and capacity retention of nc-Si/SiC composite particles. • Particles comprised a mixed construction of nc-Si/SiC structure with dual phases. • The SiC phase acted as retarding media, leading to enhanced cycle stability. -- Abstract: nc-Si/SiC composite particles were prepared as an anode material for lithium ion batteries using a plasma jet with DC arc discharge. The composition of the nc-Si/SiC composite particles was controlled by setting the mole ratio of CH 4 and SiH 4 precursor gases. X-ray diffraction, TEM images, and Raman shift analyses revealed that the synthesized nc-Si/SiC composite particles comprised a construction of nano-nocaled structure with crystalline phases of active silicon, highly disordered amorphous carbon of graphite and crystalline phases of β-SiC. In the experimental range examined, the nc-Si/SiC composite particles showed good coulombic efficiency in comparison with particles high Si–Si bonding content due to the interplay of particles with a small proportion of carbon and the buffering effect against volume expansion by structural stabilization, and played a role as retarding media for the rapid electrochemical reactions of the SiC crystal against lithium

  6. Electrochemical characteristics of nc-Si/SiC composite for anode electrode of lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy Resources, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do, 483-777 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Advanced Energy Materials Processing Laboratory, Center for Energy Convergence Research, Green City Technology Institute, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of)

    2014-03-25

    Graphical abstract: Cycling performances and coulombic efficiencies of the nc-Si/SiC composite anodes at different CH{sub 4}/SiH{sub 4} mole ratios. -- Highlights: • Our work has focused on irreversible discharge capacity and capacity retention of nc-Si/SiC composite particles. • Particles comprised a mixed construction of nc-Si/SiC structure with dual phases. • The SiC phase acted as retarding media, leading to enhanced cycle stability. -- Abstract: nc-Si/SiC composite particles were prepared as an anode material for lithium ion batteries using a plasma jet with DC arc discharge. The composition of the nc-Si/SiC composite particles was controlled by setting the mole ratio of CH{sub 4} and SiH{sub 4} precursor gases. X-ray diffraction, TEM images, and Raman shift analyses revealed that the synthesized nc-Si/SiC composite particles comprised a construction of nano-nocaled structure with crystalline phases of active silicon, highly disordered amorphous carbon of graphite and crystalline phases of β-SiC. In the experimental range examined, the nc-Si/SiC composite particles showed good coulombic efficiency in comparison with particles high Si–Si bonding content due to the interplay of particles with a small proportion of carbon and the buffering effect against volume expansion by structural stabilization, and played a role as retarding media for the rapid electrochemical reactions of the SiC crystal against lithium.

  7. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  8. Comparison between thermal annealing and ion mixing of alloyed Ni-W films on Si. I

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between Ni-W alloys and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by sputtering of Ni-W alloys, both Ni-rich and W-rich, onto the Si substrates, and followed by either furnace annealing (200--900 0 C) or ion mixing (2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). In general, thermal annealing and ion mixing lead to similar reactions. Phase separation between Ni and W with Ni silicides formed next to the Si substrate and W silicide formed on the surface was observed for both Ni-rich and W-rich samples under thermal annealing. Phase separation was also observed for Ni-rich samples under ion mixing; however, a Ni-W-Si ternary compound was possibly formed for ion-mixed W-rich samples. These reactions were rationalized in terms of the mobilities of various atoms and the energetics of the systems

  9. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  10. Ion implantation effects in single crystal Si investigated by Raman spectroscopy

    International Nuclear Information System (INIS)

    Harriman, T.A.; Lucca, D.A.; Lee, J.-K.; Klopfstein, M.J.; Herrmann, K.; Nastasi, M.

    2009-01-01

    A study of the effects of Ar ion implantation on the structural transformation of single crystal Si investigated by confocal Raman spectroscopy is presented. Implantation was performed at 77 K using 150 keV Ar ++ with fluences ranging from 2 x 10 13 to 1 x 10 15 ions/cm 2 . The Raman spectra showed a progression from crystalline to highly disordered structure with increasing fluence. The 520 cm -1 c-Si peak was seen to decrease in intensity, broaden and exhibit spectral shifts indicating an increase in lattice disorder and changes in the residual stress state. In addition, an amorphous Si band first appeared as a shoulder on the 520 cm -1 peak and then shifted to lower wavenumbers as a single broadband peak with a spectral center of 465 cm -1 . Additionally, the emergence of the a-Si TA phonon band and the decrease of the c-Si 2TA and 2TO phonon bands also indicated the same structural transition from crystalline to highly disordered. The Raman results were compared to those obtained by channeling RBS.

  11. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  12. Surface damage on 6H–SiC by highly-charged Xeq+ ions irradiation

    International Nuclear Information System (INIS)

    Zhang, L.Q.; Zhang, C.H.; Han, L.H.; Xu, C.L.; Li, J.J.; Yang, Y.T.; Song, Y.; Gou, J.; Li, J.Y.; Ma, Y.Z.

    2014-01-01

    Surface damage on 6H–SiC irradiated by highly-charged Xe q+ (q = 18, 26) ions to different fluences in two geometries was studied by means of AFM, Raman scattering spectroscopy and FTIR spectrometry. The FTIR spectra analysis shows that for Xe 26+ ions irradiation at normal incidence, a deep reflection dip appears at about 930 cm −1 . Moreover, the reflectance on top of reststrahlen band decreases as the ion fluence increases, and the reflectance at tilted incidence is larger than that at normal incidence. The Raman scattering spectra reveal that for Xe 26+ ions at normal incidence, surface reconstruction occurs and amorphous stoichiometric SiC and Si–Si and C–C bonds are generated and original Si–C vibrational mode disappears. And the intensity of scattering peaks decreases with increasing dose. The AFM measurement shows that the surface swells after irradiation. With increasing ion fluence, the step height between the irradiated and the unirradiated region increases for Xe 18+ ions irradiation; while for Xe 26+ ions irradiation, the step height first increases and then decreases with increasing ion fluence. Moreover, the step height at normal incidence is higher than that at tilted incidence by the irradiation with Xe 18+ to the same ion fluence. A good agreement between the results from the three methods is found

  13. Si@SiOx/Graphene nanosheet anode materials for lithium-ion batteries synthesized by ball milling process

    Science.gov (United States)

    Tie, Xiaoyong; Han, Qianyan; Liang, Chunyan; Li, Bo; Zai, Jiantao; Qian, Xuefeng

    2017-12-01

    Si@SiOx/Graphene nanosheet (GNS) nanocomposites as high performance anode materials for lithium-ion batteries are synthesized by mechanically blending the mixture of expanded graphite with Si nanoparticles, and characterized by X-ray diffraction, Raman spectrum, field emission scanning electron microscopy and transmission electron microscopy. During the ball milling process, the size of Si nanoparticles will decrease, and the layer of expanded graphite can be peeled off to thin multilayers. Electrochemical performances reveal that the obtained Si@SiOx/GNS nanocomposites exhibit improved cycling stability, high reversible lithium storage capacity and superior rate capability, e.g. the discharge capacity is kept as high as 1055 mAh g-1 within 50 cycles at a current density of 200 mA g-1, retaining 63.6% of the initial value. The high performance of the obtained nanocomposites can be ascribed to GNS prepared through heat-treat and ball-milling methods, the decrease in the size of Si nanoparticles and SiOx layer on Si surface, which enhance the interactions between Si and GNS.

  14. The "1"2C("1"6O,γ"2"8Si) radiative capture reaction at sub-barrier energies

    International Nuclear Information System (INIS)

    Goasduff, A.; Courtin, S.; Haas, F.; Lebhertz, D.; Jenkins, D.G.; Fallis, J.; Ruiz, C.; Hutcheon, D.A.; Amandruz, P.A.; Davis, C.; Hager, U.; Ottewell, D.; Ruprecht, G.

    2014-01-01

    The heavy-ion radiative capture "1"2C("1"6O,γ"2"8Si) was measured at the sub-Coulomb barrier bombarding energy E(lab) = 15.7 MeV, which corresponds to the lowest important resonance observed in the "1"2C + "1"6O fusion excitation function. Thanks to combination of the bismuth germanate (BGO) γ-ray array and the 0 degree DRAGON electromagnetic spectrometer at TRIUMF, the γ-decay spectrum from the entrance channel down to the ground state of "2"8Si was measured. Comparisons of the experimental spectrum to γ spectrum extracted from Monte-Carlo simulations of the complete setup suggest a J"π = 2"+ spin-parity assignment to the entrance channel and yield the radiative capture cross section σ(RC) = 0.22 ± 0.04 μb. Combining this present spin assignment with previous data on radiative capture, a J (J + 1) systematics was constructed, and it indicated a moment of inertia commensurate with the "1"2C+"1"6O grazing angular momentum. Strong dipole transitions are observed from the entrance channel to T = 1 states around 11.5 MeV and are found to result from enhanced M1_I_V transitions to states exhausting a large part of the M1 sum rule built on the ground state of "2"8Si. This specific decay was also reported at bombarding energies close to the Coulomb barrier in our previous study of the "1"2C("1"2C,γ"2"4Mg) heavy-ion radiative capture reaction. Similarities between both systems are investigated. (authors)

  15. Mechanical properties of silicon oxynitride thin films prepared by low energy ion beam assisted deposition

    International Nuclear Information System (INIS)

    Shima, Yukari; Hasuyama, Hiroki; Kondoh, Toshiharu; Imaoka, Yasuo; Watari, Takanori; Baba, Koumei; Hatada, Ruriko

    1999-01-01

    Silicon oxynitride (SiO x N y ) films (0.1-0.7 μm) were produced on Si (1 0 0), glass and 316L stainless steel substrates by ion beam assisted deposition (IBAD) using Si evaporation and the concurrent bombardment with a mixture of 200 eV N 2 and Ar, or O 2 and Ar ions. Adhesion was evaluated by pull-off tests. Film hardness was measured by a nanoindentation system with AFM. The measurement of internal stress in the films was carried out by the Stoney method. The film structure was examined by GXRD. XPS was employed to measure the composition of films and to analyze the chemical bonds. The dependence of mechanical properties on the film thickness and the processing temperature during deposition was studied. Finally, the relations between the mechanical properties of the films and the correlation with corrosion-protection ability of films are discussed and summarized

  16. Carbon contaminant in the ion processing of aluminum oxide film

    International Nuclear Information System (INIS)

    Chaug, Y.; Roy, N.

    1989-01-01

    Ion processing can induce contamination on the bombarded surface. However, this process is essential for the microelectronics device fabrication. Auger electron spectroscopy has been used to study the simultaneous deposition of carbon impurity during ion bombardment of magnetron rf-sputtering deposited aluminum oxide film. Ion bombardment on aluminum oxide results in a preferential removal of surface oxygen and a formation of a metastable state of aluminum suboxide. Cosputtered implanted carbon contaminant appears to have formed a new state of stoichiometry on the surface of the ion bombarded aluminum oxide and existed as an aluminum carbide. This phase has formed due to the interaction of the implanted carbon and the aluminum suboxide. The Ar + ion sputter etching rate is reduced for the carbon contaminated oxide. The electrical resistance of the aluminum oxide between two gold strips has been measured. It is found that the electrical resistance is also reduced due to the formation of the new stoichiometry on the surface

  17. Deterministic Role of Collision Cascade Density in Radiation Defect Dynamics in Si

    Science.gov (United States)

    Wallace, J. B.; Aji, L. B. Bayu; Shao, L.; Kucheyev, S. O.

    2018-05-01

    The formation of stable radiation damage in solids often proceeds via complex dynamic annealing (DA) processes, involving point defect migration and interaction. The dependence of DA on irradiation conditions remains poorly understood even for Si. Here, we use a pulsed ion beam method to study defect interaction dynamics in Si bombarded in the temperature range from ˜-30 ° C to 210 °C with ions in a wide range of masses, from Ne to Xe, creating collision cascades with different densities. We demonstrate that the complexity of the influence of irradiation conditions on defect dynamics can be reduced to a deterministic effect of a single parameter, the average cascade density, calculated by taking into account the fractal nature of collision cascades. For each ion species, the DA rate exhibits two well-defined Arrhenius regions where different DA mechanisms dominate. These two regions intersect at a critical temperature, which depends linearly on the cascade density. The low-temperature DA regime is characterized by an activation energy of ˜0.1 eV , independent of the cascade density. The high-temperature regime, however, exhibits a change in the dominant DA process for cascade densities above ˜0.04 at.%, evidenced by an increase in the activation energy. These results clearly demonstrate a crucial role of the collision cascade density and can be used to predict radiation defect dynamics in Si.

  18. MD simulation of atomic displacements in metals and metallic bilayers under low energy ion bombardment at 300 K

    International Nuclear Information System (INIS)

    Kornich, G.V.; Betz, G.; Bazhin, A.I.

    1999-01-01

    MD simulations of 100 eV Ar ion bombardment of (1 0 0) Ni and Al as well as Al/Ni bilayer crystals at 300 K have been performed and compared to previous calculations at 0 K. The Al/Ni bilayer crystal consisted of one Al layer on a (1 0 0) Ni substrate. Sputtering yields for Ni and Al/Ni show no temperature dependence, while for Al a pronounced increase with temperature was observed. The contributions of different mechanisms to the production of surface and bulk defects are discussed. The mean square displacement (MSD) of atoms is in all cases larger at 300 K as compared to 0 K. The larger MSD at 300 K is mainly due to an increase in lateral (perpendicular to the ion beam) motion of displaced atoms. Similar the number of atomic jumps, in which an atom leaves its original Wigner-Seitz cell, increases in all cases with temperature. For the pure elements the production of bulk vacancies and interstitials decreases with temperature, but the number of surface vacancies and ad-atoms increases with temperature. For the bilayer system practically no temperature dependence for defects was observed

  19. Studies on the bombardment of condensed molecular gases at liquid-He temperatures by keV electrons and light ions

    International Nuclear Information System (INIS)

    Boergesen, P.

    1982-09-01

    Films of solid H 2 , D 2 and N 2 were irradiated with keV electrons and ions. Stopping cross sections and ranges of 0.3-10 keV/amu light ions in solid H 2 and D 2 are in good agreement with experimental and theoretical data on gaseous targets. In contrast, both stopping cross section and range measurements in solid N 2 suggest that the stopping here is only about half of that in N 2 -gas. This ''phase-effect'' is further supported by secondary emission measurements. Secondary electron emission coefficients for 2-10 keV H 1 + , H 2 + , H 3 + , D 3 + , D 2 H + , 4 He + , 14 N + and 20 Ne + incident on solids H 2 , D 2 and N 2 are in reasonable agreement with previous results for electron-incidence. The rather large erosion yields for 1-3 keV electrons incident on solid D 2 depend strongly on target thickness (for thin films), but weakly on energy. Bulk yields for 2 keV electrons were approximately 8 H 2 /electron, approximately 4 D 2 /electron and approximately 0.5 N 2 /electron. Secondary ion emission during ion bombardment seems to be predominantly reflected projectiles in the case of N 2 -targets, while it may be explained as sputtered particles from H 2 - and D 2 -targets. Preliminary results on the erosion of solid H 2 and D 2 by keV light ions indicate very large erosion yields (approx. 400 H 2 /atom for 2 keV protons) increasing strongly with energy. (Auth.)

  20. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  1. Enhanced defects recombination in ion irradiated SiC

    International Nuclear Information System (INIS)

    Izzo, G.; Litrico, G.; Grassia, F.; Calcagno, L.; Foti, G.

    2010-01-01

    Point defects induced in SiC by ion irradiation show a recombination at temperatures as low as 320 K and this process is enhanced after running current density ranging from 80 to 120 A/cm 2 . Ion irradiation induces in SiC the formation of different defect levels and low-temperature annealing changes their concentration. Some levels (S 0 , S x and S 2 ) show a recombination and simultaneously a new level (S 1 ) is formed. An enhanced recombination of defects is besides observed after running current in the diode at room temperature. The carriers introduction reduces the S 2 trap concentration, while the remaining levels are not modified. The recombination is negligible up to a current density of 50 A/cm 2 and increases at higher current density. The enhanced recombination of the S 2 trap occurs at 300 K, which otherwise requires a 400 K annealing temperature. The process can be related to the electron-hole recombination at the associated defect.

  2. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  3. Unravelling the secrets of Cs controlled secondary ion formation: Evidence of the dominance of site specific surface chemistry, alloying and ionic bonding

    Science.gov (United States)

    Wittmaack, Klaus

    2013-03-01

    finding implies that sub-monolayer quantities of Cs adatoms grow at the surface of Cs bombarded samples. The process has been studied in-situ by medium-energy ion scattering spectrometry. The stationary Cs coverage, NCs, is controlled by the efficiency of active transport of implanted atoms to the surface, the bulk retention properties of the sample and the cross section for sputtering of adatoms. Unearthing immobile implanted Cs atoms by sputter erosion usually provides only a minor contribution to the stationary coverage. Cs adatoms are mobile; the time required for final adatom rearrangement may be on the order of minutes at room temperature. Exposure of Cs bombarded samples to oxygen gives rise to oxidation of the substrate as well as to the formation of oxide layers of complex composition. Intercalation should be taken into account as a possible route of alkali transport into analysed samples. An important aspect ignored in prior work is that the alkali coverage required to produce a certain WF change is five to seven times higher if Li is deposited instead of Cs. Studies involving the use of Li thus provide no advantage compared to Cs. Furthermore, migration of the tiny Li atoms into the sample and metallisation effects aggrevate data interpretation. Literature data for ΔΦ (NCs), measured using Cs vapour deposition, can be converted to calibration curves, NCs (ΔΦ), for calculating the coverage established in implantation studies, a method referred to as ΔΦ→NCs conversion. This concept may be carried even further, as shown convincingly for silicon, the material examined most frequently in basic SIMS studies: Si- ion fractions, P(Si-), derived from yields measured under vastly different conditions of Cs supply, exhibit essentially the same ΔΦ dependence. Inverting the data one can produce calibration functions for ΔΦ versus P(Si-), denoted P(Si-)→ΔΦ, or, more generally, P(M-)→ΔΦ conversion. On this basis, transient yields measured during Cs

  4. Fusion evaporation-residue cross sections for 28Si+40Ca at E(28Si)=309, 397, and 452 MeV

    International Nuclear Information System (INIS)

    Vineyard, M.F.; Bauer, J.S.; Crum, J.F.; Gosdin, C.H.; Trotter, R.S.; Kovar, D.G.; Beck, C.; Henderson, D.J.; Janssens, R.V.F.; Wilkins, B.D.; Maguire, C.F.; Mateja, J.F.; Prosser, F.W.; Stephans, G.S.F.

    1992-01-01

    Velocity distributions of mass-identified evaporation residues produced in the 28 Si+ 40 Ca reaction have been measured at bombarding energies of 309, 397, and 452 MeV using time-of-flight techniques. These distributions were used to identify evaporation residues and to separate the complete-fusion and incomplete-fusion components. Angular distributions and upper limits for the total evaporation-residue and complete-fusion evaporation-residue cross sections were extracted at all three bombarding energies. The complete-fusion evaporation-residue cross sections and the deduced critical angular momenta are compared with earlier measurements and the predictions of existing models. The ratios of the complete-fusion evaporation-residue cross section to the total evaporation-residue cross section, along with those measured for the 28 Si+ 12 C and 28 Si+ 28 Si systems at the same energies, support the entrance-channel mass-asymmetry dependence of the incomplete-fusion evaporation-residue process reported earlier

  5. Modification of graphene by ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Bukowska, Hanna; Akcoeltekin, Sevilay; El Kharrazi, Mourad; Schleberger, Marika [Universitaet Duisburg-Essen, Fakultaet fuer Physik, Duisburg (Germany); Osmani, Orkhan [Universitaet Duisburg-Essen, Fakultaet fuer Physik, Duisburg (Germany); Technische Universitaet Kaiserslautern, Fachbereich Physik, Gottlieb-Daimler-Strasse, Gebaeude 47, 67663 Kaiserslautern (Germany)

    2010-07-01

    Ion irradiation can be used to modify surfaces on the nanometer scale. We investigate graphene on different insulator (SrTiO{sub 3}, TiO{sub 2}, and Al{sub 2}O{sub 3}) and semiconductor (SiO{sub 2}) substrates. The bombardment of those target surfaces with swift heavy ions under grazing angle of incidence creates chains of nanodots on the substrate and folds graphene to typical origami-like structures. The shape of the folded graphene seems to depend on the length of the tracks. The length can be controlled by the angle of incidence. From the analysis of atomic force microscopy measurements, we classify the different types of modifications, with the aim to determine the relationship between chain length and origami shape. Further more we want to develop a theoretical understanding of the physical processes leading to the folding.

  6. Electrochemical performance of DVB-modified SiOC and SiCN polymer-derived negative electrodes for lithium-ion batteries

    International Nuclear Information System (INIS)

    Liu, Guanwei; Kaspar, Jan; Reinold, Lukas Mirko; Graczyk-Zajac, Magdalena; Riedel, Ralf

    2013-01-01

    Highlights: • Polymer-derived SiCN and SiOC ceramics are studied as anode for Li-ion batteries. • Ceramic precursors are modified in order to increase the carbon content. • Ceramic matrix stabilizes free carbon phase. • Stabilizing role is lost once the amount of carbon exceeds a threshold value. -- Abstract: Chemical modification of commercially available polyorganosilazane (HTT1800) and polyorganosiloxane (Polyramic RD-684a) with divinylbenzene (DVB) is accomplished via hydrosilylation reaction. The incorporation of DVB leads to an increase of the free carbon amount after pyrolysis within the corresponding SiCN and SiOC ceramics. The modification is carried out with lower, equal and higher stoichiometric ratios of the Si-H to C=C groups present in the Si-based polymer and DVB. FTIR results indicate a complete consumption of the Si-H bonds in the case of the stoichiometric amount of DVB and polymer RD-684a, while for HTT1800 neither the stoichiometric ratio nor DVB excess leads to a complete consumption of the Si-H groups. For both SiCN and SiOC ceramics the carbon content is found to increase with the amount of DVB. However, the most significant increase in free carbon content is registered for SiCN samples, namely of ca. 40%. The carbon content changed from 9.9 wt.% in the pure HTT1800-derived material up to 49.3 wt.% for the SiCN ceramic obtained with the highest amount of DVB addition. Accordingly, Li-ion storage and therefore charge storage capacity are simultaneously increased, for the first cycle from 136 to 574 mAh g −1 , while columbic efficiency is raised by 10% up to 60.4%

  7. A pulse synthesis of beta-FeSi sub 2 layers on silicon implanted with Fe sup + ions

    CERN Document Server

    Batalov, R I; Terukov, E I; Kudoyarova, V K; Weiser, G; Kuehne, H

    2001-01-01

    The synthesis of thin beta-FeSi sub 2 films was performed by means of the Fe sup + ion implantation into Si (100) and the following nanosecond pulsed ion treatment of implanted layer. Using the beta-FeSi sub 2 beta-FeSi sub 2 e X-ray diffraction it is shown that the pulsed ion treatment results in the generation of the mixture of two phases: FeSi and beta-FeSi sub 2 with stressed crystal lattices. The following short-time annealing leads to the total transformation of the FeSi phase into the beta-FeSi sub 2 one. The Raman scattering data prove the generation of the beta-FeSi sub 2 at the high degree of the silicon crystallinity. The experimental results of the optical absorption testify to the formation of beta-FeSi sub 2 layers and precipitates with the straight-band structure. The photoluminescence signal at lambda approx = 1.56 mu m observes up to 210 K

  8. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  9. Review of heavy ion reaction mechanisms

    International Nuclear Information System (INIS)

    Ngo, C.

    1986-04-01

    We review some of the many aspects of heavy-ion reaction mechanisms observed at bombarding energies smaller than approximately 50 MeV/u that is to say in what is called the low bombarding energy domain and the intermediate bombarding energy domain. We emphasize the results concerning the use of very heavy projectiles which has led to the observation of new mechanisms

  10. Molecular depth profiling of multi-layer systems with cluster ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Juan [Department of Chemistry, Penn State University, University Park, PA 16802 (United States); Winograd, Nicholas [Department of Chemistry, Penn State University, University Park, PA 16802 (United States)]. E-mail: nxw@psu.edu

    2006-07-30

    Cluster bombardment of molecular films has created new opportunities for SIMS research. To more quantitatively examine the interaction of cluster beams with organic materials, we have developed a reproducible platform consisting of a well-defined sugar film (trehalose) doped with peptides. Molecular depth profiles have been acquired with these systems using C{sub 60} {sup +} bombardment. In this study, we utilize this platform to determine the feasibility of examining buried interfaces for multi-layer systems. Using C{sub 60} {sup +} at 20 keV, several systems have been tested including Al/trehalose/Si, Al/trehalose/Al/Si, Ag/trehalose/Si and ice/trehalose/Si. The results show that there can be interactions between the layers during the bombardment process that prevent a simple interpretation of the depth profile. We find so far that the best results are obtained when the mass of the overlayer atoms is less than or nearly equal to the mass of the atoms in buried molecules. In general, these observations suggest that C{sub 60} {sup +} bombardment can be successfully applied to interface characterization of multi-layer systems if the systems are carefully chosen.

  11. Influence of residual Ar+ in Ar cluster ion beam for DLC film formation

    International Nuclear Information System (INIS)

    Kitagawa, Teruyuki; Miyauchi, Kazuya; Toyoda, Noriaki; Kanda, Kazuhiro; Ikeda, Tokumi; Tsubakino, Harushige; Matsuo, Jiro; Matsui, Shinji; Yamada, Isao

    2003-01-01

    In order to study the influences of residual Ar monomer ion (Ar + ) on sp 2 content and hardness of diamond like carbon (DLC) films formed by Ar cluster ion beam assisted deposition, Ar cluster ion, Ar + and their mixed ions (Ar cluster ion and Ar + ) bombardments were performed during evaporation of C 60 . From near edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopy measurements, lower sp 2 content in the carbon films was obtained with Ar cluster ion bombardment than that with Ar + and mixed ion. Furthermore higher hardness and smooth surface were shown with Ar cluster ion bombardments. Therefore it was important to reduce Ar + in Ar cluster ion beams to obtain hard DLC films with flat surface

  12. Ion-channeling analysis of As relocation in heavily doped Si:As irradiated with high-energy ions

    International Nuclear Information System (INIS)

    Lulli, G.; Albertazzi, E.; Bianconi, M.; Ferri, M.

    2003-01-01

    Silicon on insulator layers doped with 8x10 20 As cm -3 and thermally equilibrated at 1100 deg. C, have been irradiated with 2 MeV Si + ions. Rutherford backscattering-channeling analysis shows an increase in As disorder upon irradiation significantly larger than the increase in Si disorder, while electrical measurements show a large decrease in electrical activation. Monte Carlo simulation of channeling angular scans suggests that the enhanced As disorder effect is due to the preferential relocation of dopant atoms slightly displaced from lattice sites, which appear the main reason responsible for the electrical deactivation in the unirradiated sample and are believed to be in the form of As-vacancy clusters. Upon 600 deg. C 15 s annealing, the As atoms randomly relocated by ion irradiation almost completely recover their original configuration, probably capturing vacancies and forming, again, the complexes dissociated by ion irradiation

  13. Transformation of sludge Si to nano-Si/SiOx structure by oxygen inward diffusion as precursor for high performance anodes in lithium ion batteries

    Science.gov (United States)

    Hua, Qiqi; Dai, Dongyang; Zhang, Chengzhi; Han, Fei; Lv, Tiezheng; Li, Xiaoshan; Wang, Shijie; Zhu, Rui; Liao, Haojie; Zhang, Shiguo

    2018-05-01

    Although several Si/C composite structures have been proposed for high-performance lithium-ion batteries (LIBs), they have still suffered from expensive and complex processes of nano-Si production. Herein, a simple, controllable oxygen inward diffusion was utilized to transform Si sludge obtained from the photovoltaic (PV) industry into the nano-Si/SiOx structure as a result of the high diffusion efficiency of O inside Si and high surface area of the sludge. After further process, a yolk/shell Si/C structure was obtained as an anode material for LIBs. This composite demonstrated an excellent cycling stability, with a high reversible capacity (˜ 1250 mAh/g for 500 cycles), by void space originally left by the SiOx accommodate inner Si expansion. We believe this is a rather simple way to convert the waste Si into a valuable nano-Si for LIB applications.

  14. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  15. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  16. Angular distributions of particles sputtered from polycrystalline platinum by low-energy ions

    International Nuclear Information System (INIS)

    Chernysh, V.S.; Eckstein, W.; Haidarov, A.A.; Kulikauskas, V.S.; Mashkova, E.S.; Molchanov, V.A.

    2000-01-01

    The results of an experimental study and a computer simulation with the TRIM.SP code of the angular distributions of atoms sputtered from polycrystalline platinum under 3-9 keV Ne + bombardment at normal ion incidence are presented. It was found that angular distributions of sputtered atoms are overcosine and that their shape is practically independent of an ion energy. Comparison with the previously obtained data for He + and Ar + ions have shown that the shape of the angular distribution does not depend on the bombarding ion species. Good agreement between experimental results and computer simulation data was found. Computer simulations of the partial angular distributions of Pt atoms ejected due to various sputtering mechanisms for Ne ion bombardment were performed and the comparison with corresponding data for He and Ar bombarding was made. The role of different mechanisms in the formation of angular distributions of sputtered atoms has been analyzed

  17. Heavy ion induced DNA transfer in biological cells

    International Nuclear Information System (INIS)

    Vilaithong, T.; Yu, L.D.; Apavatjrut, P.; Phanchaisri, B.; Sangyuenyongpipat, S.; Anuntalabhochai, S.; Brown, I.G.

    2004-01-01

    Low-energy ion beam bombardment of biological materials for genetic modification purposes has experienced rapid growth in the last decade, particularly for the direct DNA transfer into living organisms including both plants and bacteria. Attempts have been made to understand the mechanisms involved in ion-bombardment-induced direct gene transfer into biological cells. Here we summarize the present status of the application of low-energy ions for genetic modification of living sample materials

  18. Distributions of neutron yields and doses around a water phantom bombarded with 290-MeV/nucleon and 430-MeV/nucleon carbon ions

    Energy Technology Data Exchange (ETDEWEB)

    Satoh, D., E-mail: satoh.daiki@jaea.go.jp [Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Kajimoto, T. [Hiroshima University, Kagamiyama, Higashi-Hiroshima-shi, Hiroshima 739-8527 (Japan); Shigyo, N.; Itashiki, Y.; Imabayashi, Y. [Kyushu University, Motooka, Nishi-ku, Fukuoka 819-0395 (Japan); Koba, Y.; Matsufuji, N. [National Institute of Radiological Sciences, Anagawa, Inage-ku, Chiba 263-8555 (Japan); Sanami, T. [High Energy Accelerator Research Organization, Oho-cho, Tsukuba-shi, Ibaraki 305-0801 (Japan); Nakao, N. [Shimizu Corporation, Etchujima, Koto-ku, Tokyo 135-8530 (Japan); Uozumi, Y. [Kyushu University, Motooka, Nishi-ku, Fukuoka 819-0395 (Japan)

    2016-11-15

    Double-differential neutron yields from a water phantom bombarded with 290-MeV/nucleon and 430-MeV/nucleon carbon ions were measured at emission angles of 15°, 30°, 45°, 60°, 75°, and 90°, and angular distributions of neutron yields and doses around the phantom were obtained. The experimental data were compared with results of the Monte-Carlo simulation code PHITS. The PHITS results showed good agreement with the measured data. On the basis of the PHITS simulation, we estimated the angular distributions of neutron yields and doses from 0° to 180° including thermal neutrons.

  19. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  20. Microstructure of RERTR DU-alloys irradiated with krypton ions up to 100 dpa

    Science.gov (United States)

    Gan, J.; Keiser, D. D., Jr.; Miller, B. D.; Wachs, D. M.; Allen, T. R.; Kirk, M.; Rest, J.

    2011-04-01

    The radiation stability of the interaction product formed at the fuel-matrix interface of research reactor dispersion fuels, under fission-product bombardment, has a strong impact on fuel performance. Three depleted uranium alloys were cast that consisted of the following five phases to be investigated: U(Si, Al) 3, (U, Mo)(Si, Al) 3, UMo 2Al 20, U 6Mo 4Al 43, and UAl 4. Irradiation of transmission electron microscopy (TEM) disc samples with 500-keV Kr ions at 200 °C to doses up to ˜100 displacements per atom (dpa) were conducted using a 300-keV electron microscope equipped with an ion accelerator. TEM results show that the U(Si, Al) 3 and UAl 4 phases remain crystalline at 100 dpa without forming voids. The (U, Mo)(Si, Al) 3 and UMo 2Al 20 phases become amorphous at 1 and ˜2 dpa, respectively, and show no evidence of voids at 100 dpa. The U 6Mo 4Al 43 phase goes to amorphous at less than 1 dpa and reveals high density voids at 100 dpa.

  1. Enhancing optical gains in Si nanocrystals via hydrogenation and cerium ion doping

    International Nuclear Information System (INIS)

    Wang, Dong-Chen; Li, Yan-Li; Song, Sheng-Chi; Guo, Wen-Ping; Lu, Ming; Chen, Jia-Rong

    2014-01-01

    We report optical gain enhancements in Si nanocrystals (Si-NCs) via hydrogenation and Ce 3+ ion doping. Variable stripe length technique was used to obtain gains. At 0.3 W/cm 2 pumping power density of pulsed laser, net gains were observed together with gain enhancements after hydrogenation and/or Ce 3+ ion doping; gains after loss corrections were between 89.52 and 341.95 cm −1 ; and the photoluminescence (PL) lifetime was found to decrease with the increasing gain enhancement. At 0.04 W/cm 2 power density, however, no net gain was found and the PL lifetime increased with the increasing PL enhancement. The results were discussed according to stimulated and spontaneous excitation and de-excitation mechanisms of Si-NCs.

  2. The effects of synchrotron x-rays on the local structure and the recrystallization of ion-damaged Si

    Energy Technology Data Exchange (ETDEWEB)

    Kin Man Yu; Lei Wang; Walukiewicz, W. [Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    Ion implantation is used extensively as a routine, controllable and reproducible doping technique for semiconductor processing. In Si, the amorphization by ion beams as well as the subsequent thermally induced solid phase epitaxy (SPE) and the electrical activation of the implanted dopants have been studied extensively. It has been well established that the SPE of amorphous Si ({alpha}-Si) layers created by implantation is initiated by thermal annealing at temperatures {ge} 400{degrees}C and proceeds in a planar fashion. The kinetics of the SPE process was found to follow an Arrhenius equation with an activation energy of 2.7eV. Various models have been proposed to explain the SPE process. In most cases, the mechanism leading to SPE is expected to involve the formation and/or motion of defects at or near the amorphous/crystalline interface. In this work the authors explore the effects of an intense x-ray beam generated by a synchrotron source on the SPE process of ion amorphized Si layers. A layer of amorphous Si was created near the surface of a single crystal Si to a depth of {approximately}2000{Angstrom} by 180keV Zn ion implantation. The sample was then irradiated at beam line 10-3 (microprobe beamline) at the Advance Light Source (ALS) for 5-16 hours. For 5-16 hours irradiation, the total photons absorbed by the {alpha}-Si film was {approximately}0.3-1 photon/Si atom (for 4keV photons). The authors find that in ion amorphized Si layers, although the ion dose is well above the amorphization threshold, small crystallites are still present. The absorption of x-ray by the Si atoms provides enough energy to disperse the small crystallites in the amorphous Si, reducing the number of interfacial defects as well as locally re-arrange the atoms to form a homogeneously amorphous layer with close to four-fold coordinated environment. This rearrangement in local structure of the {alpha}-Si results in nearly a defect-free crystal after SPE.

  3. Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2016-01-15

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C{sub 4}F{sub 8} ALE based on steady-state Ar plasma in conjunction with periodic, precise C{sub 4}F{sub 8} injection and synchronized plasma-based low energy Ar{sup +} ion bombardment has been established for SiO{sub 2} [Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014)]. In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF{sub 3} as a precursor is examined and compared to C{sub 4}F{sub 8}. CHF{sub 3} is shown to enable selective SiO{sub 2}/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and x-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. Plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.

  4. Ion beam modification of biological materials in nanoscale

    Science.gov (United States)

    Yu, L. D.; Anuntalabhochai, S.

    2012-07-01

    Ion interaction with biological objects in nanoscale is a novel research area stemming from applications of low-energy ion beams in biotechnology and biomedicine. Although the ion beam applications in biotechnology and biomedicine have achieved great successes, many mechanisms remain unclear and many new applications are to be explored. We have carried out some research on exploring the mechanisms and new applications besides attaining ion beam induction of mutation breeding and gene transformation. In the studies on the mechanisms, we focused our investigations on the direct interaction in nanoscale between ions and biological living materials. Our research topics have included the low-energy ion range in DNA, low-energy ion or neutral beam bombardment effect on DNA topological form change and mutation, low-energy ion or neutral beam bombardment effect on the cell envelope and gene transformation, and molecular dynamics simulation of ultra-low-energy ion irradiation of DNA. In the exploration of new applications, we have started experiments on ion irradiation or bombardment, in the nanoscaled depth or area, of human cells for biomedical research. This paper introduces our experiments and reports interesting results.

  5. Ion beam modification of biological materials in nanoscale

    International Nuclear Information System (INIS)

    Yu, L.D.; Anuntalabhochai, S.

    2012-01-01

    Ion interaction with biological objects in nanoscale is a novel research area stemming from applications of low-energy ion beams in biotechnology and biomedicine. Although the ion beam applications in biotechnology and biomedicine have achieved great successes, many mechanisms remain unclear and many new applications are to be explored. We have carried out some research on exploring the mechanisms and new applications besides attaining ion beam induction of mutation breeding and gene transformation. In the studies on the mechanisms, we focused our investigations on the direct interaction in nanoscale between ions and biological living materials. Our research topics have included the low-energy ion range in DNA, low-energy ion or neutral beam bombardment effect on DNA topological form change and mutation, low-energy ion or neutral beam bombardment effect on the cell envelope and gene transformation, and molecular dynamics simulation of ultra-low-energy ion irradiation of DNA. In the exploration of new applications, we have started experiments on ion irradiation or bombardment, in the nanoscaled depth or area, of human cells for biomedical research. This paper introduces our experiments and reports interesting results.

  6. Hydrogen and oxygen behaviors on Porous-Si surfaces observed using a scanning ESD ion microscope

    International Nuclear Information System (INIS)

    Itoh, Yuki; Ueda, Kazuyuki

    2004-01-01

    A scanning electron-stimulated desorption (ESD) ion microscope (SESDIM) measured the 2-D images of hydrogen and oxygen distribution on solid surfaces. A primary electron beam at 600 eV, with a pulse width of 220 ns, resulted in ion yields of H + and O + . This SESDIM is applied to the surface analysis of Porous-Si (Po-Si) partially covered with SiN films. During the heating of a specimen of the Po-Si at 800 deg. C under ultra-high-vacuum (UHV) conditions, the components of the surface materials were moved or diffused by thermal decomposition accompanied by a redistribution of hydrogen and oxygen. After cyclic heating of above 800 deg. C, the dynamic behaviors of H + and O + accompanied by the movements of the SiN layers were observed as images of H + and O + . This was because the H + and O + ions have been identified as composite materials by their kinetic energies

  7. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  8. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  9. Multiscale Engineered Si/SiO x Nanocomposite Electrodes for Lithium-Ion Batteries Using Layer-by-Layer Spray Deposition.

    Science.gov (United States)

    Huang, Chun; Kim, Ayoung; Chung, Dong Jae; Park, Eunjun; Young, Neil P; Jurkschat, Kerstin; Kim, Hansu; Grant, Patrick S

    2018-05-09

    Si-based high-capacity materials have gained much attention as an alternative to graphite in Li-ion battery anodes. Although Si additions to graphite anodes are now commercialized, the fraction of Si that can be usefully exploited is restricted due to its poor cyclability arising from the large volume changes during charge/discharge. Si/SiO x nanocomposites have also shown promising behavior, such as better capacity retention than Si alone because the amorphous SiO x helps to accommodate the volume changes of the Si. Here, we demonstrate a new electrode architecture for further advancing the performance of Si/SiO x nanocomposite anodes using a scalable layer-by-layer atomization spray deposition technique. We show that particulate C interlayers between the current collector and the Si/SiO x layer and between the separator and the Si/SiO x layer improved electrical contact and reduced irreversible pulverization of the Si/SiO x significantly. Overall, the multiscale approach based on microstructuring at the electrode level combined with nanoengineering at the material level improved the capacity, rate capability, and cycling stability compared to that of an anode comprising a random mixture of the same materials.

  10. Study of radiation damage restoration and antimony ions redistribution in Si(1 0 0) and Si(1 1 1) crystals

    CERN Document Server

    Labbani, R; Chafi, Z

    2002-01-01

    In this work, we study the radiation damage restoration and antimony ions redistribution into and oriented silicon substrates. The samples are implanted with antimony to a dose of 5x10 sup 1 sup 4 Sb sup + cm sup - sup 2 at 60 keV energy, then annealed under oxygen atmosphere at 900 deg. C, 30 min. The thin layer of SiO sub 2 (which is formed on Si surface by dry oxidation and expected to prevent any loss of Sb sup + dopant during Si recovery) is removed by a 10% HF solution. The specimens are analyzed by H sup + Rutherford Backscattering Spectrometry operating at 0.3 MeV energy in both random and channelling modes. The values of the projected range, R sub p , the standard deviation, DELTA R sub p , and the dose of antimony ions, which are estimated with a simple program, are in agreement with tabulated ones. It is also shown that the surface damage restoration is better for Si(1 0 0) samples than for Si(1 1 1) ones, in other words, the radiation damage is more significant in Si(1 1 1) substrates. Moreover,...

  11. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  12. Computer simulation of range and damage distributions of He ions in SiC

    International Nuclear Information System (INIS)

    Miyagawa, Yoshiko; Ato, Yasuro; Miyagawa, Soji

    1984-01-01

    The experimental projected ranges of various heavy ions in an amorphous Si target in the energy region where the nuclear stopping dominates are compared with calculations using the computer simulation program SASAMAL with the Lenz-Jensen, Moliere, Thomas-Fermi and Kalbitzer-Oetzmann (KO) screening parameters. In most cases. the best agreement was obtained with the KO screening parameters. The projected range distributions of He ions implanted in an SiC target were calculated using SASAMAL with KO screening parameters. The agreement between the SASAMAL(KO) results and our experimental data was satisfactory when the electronic stopping parameter k=1.3 k sub(NS) was used. The energy and the depth distributions of the primary knock-on atoms and the depth distributions of the recoil energy density with various values of the displacement energy Esub(d) were also calculated using SASAMAL(KO) for He ions in SiC. (author)

  13. Selective area growth of InAs nanowires from SiO2/Si(1 1 1) templates direct-written by focused helium ion beam technology

    Science.gov (United States)

    Yang, Che-Wei; Chen, Wei-Chieh; Chou, Chieh; Lin, Hao-Hsiung

    2018-02-01

    We report on the selective area growth of InAs nanowires on patterned SiO2/Si (1 1 1) nano-holes, prepared by focused helium ion beam technology. We used a single spot mode, in which the focused helium ion beam was fixed on a single point with a He+-ion dosage, ranging from 1.5 pC to 8 pC, to drill the nano-holes. The smallest hole diameter achieved is ∼8 nm. We found that low He+-ion dosage is able to facilitate the nucleation of (1 1 1)B InAs on the highly mismatched Si, leading to the vertical growth of InAs nanowires (NWs). High He-ion dosage, on the contrary, severely damaged Si surface, resulting in tilted and stripe-like NWs. In addition to titled NW grown from (1 1 1)A InAs domain, a new titled growth direction due to defect induced twinning was observed. Cross-sectional TEM images of vertical NWs show mixed wurtizite (WZ) and zincblende (ZB) phases, while WZ phase dominants. The stacking faults resulting from the phase change is proportional to NW diameter, suggesting that the critical diameter of phase turning is larger than 110 nm, the maximum diameter of our NWs. Period of misfit dislocation at the InAs/Si interface of vertical NW is also found larger than the theoretical value when the diameter of heterointerface is smaller than 50 nm, indicating that the small contact area is able to accommodate the large lattice and thermal mismatch between InAs and Si.

  14. Si clusters/defective graphene composites as Li-ion batteries anode materials: A density functional study

    International Nuclear Information System (INIS)

    Li, Meng; Liu, Yue-Jie; Zhao, Jing-xiang; Wang, Xiao-guang

    2015-01-01

    Highlights: • We study the interaction between Si clusters with pristine and defective graphene. • We find that the binding strength of Si clusters on graphene can be enhanced to different degrees after introducing various defects. • It is found that both graphene and Si cluster in the Si/graphene composites can preserve their Li uptake ability. - Abstract: Recently, the Si/graphene hybrid composites have attracted considerable attention due to their potential application for Li-ion batteries. How to effectively anchor Si clusters to graphene substrates to ensure their stability is an important factor to determine their performance for Li-ion batteries. In the present work, we have performed comprehensive density functional theory (DFT) calculations to investigate the geometric structures, stability, and electronic properties of the deposited Si clusters on defective graphenes as well as their potential applications for Li-ion batteries. The results indicate that the interfacial bonding between these Si clusters with the pristine graphene is quietly weak with a small adsorption energy (<−0.21 eV). Due to the presence of vacancy site, the binding strength of Si clusters on defective graphene is much stronger than that of pristine one, accompanying with a certain amount of charge transfer from Si clusters to graphene substrates. Moreover, the ability of Si/graphene hybrids for Li uptake is studied by calculating the adsorption of Li atoms. We find that both graphenes and Si clusters in the Si/graphene composites preserve their Li uptake ability, indicating that graphenes not only server as buffer materials for accommodating the expansion of Si cluster, but also provide additional intercalation sites for Li

  15. Ultra-low-energy ion-beam synthesis of nanometer-separated Si nanoparticles and Ag nanocrystals 2D layers

    Science.gov (United States)

    Carrada, M.; Haj Salem, A.; Pecassou, B.; Paillard, V.; Ben Assayag, G.

    2018-03-01

    2D networks of Si and Ag nanocrystals have been fabricated in the same SiO2 matrix by Ultra-Low-Energy Ion-Beam-Synthesis. Our synthesis scheme differs from a simple sequential ion implantation and its key point is the control of the matrix integrity through an appropriate intermediate thermal annealing. Si nanocrystal layer is synthesised first due to high thermal budget required for nucleation, while the second Ag nanocrystal plane is formed during a subsequent implantation due to the high diffusivity of Ag in silica. The aim of this work is to show how it is possible to overcome the limitation related to ion mixing and implantation damage to obtain double layers of Si-NCs and Ag-NCs with controlled characteristics. For this, we take advantage of annealing under slight oxidizing ambient to control the oxidation of Si-NCs and the Si excess in the matrix. The nanocrystal characteristics and in particular their position and size can be adjusted thanks to a compromise between the implantation energy, the implanted dose for both Si and Ag ions and the intermediate annealing conditions (atmosphere, temperature and duration).

  16. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  17. Heavy Ion Microbeam- and Broadbeam-Induced Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; McMorrow, Dale; Vizkelethy, Gyorgy; Ferlet-Cavrois, Veronique; Baggio, Jacques; Duhamel, Olivier; Moen, Kurt A.; Phillips, Stanley D.; Diestelhorst, Ryan M.; hide

    2009-01-01

    SiGe HBT heavy ion-induced current transients are measured using Sandia National Laboratories microbeam and high- and low-energy broadbeam sources at the Grand Accelerateur National d'Ions Lourds and the University of Jyvaskyla. The data were captured using a custom broadband IC package and real-time digital phosphor oscilloscopes with at least 16 GHz of analog bandwidth. These data provide detailed insight into the effects of ion strike location, range, and LET.

  18. Irradiation Effect of Argon Ion on Interfacial Structure Fe(2nm/Si(tsi=0.5-2 nm Multilayer thin Film

    Directory of Open Access Journals (Sweden)

    S. Purwanto

    2010-04-01

    Full Text Available Investigation includes formation of interfacial structure of Fe(2nm/Si(tSi= 0.5-2 nm multilayer thin film and the behavior of antiferromagnetic coupling between Fe layers due to Argon ion irradiation was investigated. [Fe(2nm/Si]30 multilayers (MLs with a thickness of Si spacer 0.5 - 2 nanometer were prepared on n-type (100 Si substrate by the helicon plasma sputtering method. Irradiation were performed using 400keV Ar ion to investigate the behavior of magnetic properties of the Fe/Si MLs. The magnetization measurements of Fe/Si MLs after 400keV Ar ion irradiation show the degradation of antiferromagnetic behavior of Fe layers depend on the ion doses. The Magnetoresistance (MR measurements using by Four Point Probe (FPP method also confirm that MR ratio decrease after ion irradiation. X-ray diffraction (XRD patterns indicate that the intensity of a satellite peak induced by a superlattice structure does not change within the range of ion dose. These results imply that the surface of interface structures after ion irradiation become rough although the layer structures are maintained. Therefore, it is considered that the MR properties of Fe/Si MLs also are due to the metallic superlattice structures such as Fe/Cr and Co/Cu MLs.

  19. Evaluation of secondary ion yield enhancement from polymer material by using TOF-SIMS equipped with a gold cluster ion source

    Energy Technology Data Exchange (ETDEWEB)

    Aimoto, K. [Department of Applied Physics, Faculty of Engineering, Seikei University, 3-3-1 Kichijioji-Kitamachi, Musashino-shi, Tokyo 180-8633 (Japan)]. E-mail: dm053502@cc.seikei.ac.jp; Aoyagi, S. [Department of Regional Development, Faculty of Life and Environmental Science, Shimane University, 1060 Nishikawatsu-cho, Matsue-shi, Shimane 690-8504 (Japan); Kato, N. [Department of Applied Physics, Faculty of Engineering, Seikei University, 3-3-1 Kichijioji-Kitamachi, Musashino-shi, Tokyo 180-8633 (Japan); Iida, N. [ULVAC-PHI, Inc., 370 Enzo, Chigasaki, Kanagawa 253-0084 (Japan); Yamamoto, A. [ULVAC-PHI, Inc., 370 Enzo, Chigasaki, Kanagawa 253-0084 (Japan); Kudo, M. [Department of Applied Physics, Faculty of Engineering, Seikei University, 3-3-1 Kichijioji-Kitamachi, Musashino-shi, Tokyo 180-8633 (Japan)

    2006-07-30

    We investigated the enhancement of the secondary ion intensity in the TOF-SIMS spectra obtained by Au{sup +} and Au{sub 3} {sup +} bombardment in comparison with Ga{sup +} excitation using polymer samples with different molecular weight distributions. Since the polymer samples used in this experiment have a wide molecular weight distribution, the advantages of the gold cluster primary ion source over monoatomic ion could accurately be evaluated. It was observed that the degree of fragmentation decreased by the usage of cluster primary ion beam compared with monoatomic ion beam, which was observed as a shift of the intensity distribution in the spectra. It was also found out that the mass effect of Au{sup +} and Ga{sup +} as monoatomic primary ion, resulted in about 10-60 times of enhancement for both samples with different molecular distributions. On the other hand, the Au{sub 3} {sup +} bombardment caused intensity enhancement about 100-2600 compared with Ga{sup +} bombardment, depending on the mass range of the detected secondary ion species. The cluster primary ion effect of Au{sub 3} {sup +}, compared with Au{sup +}, therefore, was estimated to be about 10-45.

  20. Radiative decay rates in Si crystallites with a donor ion

    Science.gov (United States)

    Derbenyova, Natalia V.; Burdov, Vladimir A.

    2018-04-01

    Within the framework of the time-dependent density functional theory, the radiative recombination rates have been calculated for small, ˜1 nm in diameter, hydrogen-passivated silicon crystallites with a single lithium or phosphorus ion. Sharp increase of the radiative recombination rates with increasing temperature was revealed for the crystallites with the lithium ion. No temperature effect was found for the crystallites with the ion of P. It was also shown that the presence of ionized donors in Si crystallites can substantially accelerate the radiative decay compared to the case of pure crystallites.

  1. Sputtering mechanisms of polycrystalline platinum by low energy ions

    International Nuclear Information System (INIS)

    Chernysh, V.S.; Eckstein, W.; Haidarov, A.A.; Kulikauskas, V.S.; Mashkova, E.S.; Molchanov, V.A.

    1999-01-01

    The results of an experimental study and a computer simulation with the TRIM.SP code of the angular distributions of atoms sputtered from polycrystalline platinum under 1.5-9 keV He + bombardment at the normal ion incidence are presented. It has been found that angular distributions of sputtered atoms are overcosine and that their shape is practically independent of the bombarding ion species and ion energy. Good agreement between experimental results and computer simulation data was found. Computer simulations of the partial angular distributions of Pt atoms ejected due to various sputtering mechanisms for He and Ar bombardments were performed. The role of different mechanisms in the formation of angular distributions of sputtered atoms has been analyzed

  2. Modeling the reduction of gross lithium erosion observed under high-flux deuterium bombardment

    NARCIS (Netherlands)

    Abrams, T.; Jaworski, M. A.; Kaita, R.; Nichols, J. H.; Stotler, D. P.; De Temmerman, G.; van den Berg, M. A.; van der Meiden, H. J.; Morgan, T. W.

    2015-01-01

    Abstract Both thin (<1 μm) and thick (∼500 μm) lithium films under high-flux deuterium and neon plasma bombardment were studied in the linear plasma device Magnum-PSI at ion fluxes >1024 m−2 s−1 and surface temperatures <700 °C.

  3. Shallow doping of gallium arsenide by recoil implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Souza, J.P. de; Rutz, R.F.; Cardone, F.; Norcott, M.H.

    1989-01-01

    Si atoms were recoil-implanted into GaAs by bombarding neutral (As + ) or dopant (Si + ) ions through a thin Si cap. The bombarded samples were subsequently rapid thermally or furnace annealed at 815-1000 degree C in Ar or arsine ambient. The presence of the recoiled Si in GaAs and resulting n + -doping was confirmed by secondary ion mass spectrometry and Hall measurements. It was found that sheet resistance of 19 cm 3 and the annealing temperature was > 850 degree C. The present electrical data show that the recoil implant method is a viable alternative to direct shallow implant for n + doping of GaAs. 7 refs., 3 figs., 1 tab

  4. Ion beam mixing of marker layers in Al and Si

    International Nuclear Information System (INIS)

    Mantl, S.; Rehn, L.E.; Averback, R.S.; Thompson, L.J. Jr.

    1984-07-01

    Ion beam mixing experiments on thin Pt, Au, and Ni markers in Al and Si have performed at 17, 85, and 300 K. After irradiation with 300-keV Ar ions the broadening and relative shifts of the markers have been determined by RBS measurements. The marker broadenings are more pronounced in Si than in Al; in both matrices the broadenings decrease in the following order: Au, Pt, and Ni. No dependence of mixing on irradiation temperature was observed between 17 and 300 K. The shifts of the heavy Au and Pt markers relative to the Ni markers are approximately equal to the experimental accuracy. However, a shift of the Ni marker toward the surface relative to the heavier Au and Pt markers was consistently observed. 13 references, 2 figures

  5. Production of the Ne Auger electrons by Ne/sup +/ bombardment of Mg and Al surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ferrante, J; Pepper, S V [National Aeronautics and Space Administration, Cleveland, Ohio (USA). Lewis Research Center

    1976-07-01

    The authors have bombarded Mg and Al surfaces with Ne/sup +/ ions and in this letter present evidence for the production of an inner shell vacancy in the Ne by the asymmetric Ne-Mg and Ne-Al collision. In addition, autoionization states of neutral Ne have been observed. These states are to be distinguished from the more usual case in Auger electron spectroscopy of de-excitation of an ion with a core vacancy.

  6. Experimental apparatus to investigate interactions of low energy ions with solid surfaces, 1

    International Nuclear Information System (INIS)

    Tsukakoshi, Osamu; Narusawa, Tadashi; Mizuno, Masayasu; Sone, Kazuho; Ohtsuka, Hidewo.

    1975-12-01

    Experimental apparatus to study the surface phenomena has been designed, which is intended to solve the vacuum wall problems in future thermonuclear fusion reactors and large experimental tokamak devices. An ion source and the beam transport optics are provided for bombarding solid target surface with an ion beam of energy from 0.1 to 6 keV. Measuring instruments include an ion energy analyser, a quadrupole mass spectrometer, an Auger electron spectrometer, an electro-micro-balance, a neutral particle energy spectrometer and its calibration system. Pumping system consists of oil-free ultrahigh vacuum pumps. Various kinds of experiments will be carried out by using the apparatus: 1) sputtering by low energy ion bombardment, 2) re-emission of the incident particles during and after ion bombardment, 3) release of adsorbed and occluded gases in the solids by ion bombardment, and 4) backscattering of fast ions. The combinations of measuring instruments for each experiment and their relative positions in the vacuum chamber are described through detailed drawings. The fundamental aspect in design of the ion beam transport optics for a low energy ion beam which can no longer neglect the space charge effect is also discussed. (auth.)

  7. High fluence swift heavy ion structure modification of the SiO{sub 2}/Si interface and gate insulator in 65 nm MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Yao [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gao, Bo, E-mail: gaobo@scu.edu.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gong, Min [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Willis, Maureen [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Yang, Zhimei [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); Guan, Mingyue [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Li, Yun [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China)

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO{sub 2}/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO{sub 2} and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  8. Synthesis of SiC decorated carbonaceous nanorods and its hierarchical composites Si@SiC@C for high-performance lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chundong [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China); Li, Yi, E-mail: liyi@suda.edu.cn [College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou (China); Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China); Ostrikov, Kostya [School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, Queensland 4000 (Australia); Plasma Nanoscience, Industrial Innovation Program, CSIRO Manufacturing Flagship, Lindfield, New South Wales 2070 (Australia); Yang, Yonggang [College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou (China); Zhang, Wenjun, E-mail: apwjzh@cityu.edu.hk [Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China)

    2015-10-15

    SiC- based nanomaterials possess superior electric, thermal and mechanical properties. However, due to the tricky synthesis process, which needs to be carried out under high temperature with multi-step reaction procedures, the further application is dramatically limited. Herein, a simple as well as a controllable approach is proposed for synthesis of SiC- based nanostructures under low temperature. Phenyl-bridged polysilsesquioxane was chosen as the starting material to react with magnesium at 650 °C, following which SiC@C nanocomposites were finally obtained, and it maintains the original bent rod-like architecture of polysilsesquioxanes. The possible formation process for the nanocomposites can proposed as well. The electrochemical behaviour of nanocomposites was accessed, verifying that the synthesized SiC@C nanocomposites deliver good electrochemical performance. Moreover, SiC@C also shows to be a promising scaffold in supporting Si thin film electrode in achieving stable cycling performance in lithium ion batteries. - Highlights: • SiC@C bent nanorods were synthesized with a magnesium reaction approach. • Carbon nanorod spines studded with ultrafine β-SiC nanocrystallines was realized. • The synthesized SiC@C keeps the original rod-like structure of polysilsesquioxanes. • The possible formation process for the nanocomposites was analysed and proposed. • Si@SiC@C nanocomposites reveal good electrochemical performance in LIBs.

  9. Improvements to the ion Doppler spectrometer diagnostic on the HIT-SI experiments

    Science.gov (United States)

    Hossack, Aaron; Chandra, Rian; Everson, Chris; Jarboe, Tom

    2018-03-01

    An ion Doppler spectrometer diagnostic system measuring impurity ion temperature and velocity on the HIT-SI and HIT-SI3 spheromak devices has been improved with higher spatiotemporal resolution and lower error than previously described devices. Hardware and software improvements to the established technique have resulted in a record of 6.9 μs temporal and ≤2.8 cm spatial resolution in the midplane of each device. These allow Ciii and Oii flow, displacement, and temperature profiles to be observed simultaneously. With 72 fused-silica fiber channels in two independent bundles, and an f/8.5 Czerny-Turner spectrometer coupled to a video camera, frame rates of up to ten times the imposed magnetic perturbation frequency of 14.5 kHz were achieved in HIT-SI, viewing the upper half of the midplane. In HIT-SI3, frame rates of up to eight times the perturbation frequency were achieved viewing both halves of the midplane. Biorthogonal decomposition is used as a novel filtering tool, reducing uncertainty in ion temperature from ≲13 to ≲5 eV (with an instrument temperature of 8-16 eV) and uncertainty in velocity from ≲2 to ≲1 km/s. Doppler shift and broadening are calculated via the Levenberg-Marquardt algorithm, after which the errors in velocity and temperature are uniquely specified. Axisymmetric temperature profiles on HIT-SI3 for Ciii peaked near the inboard current separatrix at ≈40 eV are observed. Axisymmetric plasma displacement profiles have been measured on HIT-SI3, peaking at ≈6 cm at the outboard separatrix. Both profiles agree with the upper half of the midplane observable by HIT-SI. With its complete midplane view, HIT-SI3 has unambiguously extracted axisymmetric, toroidal current dependent rotation of up to 3 km/s. Analysis of the temporal phase of the displacement uncovers a coherent structure, locked to the applied perturbation. Previously described diagnostic systems could not achieve such results.

  10. Effect of ion implantation on thin hard coatings

    International Nuclear Information System (INIS)

    Auner, G.; Hsieh, Y.F.; Padmanabhan, K.R.; Chevallier, J.; Soerensen, G.

    1983-01-01

    The surface mechanical properties of thin hard coatings of carbides, nitrides and borides deposited by r.f. sputtering were improved after deposition by ion implantation. The thickness and the stoichiometry of the films were measured by Rutherford backscattering spectrometry and nuclear reaction analysis before and after ion bombardment. The post ion bombardment was achieved with heavy inert ions such as Kr + and Xe + with an energy sufficient to penetrate the film and to reach the substrate. Both the film adhesion and the microhardness were consistently improved. In order to achieve a more detailed understanding, Rb + and Ni + ions were also used as projectiles, and it was found that these ions were more effective than the inert gas ions. (Auth.)

  11. Solid-state microwave annealing of ion-implanted 4H-SiC

    International Nuclear Information System (INIS)

    Sundaresan, Siddarth G.; Tian, Yong-lai; Ridgway, Mark C.; Mahadik, Nadeemullah A.; Qadri, Syed B.; Rao, Mulpuri V.

    2007-01-01

    Solid-state microwave annealing was performed at temperatures up to 2120 deg, C for 30 s on ion-implanted 4H-SiC in N 2 ambient. The surface roughness in the samples annealed without a surface cap at 1950 deg, C is 2.65 nm for 10 μm x 10 μm atomic force microscopy scans. The sheet resistances measured on Al + - and P + -implanted 4H-SiC, annealed by microwaves, are lower than the best conventional furnace annealing results reported in literature. X-ray diffraction spectra indicate alleviation of the lattice damage induced by the ion-implantation and also incorporation of most of the implanted species into substitutional lattice sites

  12. Graphene synthesis on SiC: Reduced graphitization temperature by C-cluster and Ar-ion implantation

    International Nuclear Information System (INIS)

    Zhang, R.; Li, H.; Zhang, Z.D.; Wang, Z.S.; Zhou, S.Y.; Wang, Z.; Li, T.C.; Liu, J.R.; Fu, D.J.

    2015-01-01

    Thermal decomposition of SiC is a promising method for high quality production of wafer-scale graphene layers, when the high decomposition temperature of SiC is substantially reduced. The high decomposition temperature of SiC around 1400 °C is a technical obstacle. In this work, we report on graphene synthesis on 6H–SiC with reduced graphitization temperature via ion implantation. When energetic Ar, C 1 and C 6 -cluster ions implanted into 6H–SiC substrates, some of the Si–C bonds have been broken due to the electronic and nuclear collisions. Owing to the radiation damage induced bond breaking and the implanted C atoms as an additional C source the graphitization temperature was reduced by up to 200 °C

  13. Depth profile of In and As in Si measured by RBS with He and C ions

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Q.; Fang, Z. [Newcastle Univ., NSW (Australia). Dept. of Physics; Ophel, T.R. [Australian National Univ., Canberra, ACT (Australia). Dept. of Nuclear Physics

    1993-12-31

    The depth profile of As and In implanted into Si have been measured by RBS (Rutherford Backscattering Spectrometry) with 2 MeV He ions and 6 MeV C ions. Advantages of enhanced depth and mass resolution with C ions have been demonstrated over the conventional He RBS. More reliable information for the depth profile of In and As in Si has been obtained. 12 refs., 3 figs.

  14. Depth profile of In and As in Si measured by RBS with He and C ions

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Q; Fang, Z [Newcastle Univ., NSW (Australia). Dept. of Physics; Ophel, T R [Australian National Univ., Canberra, ACT (Australia). Dept. of Nuclear Physics

    1994-12-31

    The depth profile of As and In implanted into Si have been measured by RBS (Rutherford Backscattering Spectrometry) with 2 MeV He ions and 6 MeV C ions. Advantages of enhanced depth and mass resolution with C ions have been demonstrated over the conventional He RBS. More reliable information for the depth profile of In and As in Si has been obtained. 12 refs., 3 figs.

  15. Fast atom bombardment tandem mass spectrometry of carotenoids

    Energy Technology Data Exchange (ETDEWEB)

    van Breeman, R.B. [Univ. of Illinois, Chicago, IL (United States); Schmitz, H.H.; Schwartz, S.J. [North Carolina State Univ., Raleigh, NC (United States)

    1995-02-01

    Positive ion fast atom bombardment (FAB) tandem mass spectrometry (MS-MS) using a double-focusing mass spectrometer with linked scanning at constant B/E and high-energy collisionally activated dissociation (CAD) was used to differentiate 17 different cartenoids, including {beta}-apo-8{prime}- carotenal, astaxanthin, {alpha}-carotene, {beta}-carotene, {gamma}-carotene, {zeta}-carotene, canthaxanthin, {beta}-cryptoxanthin, isozeaxanthin bis (pelargonate), neoxanthin, neurosporene, nonaprene, lutein, lycopene, phytoene, phytofluene, and zeaxanthin. The carotenoids were either synthetic or isolated from plant tissues. The use of FAB ionization minimized degradation or rearrangement of the carotenoid structures due to the inherent thermal instability generally ascribed to these compounds. Instead of protonated molecules, both polar xanthophylls and nonpolar carotenes formed molecular ions, M{sup {center_dot}+}, during FAB ionization. Following collisionally activated dissociation, fragment ions of selected molecular ion precursors showed structural features indicative of the presence of hydroxyl groups, ring systems, ester groups, and aldehyde groups and the extent of aliphatic polyene conjugation. The fragmentation patterns observed in the mass spectra herein may be used as a reference for the structural determination of carotenoids isolated from plant and animal tissues. 18 refs., 4 figs.

  16. Retention and damage in 3C-β SiC irradiated with He and H ions

    Energy Technology Data Exchange (ETDEWEB)

    Deslandes, Alec, E-mail: alec.deslandes@csiro.au [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Guenette, Mathew C. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Thomsen, Lars [Australian Synchrotron, 800 Blackburn Road, Clayton, Victoria 3168 (Australia); Ionescu, Mihail; Karatchevtseva, Inna; Lumpkin, Gregory R. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia)

    2016-02-15

    3C-β SiC was implanted with He and H ions using plasma immersion ion implantation (PIII). Regions of damage were created at various depths by applying a sample stage bias of 5 kV, 10 kV, 20 kV or 30 kV. Raman spectroscopy results indicate that He irradiation leads to more damage compared to H irradiation, as observed via increased disordered C and Si signals, as well as broadening of the SiC peaks. X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure spectroscopy (NEXAFS) results indicate significant change to the SiC structure and that surface oxidation has occurred following irradiation, with the degree of change varying dependent on impinging He fluence. The distributions of implanted species were measured using elastic recoil detection analysis. Despite the varying degree and depth of damage created in the SiC by the He ion irradiations, the retained H distribution was observed to not be affected by preceding He implantation.

  17. Effects of ion irradiation on the residual stresses in Cr thin films

    International Nuclear Information System (INIS)

    Misra, A.; Fayeulle, S.; Kung, H.; Mitchell, T.E.; Nastasi, M.

    1998-01-01

    Cr films sputtered onto {100}thinspSi substrates at room temperature were found to be under residual tension, as revealed by wafer curvature measurements. A 150 nm thick Cr film was bombarded with 300 keV Ar ions after deposition. The intrinsic residual tensile stress increased slightly and then decreased with further increase in the ion dose. For ion doses >1x10 15 thinspions/cm 2 , the stress in the film became compressive and increased with increasing dose. Transmission electron microscopy revealed that the grain boundaries in as-deposited Cr have columnar porosity. A Cr film, ion irradiated to a dose of 5x10 15 thinspions/cm 2 , showed no grain boundary porosity. The changes in the residual stress during ion irradiation are explained by considering Ar incorporation in the film and the manner in which irradiation may change the interatomic distances and forces. copyright 1998 American Institute of Physics

  18. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  19. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  20. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...