WorldWideScience

Sample records for si ion implantation

  1. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  2. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  4. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  5. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  6. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  7. Modelling of ion implantation in SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chakarov, Ivan [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)]. E-mail: ivan.chakarov@silvaco.com; Temkin, Misha [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)

    2006-01-15

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator.

  8. Modelling of ion implantation in SiC crystals

    International Nuclear Information System (INIS)

    Chakarov, Ivan; Temkin, Misha

    2006-01-01

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator

  9. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  10. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  11. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  12. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  13. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  14. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  15. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, Andrey; Tichelaar, F.D.; Verhoeven, J.; Louis, Eric; Bijkerk, Frederik

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10–20 nm were produced by sequential deposition of Si and implantation of 1 keV View the MathML source ions. Only about 3% of the implanted carbon was transferred into the SiC, with a thin, 0.5–1 nm, buried SiC layer being

  16. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  17. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  18. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  19. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, A. E.; Tichelaar, F. D.; Verhoeven, J.; E. Louis,; F. Bijkerk,

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10-20 nm were produced by sequential deposition of Si and implantation of 1 key CHx+ ions. Only about 3% of the implanted carbon was transferred into the SIC, with a thin, 0.5-1 nm, buried SIC layer being formed. We

  20. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  1. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  2. Carbon nanotube growth from catalytic nano-clusters formed by hot-ion-implantation into the SiO{sub 2}/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan); Arima, Hiroki; Yokoyama, Ai; Saito, Yasunao; Nakata, Jyoji [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2012-07-01

    We have studied growth of chirality-controlled carbon nanotubes (CNTs) from hot-implantation-formed catalytic nano-clusters in a thermally grown SiO{sub 2}/Si substrate. This procedure has the advantage of high controllability of the diameter and the number of clusters by optimizing the conditions of the ion implantation. In the present study, Co{sup +} ions with ion dose of 8 Multiplication-Sign 10{sup 16} cm{sup -2} are implanted in the vicinity of the SiO{sub 2}/Si interface at 300 Degree-Sign C temperature. The implanted Co atoms located in the SiO{sub 2} layer has an amorphous-like structure with a cluster diameter of several nm. In contrast, implanted Co atoms in the Si substrate are found to take a cobalt silicide structure, confirmed by the high-resolution image of transmission electron microscope. CNTs are grown by microwave-plasma-enhanced chemical vapor deposition. We have confirmed a large amount of vertically-aligned multi-walled CNTs from the Co nano-clusters formed by the hot-ion-implantation near the SiO{sub 2}/Si interface.

  3. Dopant profile engineering of advanced Si MOSFET's using ion implantation

    International Nuclear Information System (INIS)

    Stolk, P.A.; Ponomarev, Y.V.; Schmitz, J.; Brandenburg, A.C.M.C. van; Roes, R.; Montree, A.H.; Woerlee, P.H.

    1999-01-01

    Ion implantation has been used to realize non-uniform, steep retrograde (SR) dopant profiles in the active channel region of advanced Si MOSFET's. After defining the transistor configuration, SR profiles were formed by dopant implantation through the polycrystalline Si gate and the gate oxide (through-the-gate, TG, implantation). The steep nature of the as-implanted profile was retained by applying rapid thermal annealing for dopant activation and implantation damage removal. For NMOS transistors, TG implantation of B yields improved transistor performance through increased carrier mobility, reduced junction capacitances, and reduced susceptibility to short-channel effects. Electrical measurements show that the gate oxide quality is not deteriorated by the ion-induced damage, demonstrating that transistor reliability is preserved. For PMOS transistors, TG implantation of P or As leads to unacceptable source/drain junction broadening as a result of transient enhanced dopant diffusion during thermal activation

  4. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  5. Solid-state microwave annealing of ion-implanted 4H-SiC

    International Nuclear Information System (INIS)

    Sundaresan, Siddarth G.; Tian, Yong-lai; Ridgway, Mark C.; Mahadik, Nadeemullah A.; Qadri, Syed B.; Rao, Mulpuri V.

    2007-01-01

    Solid-state microwave annealing was performed at temperatures up to 2120 deg, C for 30 s on ion-implanted 4H-SiC in N 2 ambient. The surface roughness in the samples annealed without a surface cap at 1950 deg, C is 2.65 nm for 10 μm x 10 μm atomic force microscopy scans. The sheet resistances measured on Al + - and P + -implanted 4H-SiC, annealed by microwaves, are lower than the best conventional furnace annealing results reported in literature. X-ray diffraction spectra indicate alleviation of the lattice damage induced by the ion-implantation and also incorporation of most of the implanted species into substitutional lattice sites

  6. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  7. Ion beam synthesis of IrSi3 by implantation of 2 MeV Ir ions

    International Nuclear Information System (INIS)

    Sjoreen, T.P.; Chisholm, M.F.; Hinneberg, H.J.

    1992-11-01

    Formation of a buried IrSi 3 layer in (111) oriented Si by ion implantation and annealing has been studied at an implantation energy of 2 MeV for substrate temperatures of 450--550C. Rutherford backscattering (RBS), ion channeling and cross-sectional transmission electron microscopy showed that a buried epitaxial IrSi 3 layer is produced at 550C by implanting ≥ 3.4 x 10 17 Ir/cm 2 and subsequently annealing for 1 h at 1000C plus 5 h at 1100C. At a dose of 3.4 x 10 17 Ir/cm 2 , the thickness of the layer varied between 120 and 190 nm and many large IrSi 3 precipitates were present above and below the film. Increasing the dose to 4.4 x 10 17 Ir/cm 2 improved the layer uniformity at the expense of increased lattice damage in the overlying Si. RBS analysis of layer formation as a function of substrate temperature revealed the competition between the mechanisms for optimizing surface crystallinity vs. IrSi 3 layer formation. Little apparent substrate temperature dependence was evident in the as-implanted state but after annealing the crystallinity of the top Si layer was observed to deteriorate with increasing substrate temperature while the precipitate coarsening and coalescence improved

  8. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  9. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  10. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  11. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  12. Synergistic effects of iodine and silver ions co-implanted in 6H–SiC

    International Nuclear Information System (INIS)

    Kuhudzai, R.J.; Malherbe, J.B.; Hlatshwayo, T.T.; Berg, N.G. van der; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-01-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H–SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H–SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H–SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings. - Highlights: • Co-implantation of Ag and I ions in 6H–SiC was performed. • Clear spatial association of Ag and I clusters observed after annealing. • Complete loss of Ag after high temperature annealing of silver only sample. • Iodine was retained in iodine only sample after high temperature annealing. • Iodine was found to play a role in the retention of Ag in the co-implanted samples.

  13. Synergistic effects of iodine and silver ions co-implanted in 6H-SiC

    Science.gov (United States)

    Kuhudzai, R. J.; Malherbe, J. B.; Hlatshwayo, T. T.; van der Berg, N. G.; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-12-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.

  14. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  15. Anomalous defect processes in Si implanted amorphous SiO2, II

    International Nuclear Information System (INIS)

    Fujita, Tetsuo; Fukui, Minoru; Okada, Syunji; Shimizu-Iwayama, Tsutomu; Hioki, Tatsumi; Itoh, Noriaki

    1994-01-01

    Aanomalous features of the defects in Si implanted amorphous SiO 2 are reported. The numbers of E 1 prime centers and B 2 centers are found to increase monotonically with implanted Si dose, in contrast to the saturating feature of these numbers in Ar implanted samples. Moreover, when H ions are implanted in amorphous SiO 2 predamaged by Si implantation, both of the density and the number of E 1 prime centers increase and they reach a constant value at a small H dose. We point out that these anomalies can be explained in terms of the difference in the cross-section for defect annihilation in the specimens implanted with Si ions and other ions, in accordance with the homogeneous model proposed by Devine and Golanski. We consider that the main mechanism of defect annihilation is the recombination of an E 1 prime center and an interstitial O, which is stabilized by an implanted Si, reducing the cross-section in Si-implanted specimens. ((orig.))

  16. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  17. MeV ion irradiation effects on the luminescence properties of Si-implanted SiO{sub 2}-thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Primetzhofer, D. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J.; Hallen, A. [Royal Institute of Technology (KTH), School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2016-12-15

    The effects of MeV heavy ion irradiation at varying fluence and flux on excess Si, introduced in SiO{sub 2} by keV ion implantation, are investigated by photoluminescence (PL). From the PL peak wavelength (λ) and decay lifetime (τ), two PL sources are distinguished: (i) quasi-direct recombination of excitons of Si-nanoparticles (SiNPs), appearing after thermal annealing (λ > 720 nm, τ ∝ μs), and (ii) fast-decay PL, possibly due to oxide-related defects (λ ∝ 575-690 nm, τ ∝ ns). The fast-decay PL (ii) observed before and after ion irradiation is induced by ion implantation. It is found that this fast-decay luminescence decreases for higher irradiation fluence of MeV heavy ions. After thermal annealing (forming SiNPs), the SiNP PL is reduced for samples irradiated by MeV heavy ions but found to stabilize at higher level for higher irradiation flux; the (ii) band vanishes as a result of annealing. The results are discussed in terms of the influence of electronic and nuclear stopping powers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  19. Graphene synthesis on SiC: Reduced graphitization temperature by C-cluster and Ar-ion implantation

    International Nuclear Information System (INIS)

    Zhang, R.; Li, H.; Zhang, Z.D.; Wang, Z.S.; Zhou, S.Y.; Wang, Z.; Li, T.C.; Liu, J.R.; Fu, D.J.

    2015-01-01

    Thermal decomposition of SiC is a promising method for high quality production of wafer-scale graphene layers, when the high decomposition temperature of SiC is substantially reduced. The high decomposition temperature of SiC around 1400 °C is a technical obstacle. In this work, we report on graphene synthesis on 6H–SiC with reduced graphitization temperature via ion implantation. When energetic Ar, C 1 and C 6 -cluster ions implanted into 6H–SiC substrates, some of the Si–C bonds have been broken due to the electronic and nuclear collisions. Owing to the radiation damage induced bond breaking and the implanted C atoms as an additional C source the graphitization temperature was reduced by up to 200 °C

  20. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  1. Ion implantation effects in single crystal Si investigated by Raman spectroscopy

    International Nuclear Information System (INIS)

    Harriman, T.A.; Lucca, D.A.; Lee, J.-K.; Klopfstein, M.J.; Herrmann, K.; Nastasi, M.

    2009-01-01

    A study of the effects of Ar ion implantation on the structural transformation of single crystal Si investigated by confocal Raman spectroscopy is presented. Implantation was performed at 77 K using 150 keV Ar ++ with fluences ranging from 2 x 10 13 to 1 x 10 15 ions/cm 2 . The Raman spectra showed a progression from crystalline to highly disordered structure with increasing fluence. The 520 cm -1 c-Si peak was seen to decrease in intensity, broaden and exhibit spectral shifts indicating an increase in lattice disorder and changes in the residual stress state. In addition, an amorphous Si band first appeared as a shoulder on the 520 cm -1 peak and then shifted to lower wavenumbers as a single broadband peak with a spectral center of 465 cm -1 . Additionally, the emergence of the a-Si TA phonon band and the decrease of the c-Si 2TA and 2TO phonon bands also indicated the same structural transition from crystalline to highly disordered. The Raman results were compared to those obtained by channeling RBS.

  2. Design and application of ion-implanted polySi passivating contacts for interdigitated back contact c-Si solar cells

    International Nuclear Information System (INIS)

    Yang, Guangtao; Ingenito, Andrea; Hameren, Nienke van; Isabella, Olindo; Zeman, Miro

    2016-01-01

    Ion-implanted passivating contacts based on poly-crystalline silicon (polySi) are enabled by tunneling oxide, optimized, and used to fabricate interdigitated back contact (IBC) solar cells. Both n-type (phosphorous doped) and p-type (boron doped) passivating contacts are fabricated by ion-implantation of intrinsic polySi layers deposited via low-pressure chemical vapor deposition and subsequently annealed. The impact of doping profile on the passivation quality of the polySi doped contacts is studied for both polarities. It was found that an excellent surface passivation could be obtained by confining as much as possible the implanted-and-activated dopants within the polySi layers. The doping profile in the polySi was controlled by modifying the polySi thickness, the energy and dose of ion-implantation, and the temperature and time of annealing. An implied open-circuit voltage of 721 mV for n-type and 692 mV for p-type passivating contacts was achieved. Besides the high passivating quality, the developed passivating contacts exhibit reasonable high conductivity (R sh n-type  = 95 Ω/□ and R sh p-type  = 120 Ω/□). An efficiency of 19.2% (V oc  = 673 mV, J sc  = 38.0 mA/cm 2 , FF = 75.2%, and pseudo-FF = 83.2%) was achieved on a front-textured IBC solar cell with polySi passivating contacts as both back surface field and emitter. By improving the front-side passivation, a V OC of 696 mV was also measured

  3. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  4. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  5. On the use of thin ion implanted Si detectors in heavy ion experiments

    International Nuclear Information System (INIS)

    Lavergne-Gosselin, L.; Stab, L.; Lampert, M.O.

    1988-10-01

    We present test results on the use of thin ion implanted epitaxial Si detectors for registration of low- and medium energy heavy fragments in nuclear reactions. A linear energy response for very low energy nuclei has been observed. A test of 10 μm + 300 μm telescopes under realistic experimental conditions for heavy ion experiments exhibits the possibilities to use these detectors for the measurements of multifragmentation products. (authors)

  6. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  7. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  8. Influence of Si ion implantation on structure and morphology of g-C{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Varalakshmi, B.; Sreenivasulu, K.V. [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India); Asokan, K. [Inter University Accelerator Centre (IUAC), Aruna Asaf Ali Marg, Near Vasant Kunj, New Delhi 110067 (India); Srikanth, V.V.S.S., E-mail: vvsssse@uohyd.ernet.in [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India)

    2016-07-15

    Effect of Si ion implantation on structural and morphological features of graphite-like carbon nitride (g-C{sub 3}N{sub 4}) was investigated. g-C{sub 3}N{sub 4} was prepared by using a simple atmospheric thermal decomposition process. The g-C{sub 3}N{sub 4} pellets were irradiated with a Si ion beam of energy 200 keV with different fluencies. Structural, morphological and elemental, and phase analysis of the implanted samples in comparison with the pristine samples was carried out by using X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) with energy dispersive spectroscopy (EDS) and Fourier transform infrared spectroscopy (FTIR) techniques, respectively. The observations revealed that Si ion implantation results in a negligible change in the crystallite size and alteration of the network-like to the sheet-like morphology of g-C{sub 3}N{sub 4} and Si ions in the g-C{sub 3}N{sub 4} network.

  9. Ion beam processes in Si

    International Nuclear Information System (INIS)

    Holland, O.W.; Narayan, J.; Fathy, D.

    1984-07-01

    Observation of the effects of implants of energetic ions at high dose rates into Si have produced some exciting and interesting results. The mechanism whereby displacement damage produced by ions self-anneals during high dose rate implantation is discussed. It is shown that ion beam annealing (IBA) offers in certain situations unique possibilities for damage annealing. Annealing results of the near surface in Si with a buried oxide layer, formed by high dose implantation, are presented in order to illustrate the advantages offered by IBA. It is also shown that ion irradiation can stimulate the epitaxial recrystallization of amorphous overlayers in Si. The nonequilibrium alloying which results from such epitaxial processes is discussed as well as mechanisms which limit the solid solubility during irradiation. Finally, a dose rate dependency for the production of stable damage by ion irradiation at a constant fluence has been observed. For low fluence implants, the amount of damage is substantially greater in the case of high flux rather than low flux implantation

  10. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  11. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  12. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  13. The formation of magnetic silicide Fe3Si clusters during ion implantation

    Science.gov (United States)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  14. The formation of magnetic silicide Fe3Si clusters during ion implantation

    International Nuclear Information System (INIS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-01-01

    A simple two-dimensional model of the formation of magnetic silicide Fe 3 Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field

  15. A pulse synthesis of beta-FeSi sub 2 layers on silicon implanted with Fe sup + ions

    CERN Document Server

    Batalov, R I; Terukov, E I; Kudoyarova, V K; Weiser, G; Kuehne, H

    2001-01-01

    The synthesis of thin beta-FeSi sub 2 films was performed by means of the Fe sup + ion implantation into Si (100) and the following nanosecond pulsed ion treatment of implanted layer. Using the beta-FeSi sub 2 beta-FeSi sub 2 e X-ray diffraction it is shown that the pulsed ion treatment results in the generation of the mixture of two phases: FeSi and beta-FeSi sub 2 with stressed crystal lattices. The following short-time annealing leads to the total transformation of the FeSi phase into the beta-FeSi sub 2 one. The Raman scattering data prove the generation of the beta-FeSi sub 2 at the high degree of the silicon crystallinity. The experimental results of the optical absorption testify to the formation of beta-FeSi sub 2 layers and precipitates with the straight-band structure. The photoluminescence signal at lambda approx = 1.56 mu m observes up to 210 K

  16. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  17. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  18. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  19. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  20. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  1. Ion implantation damage annealing in 4H-SiC monitored by scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Suchodolskis, A.; Hallen, A.; Linnarsson, M.K.; Osterman, J.; Karlsson, U.O.

    2006-01-01

    To obtain a better understanding of the damage annealing process and dopant defect incorporation and activation we have implanted epitaxially grown 4H-SiC layers with high doses of Al + ions. Cross-sections of the samples are investigated by scanning spreading resistance microscopy (SSRM) using a commercial atomic force microscopy (AFM). The defects caused by the implanted ions compensate for the doping and decrease the charge carrier mobility. This causes the resistivity to increase in the as-implanted regions. The calculated profile of implanted ions is in good agreement with the measured ones and shows a skewed Gaussian shape. Implanted samples are annealed up to 400 deg. C. Despite these low annealing temperatures we observe a clear improvement of the sample conductivity in the as-implanted region

  2. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  3. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  4. The formation of magnetic silicide Fe{sub 3}Si clusters during ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Balakirev, N. [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Zhikharev, V., E-mail: valzhik@mail.ru [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Gumarov, G. [Zavoiskii Physico-Technical Institute of Russian Academy of Sciences, 10/7 Sibirskii trakt st., Kazan 420029 (Russian Federation)

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe{sub 3}Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  5. Damage accumulation in nitrogen implanted 6H-SiC: Dependence on the direction of ion incidence and on the ion fluence

    International Nuclear Information System (INIS)

    Zolnai, Z.; Ster, A.; Khanh, N. Q.; Battistig, G.; Lohner, T.; Gyulai, J.; Kotai, E.; Posselt, M.

    2007-01-01

    The influence of crystallographic orientation and ion fluence on the shape of damage distributions induced by 500 keV N + implantation at room temperature into 6H-SiC is investigated. The irradiation was performed at different tilt angles between 0 degree sign and 4 degree sign with respect to the crystallographic axis in order to consider the whole range of beam alignment from channeling to random conditions. The applied implantation fluence range was 2.5x10 14 -3x10 15 cm -2 . A special analytical method, 3.55 MeV 4 He + ion backscattering analysis in combination with channeling technique (BS/C), was employed to measure the disorder accumulation simultaneously in the Si and C sublattices of SiC with good depth resolution. For correct energy to depth conversion in the BS/C spectra, the average electronic energy loss per analyzing He ion for the axial channeling direction was determined. It was found that the tilt angle of nitrogen implantation has strong influence on the shape of the induced disorder profiles. Significantly lower disorder was found for channeling than for random irradiation. Computer simulation of the measured BS/C spectra showed the presence of a simple defect structure in weakly damaged samples and suggested the formation of a complex disorder state for higher disorder levels. Full-cascade atomistic computer simulation of the ion implantation process was performed to explain the differences in disorder accumulation on the Si and C sublattices. The damage buildup mechanism was interpreted with the direct-impact, defect-stimulated amorphization model in order to understand damage formation and to describe the composition of structural disorder versus the ion fluence and the implantation tilt angle

  6. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  7. Boron lattice location in room temperature ion implanted Si crystal

    International Nuclear Information System (INIS)

    Piro, A.M.; Romano, L.; Mirabella, S.; Grimaldi, M.G.

    2005-01-01

    The B lattice location in presence of a Si-self-interstitial (I Si ) supersaturation, controlled by energetic proton bombardment, has been studied by means of ion channelling and massive Monte Carlo simulations. B-doped layers of Si crystals with a B concentration of 1 x 10 2 B/cm 3 were grown by Molecular Beam Epitaxy. Point defect engineering techniques, with light energetic ion implants, have been applied to generate an I Si uniform injection in the electrically active layer. The displacement of B atoms out of substitutional lattice sites was induced by 650 keV proton irradiations at room temperature (R.T.) and the resultant defect configuration was investigated by ion channelling and Nuclear Reaction Analysis (NRA) techniques. Angular scans were measured both through and axes along the (1 0 0) plane using the 11 B(p,α) 8 Be nuclear reaction at 650 keV proton energy. Monte Carlo simulated angular scans were calculated considering a variety of theoretical defect configurations, supported by literature, and compared with experimental data. Our experimental scans can be fitted by a linear combination of small (0.3 A) and large B displacements (1.25 A) along the direction, compatible with the B-dumbbell oriented along as proposed by ab initio calculations

  8. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  9. Micro-Raman depth profile investigations of beveled Al+-ion implanted 6H-SiC samples

    International Nuclear Information System (INIS)

    Zuk, J.; Romanek, J.; Skorupa, W.

    2009-01-01

    6H-SiC single crystals were implanted with 450 keV Al + -ions to a fluence of 3.4 x 10 15 cm -2 , and in a separate experiment subjected to multiple Al + implantations with the four energies: 450, 240, 115 and 50 keV and different fluences to obtain rectangular-like depth distributions of Al in SiC. The implantations were performed along [0 0 0 1] channeling and non-channeling ('random') directions. Subsequently, the samples were annealed for 10 min at 1650 deg. C in an argon atmosphere. The depth profiles of the implanted Al atoms were obtained by secondary ion mass spectrometry (SIMS). Following implantation and annealing, the samples were beveled by mechanical polishing. Confocal micro-Raman spectroscopic investigations were performed with a 532 nm wavelength laser beam of a 1 μm focus diameter. The technique was used to determine precisely the depth profiles of TO and LO phonon lines intensity in the beveled samples to a depth of about 2000 nm. Micro-Raman spectroscopy was also found to be useful in monitoring very low levels of disorder remaining in the Al + implanted and annealed 6H-SiC samples. The micro-Raman technique combined with sample beveling also made it possible the determination of optical absorption coefficient profiles in implanted subsurface layers.

  10. Scanning probe microscopy of single Au ion implants in Si

    International Nuclear Information System (INIS)

    Vines, L.; Monakhov, E.; Maknys, K.; Svensson, B.G.; Jensen, J.; Hallen, A.; Kuznetsov, A. Yu.

    2006-01-01

    We have studied 5 MeV Au 2+ ion implantation with fluences between 7 x 10 7 and 2 x 10 8 cm -2 in Si by deep level transient spectroscopy (DLTS) and scanning capacitance microscopy (SCM). The DLTS measurements show formation of electrically active defects such as the two negative charge states of the divacancy (V 2 (=/-) and V 2 (-/0)) and the vacancy-oxygen (VO) center. It is observed that the intensity of the V 2 (=/-) peak is lower compared to that of V 2 (-/0) by a factor of 5. This has been attributed to a highly localized distribution of the defects along the ion tracks, which results in trapping of the carriers at V 2 (-/0) and incomplete occupancy of V 2 (=/-). The SCM measurements obtained in a plan view show a random pattern of regions with a reduced SCM signal for the samples implanted with fluence above 2 x 10 8 cm -2 . The reduced SCM signal is attributed to extra charges associated with acceptor states, such as V 2 (-/0), formed along the ion tracks in the bulk Si. Indeed, the electron emission rate from the V 2 (-/0) state is in the range of 10 kHz at room temperature, which is well below the probing frequency of the SCM measurements, resulting in 'freezing' of electrons at V 2 (-/0)

  11. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  12. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  13. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  14. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  15. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  16. Synthesis of metastable A-15 ''Nb3Si'' by ion implantation and on its superconducting transition temperature

    International Nuclear Information System (INIS)

    Clapp, M.T.; Rose, R.M.

    1980-01-01

    The authors have found a new technique for the synthesis of metastable compounds of well-defined composition: namely, ion implantation of a selected element into the desired crystal structure. [M.T. Clapp and R.M. Rose, Appl. Phys. Lett. 33, 205 (1978)]. Starting with a substrate material of A-15 Nb 3 Al/sub 0.9/Si/sub 0.1/, two basic approaches were tried towards the formation of A-15 Nb 3 Si by Si implantation: (1) direct replacement of the Al by Si and (2) implantation into a surface layer depleted of Al. This latter approach proved to be the most successful. It consisted of removing the Al by a diffusion anneal and replacing the Al deficiency by sequential Si implantations. Upon subsequent heat treatment a surface layer of A-15 Nb 3 Al/sub 0.2/Si/sub 0.8/ was produced. Details of the experimental procedure and a discussion of the superconducting transition temperature measurements of the implanted surfaces are presented

  17. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  18. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  19. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  20. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  1. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  2. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  3. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  4. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  5. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Science.gov (United States)

    Ayedh, H. M.; Hallén, A.; Svensson, B. G.

    2015-11-01

    The carbon vacancy (VC) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the VC-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (Ci's) and annihilation of VC's in the epi-layer "bulk". Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the VC annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating VC's. Concentrations of VC below ˜2 × 1011 cm-3 can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the VC-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote Ci-clustering and reduce dynamic defect annealing. These Ci-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced Ci injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the VC-concentration, which limit the net effect of the Ci injection, and a competition between the two processes occurs.

  6. Scaling of ion implanted Si:P single electron devices

    International Nuclear Information System (INIS)

    Escott, C C; Hudson, F E; Chan, V C; Petersson, K D; Clark, R G; Dzurak, A S

    2007-01-01

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n + ) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number

  7. Scaling of ion implanted Si:P single electron devices

    Energy Technology Data Exchange (ETDEWEB)

    Escott, C C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Hudson, F E [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Chan, V C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Petersson, K D [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Clark, R G [Centre for Quantum Computer Technology, School of Physics, UNSW, Sydney, 2052 (Australia); Dzurak, A S [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia)

    2007-06-13

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n{sup +}) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number.

  8. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  9. Chemical effect of Si+ ions on the implantation-induced defects in ZnO studied by a slow positron beam

    Science.gov (United States)

    Jiang, M.; Wang, D. D.; Chen, Z. Q.; Kimura, S.; Yamashita, Y.; Mori, A.; Uedono, A.

    2013-01-01

    Undoped ZnO single crystals were implanted with 300 keV Si+ ions to a dose of 6 × 1016 cm-2. A combination of X-ray diffraction (XRD), positron annihilation, Raman scattering, high resolution transmission electron microscopy (HRTEM), and photoluminescence (PL) was used to study the microstructure evolution after implantation and subsequent annealing. A very large increase of Doppler broadening S parameters in Si+-implanted region was detected by using a slow positron beam, indicating that vacancy clusters or microvoids are induced by implantation. The S parameters increase further after annealing up to 700 °C, suggesting agglomeration of these vacancies or microvoids to larger size. Most of these defects are removed after annealing up to 1100 °C. The other measurements such as XRD, Raman scattering, and PL all indicate severe damage and even disordered structure induced by Si+ implantation. The damage and disordered lattice shows recovery after annealing above 700 °C. Amorphous regions are observed by HRTEM measurement, directly testifies that amorphous phase is induced by Si+ implantation in ZnO. Analysis of the S - W correlation and the coincidence Doppler broadening spectra gives direct evidence of SiO2 precipitates in the sample annealed at 700 °C, which strongly supports the chemical effect of Si ions on the amorphization of ZnO lattice.

  10. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0SiO/sub x/ transition region between SiO/sub 2/ and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide.

  11. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  12. Effects of synchrotron x-rays on PVD deposited and ion implanted α-Si

    International Nuclear Information System (INIS)

    Yu, K.M.; Wang, L.; Walukiewicz, W.; Muto, S.; McCormick, S.; Abelson, J.R.

    1997-01-01

    The authors have studied the effects of intense X-ray irradiation on the structure of amorphous Si films. The films were obtained by either physical vapor deposition or by implantation of high energy ions into crystalline Si. They were exposed to different total doses of synchrotron X-rays. From the EXAFS and EXELFS measurements they find that an exposure to X-rays increases the Si coordination number. Also in the PVD films a prolonged X-ray exposure enlarges, by about 2%, the Si-Si bond length. Raman spectroscopy shows that Si amorphized with high energy ions contains small residual amounts of crystalline material. Irradiation of such films with X-rays annihilates those crystallites resulting in homogeneously amorphous layer with a close to four-fold coordination of Si atoms. This rearrangement of the local structure has a pronounced effect on the crystallization process of the amorphous films. Thermal annealing of X-ray irradiated ion amorphized films leads to nearly defect free solid phase epitaxy at 500 C. Also they observe a delay in the onset of the crystallization process in X-ray irradiated PVD films. They associate this with a reduced concentration of nucleation centers in the x-ray treated materials

  13. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  14. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  15. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Energy Technology Data Exchange (ETDEWEB)

    Ayedh, H. M.; Svensson, B. G. [University of Oslo, Department of Physics/Center for Materials Science and Nanotechnology, P.O. Box 1048 Blindern, N-0316 Oslo (Norway); Hallén, A. [School of Information and Communication Technology (ICT), Royal Institute of Technology, SE-164 40 Kista-Stockholm (Sweden)

    2015-11-07

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.

  16. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  17. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  18. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  19. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  20. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  1. Effect of Xe ion (167 MeV) irradiation on polycrystalline SiC implanted with Kr and Xe at room temperature

    International Nuclear Information System (INIS)

    Hlatshwayo, T T; Kuhudzai, R J; Njoroge, E G; Malherbe, J B; O’Connell, J H; Skuratov, V A; Msimanga, M

    2015-01-01

    The effect of swift heavy ion (Xe 167 MeV) irradiation on polycrystalline SiC individually implanted with 360 keV Kr and Xe ions at room temperature to fluences of 2  ×  10 16 cm −2 and 1  ×  10 16 cm −2 respectively, was investigated using transmission electron microscopy (TEM), Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Implanted specimens were each irradiated with 167 MeV Xe +26 ions to a fluence of 8.3  ×  10 14 cm −2 at room temperature. It was observed that implantation of 360 keV Kr and Xe ions individually at room temperature amorphized the SiC from the surface up to a depth of 186 and 219 nm respectively. Swift heavy ion (SHI) irradiation reduced the amorphous layer by about 27 nm and 30 nm for the Kr and Xe samples respectively. Interestingly, the reduction in the amorphous layer was accompanied by the appearance of randomly oriented nanocrystals in the former amorphous layers after SHI irradiation in both samples. Previously, no similar nanocrystals were observed after SHI irradiations at electron stopping powers of 33 keV nm −1 and 20 keV nm −1 to fluences below 10 14 cm −2 . Therefore, our results suggest a fluence threshold for the formation of nanocrystals in the initial amorphous SiC after SHI irradiation. Raman results also indicated some annealing of radiation damage after swift heavy ion irradiation and the subsequent formation of small SiC crystals in the amorphous layers. No diffusion of implanted Kr and Xe was observed after swift heavy ion irradiation. (paper)

  2. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  3. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  4. Laser induced recrystallisation and defects in ion implanted hexagonal SiC

    International Nuclear Information System (INIS)

    Makarov, V.V.; Tuomi, T.; Naukkarinen, K.; Luomajaervi, M.; Riihonen, M.

    1979-10-01

    SiC(6H) crystals amorphized with 14 N + -ion implantation were annealed with CO 2 laser pulses at intensities of 20 to 100 MW/cm 2 . Laser produced crystallisation due to residual ray absorption was studied by means of optical spectroscopy, 4 He + -ion backscattering spectrometry and channeling as well as Cu Kα 1 and synchrotron x-ray diffraction topography. At low laser intensities topographs revealed linear and planar defects which contributed to increased dechanneling independent of analyzing beam energy. Minimum of lattice disorder, which was in some regions of the laser impact area smaller than that obtained in thermal annealing, was attained at the peak laser intensities of about 50 MW/cm 2 . (orig.)

  5. Properties of tribology for Si implanted PET

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Xu; Liu Andong; Xie Mengxia; Zhang Aimin; Chen Jianmin

    2002-01-01

    Polyethylene terephthalate (PET) has been modified with Si ions from a metal vapor arc source (MEVVA). After implantation, the surface structure has been greatly changed. The experimental results of infrared absorption indicated that the particles are referred to rich carbon and SiC particles. The PET has been strengthened by these dispersed particles. The measurement results using nanometer hardness tester reveal that both surface hardness and modulus increase obviously. Therefore the surface wear resistance improved extremely. Finally the modification mechanism of Si implanted PET was discussed

  6. Atomic scale Monte Carlo simulations of BF3 plasma immersion ion implantation in Si

    International Nuclear Information System (INIS)

    La Magna, Antonino; Fisicaro, Giuseppe; Nicotra, Giuseppe; Spiegel, Yohann; Torregrosa, Frank

    2014-01-01

    We present a numerical model aimed to accurately simulate the plasma immersion ion implantation (PIII) process in micro and nano-patterned Si samples. The code, based on the Monte Carlo approach, is designed to reproduce all the relevant physical phenomena involved in the process. The particle based simulation technique is fundamental to efficiently compute the material modifications promoted by the plasma implantation at the atomic resolution. The accuracy in the description of the process kinetic is achieved linking (one to one) each virtual Monte Carlo event to each possible atomic phenomenon (e.g. ion penetration, neutral absorption, ion induced surface modification, etc.). The code is designed to be coupled with a generic plasma status, characterized by the particle types (ions and neutrals), their flow rates and their energy/angle distributions. The coupling with a Poisson solver allows the simulation of the correct trajectories of charged particles in the void regions of the micro-structures. The implemented model is able to predict the implantation 2D profiles and significantly support the process design. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Effect of pre-implanted oxygen in Si on the retention of implanted He

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Paszti, F. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)]. E-mail: paszti@rmki.kfki.hu; Ramos, A.R. [ITN - Instituto Tecnologico e Nuclear, Estrada Nacional 10, P-2686-953, Sacavem (Portugal); Khanh, N.Q. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Pecz, B. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Zolnai, Z. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Tunyogi, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2006-08-15

    Buried SiO {sub x} layers, with different x values, were formed by implanting 80 keV O{sup +} ions with different fluences into single crystal Si samples at room temperature. Into each of these O pre-implanted layers, 20 keV He{sup +} was implanted up to the fluence of 1 x 10{sup 17} ion/cm{sup 2}. The He distribution profiles were determined by 2045 keV proton backscattering spectrometry. It was found that as the O content increases, the retained He gradually decreases at the beginning, then rapidly falls at x = 0.6 till it disappears at x = 1.3. The process that leads to this phenomenon is discussed.

  8. Lateral spread of P+ ions implanted in silicon through the SiO2 mask window

    International Nuclear Information System (INIS)

    Sakurai, T.; Kawata, H.; Sato, T.; Hisatsugu, T.; Hashimoto, H.; Furuya, T.

    1979-01-01

    The lateral spread of implanted P + ions and the shape of the mask window have been observed simultaneously using the technique of staining the cleaved surface and scanning electron microscopy for the Si samples with the SiO 2 mask window with a tapered edge. The mask edge with a gradient of 45 0 or 78 0 to the Si surface and the implanted n-type region with a carrier concentration higher than 2 x 10 17 /cm 3 are observed in the same photograph. The observed maximum lateral spread when the gradient of the mask edge is 45 0 is about 1.6 times larger than that when the gradient is 78 0 . The calculated results of the lateral spread agree relatively well with the experimental data although the precise analysis based on the definite basis is necessary

  9. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  10. Implantation processing of Si: A unified approach to understanding ion-induced defects and their impact

    International Nuclear Information System (INIS)

    Holland, O.W.; Roth, E.G.

    1997-05-01

    A model is presented to account for the effects of ion-induced defects during implantation processing of Si. It will be shown that processing is quite generally affected by the presence of defect excesses rather than the total number of defects. a defect is considered excess if it represents a surplus locally of one defect type over its compliment. Processing spanning a wide range of implantation conditions will be presented to demonstrate that the majority of the total defects played little or no role in the process. This is a direct result of the ease with which the spatially correlated Frenkel pairs recombine either dynamically or during a post-implantation annealing. Based upon this model, a method will be demonstrated for manipulating or engineering the excess defects to modify their effects. In particular high-energy, self-ions are shown to inject vacancies into a boron implanted region resulting in suppression of transient enhanced diffusion of the dopant

  11. Ion implantation into amorphous Si layers to form carrier-selective contacts for Si solar cells

    International Nuclear Information System (INIS)

    Feldmann, Frank; Mueller, Ralph; Reichel, Christian; Hermle, Martin

    2014-01-01

    This paper reports our findings on the boron and phosphorus doping of very thin amorphous silicon layers by low energy ion implantation. These doped layers are implemented into a so-called tunnel oxide passivated contact structure for Si solar cells. They act as carrier-selective contacts and, thereby, lead to a significant reduction of the cell's recombination current. In this paper we address the influence of ion energy and ion dose in conjunction with the obligatory high-temperature anneal needed for the realization of the passivation quality of the carrier-selective contacts. The good results on the phosphorus-doped (implied V oc = 725 mV) and boron-doped passivated contacts (iV oc = 694 mV) open a promising route to a simplified interdigitated back contact (IBC) solar cell featuring passivated contacts. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  13. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  14. Activation characteristics of ion-implanted Si+ in AlGaN

    International Nuclear Information System (INIS)

    Irokawa, Y.; Fujishima, O.; Kachi, T.; Pearton, S.J.; Ren, F.

    2005-01-01

    Multiple-energy Si + implantation in the range 30-360 keV into Al 0.13 Ga 0.87 N for n-type doping was carried out at room temperature, followed by annealing at 1150-1375 deg. C for 5 min. Activation efficiencies close to 100% were obtained for ion doses of 1.0x10 15 cm -2 after annealing at 1375 deg. C, with a resulting sheet resistance of 74 Ω/square. By sharp contrast, the activation efficiency at 1150 deg. C was only 4% for this dose, with a sheet resistance of 1.63x10 4 Ω/square. The activation efficiency was also a function of dose, with a maximum activation percentage of only 55% for lower doses of 1.0x10 14 cm -2 annealed at 1375 deg. C. This is due to the comparatively larger effect of compensating acceptors at the lower dose and is also lower than the corresponding activation of Si in pure GaN under these conditions (78%). The measurement temperature dependence of sheet carrier density showed an activation energy of 23 meV, consistent with the ionization energy of Si in AlGaN

  15. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  16. Influence of irradiation spectrum and implanted ions on the amorphization of ceramics

    International Nuclear Information System (INIS)

    Zinkle, S.J.; Snead, L.L.

    1995-01-01

    Polycrystalline Al2O3, magnesium aluminate spinel (MgAl2O4), MgO, Si3N4, and SiC were irradiated with various ions at 200-450 K, and microstructures were examined following irradiation using cross-section TEM. Amorphization was not observed in any of the irradiated oxide ceramics, despsite damage energy densities up to ∼7 keV/atom (70 displacements per atom). On the other hand, SiC readily amorphized after damage levels of ∼0.4 dpa at room temperature (RT). Si3N4 exhibited intermediate behavior; irradiation with Fe 2+ ions at RT produced amorphization in the implanted ion region after damage levels of ∼1 dpa. However, irradiated regions outside the implanted ion region did not amorphize even after damage levels > 5 dpa. The amorphous layer in the Fe-implanted region of Si3N4 did not appear if the specimen was simultaneoulsy irradiated with 1-MeV He + ions at RT. By comparison with published results, it is concluded that the implantation of certain chemical species has a pronounced effect on the amorphization threshold dose of all five materials. Intense ionizing radiation inhibits amorphization in Si3N4, but does not appear to significantly influence the amorphization of SiC

  17. Reduction of transient diffusion from 1 endash 5 keV Si+ ion implantation due to surface annihilation of interstitials

    International Nuclear Information System (INIS)

    Agarwal, A.; Gossmann, H.-.; Eaglesham, D.J.; Pelaz, L.; Jacobson, D.C.; Haynes, T.E.; Erokhin, Y.E.

    1997-01-01

    The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 1x10 14 cm -2 Si + was implanted at energies ranging from 0.5 to 20 keV into boron doping superlattices and enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050 degree C. A linearly decreasing dependence of diffusivity enhancement on decreasing Si + ion range is observed at all temperatures, extrapolating to ∼1 for 0 keV. This is consistent with our expectation that at zero implantation energy there would be no excess interstitials from the implantation and hence no TED. Monte Carlo modeling and continuum simulations are used to fit the experimental data. The results are consistent with a surface recombination length for interstitials of <10 nm. The data presented here demonstrate that in the range of annealing temperatures of interest for p-n junction formation, TED is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface. copyright 1997 American Institute of Physics

  18. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  19. The role of nitrogen in luminescent Si nanoprecipitate formation during annealing of Si ion-implanted SiO sub 2 layers

    CERN Document Server

    Kachurin, G A; Zhuravlev, K S; Ruault, M O

    2001-01-01

    SiO sub 2 layers were implanted with 25 keV Si sup + and 13 keV N sup + ions with the doses of (1-4) x 10 sup 1 sup 6 cm sup - sup 2 and (0.2-2) x 10 sup 1 sup 6 cm sup - sup 2 , respectively. Then the samples were annealed at 900-1100 deg C to form luminescent silicon nanoprecipitates. The nitrogen effect on the process is controlled by photoluminescence spectra. It is found out that the photoluminescence intensity increases considerably at the appropriate ratio between silicon and nitrogen. It has been concluded that the interaction of nitrogen with excessive silicon results in increasing the number of precipitation centers. This raises the nanocrystals number and reduces their mean size

  20. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  1. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  2. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  3. Ion implantation by isotope separator on line (ISOL) of indium isotopes

    International Nuclear Information System (INIS)

    Hanada, Reimon; Murayama, Mitsuhiro; Saito, Shigeru; Nagata, Shinji; Yamaguchi, Sadaei; Shinozuka, Tsutomu; Fujioka, Manabu.

    1994-01-01

    111 In has been known as the nuclide which is most suitable to perturbed angular correlation (PAC) process, as the life of its intermediate state is long , the half life is proper in view of the measurement and radiation control, and it is easily available as its chloride is on the market. In the PAC, it is necessary to introduce this probe nuclei into samples. The most simple method is diffusion process, but in the materials, of which the solid-solubility of In is low like Fe and Si, the introduction is very difficult, therefore, it is necessary to do ion implantation. The development of this process was tried, and the results are reported. For the experiment, the ISOL in the cyclotron RI center, Tohoku University, was used as the accelerator for the implantation. The experimental method is explained. As the results, in the case of nonradioactive In implantation, the Ruthereford back scattering (RBS) spectra of the Si in which In was implanted, the spectra when the channeling condition was satisfied, and the results of measuring the angle dependence of channeling for In and In-implanted Si are shown. In the case of the ion implantation of radioactive 111 In, the energy spectra of In-implanted Si, the PAC spectra of In-implanted Si samples, and the PAC spectra for pure iron and Fe-Si alloy are shown. The further improvement of the ion sources is necessary. (K.I.)

  4. Broad and focused ion beams Ga+ implantation damage in the fabrication of p+-n Si shallow junctions

    International Nuclear Information System (INIS)

    Steckl, A.J.; Lin, C.M.; Patrizio, D.; Rai, A.K.; Pronko, P.P.

    1989-01-01

    The use of focused and broad beam Ga + implantation for the fabrication of p + -n Si shallow junctions is explored. In particular, the issue of ion induced damage and its effect on diode electrical properties is explored. FIB-fabricated junctions exhibit a deeper junction with lower sheet resistance and higher leakage current than the BB-implanted diodes. TEM analysis exhibits similar amorphization and recrystallization behavior for both implantation techniques with the BB case generating a higher dislocation loop density after a 900 degree C anneal. 6 refs., 5 figs., 1 tab

  5. Comparative study of as-implanted and pre-damaged ion-beam-synthesized ZnS nanocrystallites in SiO sub 2

    CERN Document Server

    Gao, K Y; Grosshans, I; Hipp, W; Stritzker, B

    2002-01-01

    The semiconducting ZnS nanocrystallites were synthesized by sequential high dose ion implantation of Zn and S in thermally grown SiO sub 2 on Si(1 0 0) and subsequent rapid thermal annealing (RTA). Some samples were pre-implanted with Ar ions in order to investigate the influence of radiation induced damage on the formation of ZnS nanocrystallites. The crystal structure of the ZnS crystallites, their size distribution and the concentration depth profile were analyzed by X-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS) and cross-sectional transmission-electron-microscopy (XTEM). The XRD results indicate, that the phase transition from cubic zinc blende to hexagonal wurtzite structure of ZnS nanocrystallites begins at temperatures below 1000 degree sign C. The RBS results show a clear redistribution of Zn and S after RTA annealing. The concentration of Zn is seriously reduced due to strong diffusion towards deeper regions and the surface, while Ar pre-implantation partially suppressed the c...

  6. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  7. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Szakacs, G. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)], E-mail: szilagyi@rmki.kfki.hu; Paszti, F.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2008-04-15

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO{sub 2} films. To study this process in details, helium was implanted into the central part of a buried SiO{sub 2} island up to a fluence of 4 x 10{sup 17} He/cm{sup 2}. The implanted helium could be detected in the SiO{sub 2} island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 {mu}m thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity.

  8. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    International Nuclear Information System (INIS)

    Szakacs, G.; Szilagyi, E.; Paszti, F.; Kotai, E.

    2008-01-01

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO 2 films. To study this process in details, helium was implanted into the central part of a buried SiO 2 island up to a fluence of 4 x 10 17 He/cm 2 . The implanted helium could be detected in the SiO 2 island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 μm thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity

  9. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  10. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  11. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  12. Structural and optical characterization of Mn doped ZnS nanocrystals elaborated by ion implantation in SiO2

    International Nuclear Information System (INIS)

    Bonafos, C.; Garrido, B.; Lopez, M.; Romano-Rodriguez, A.; Gonzalez-Varona, O.; Perez-Rodriguez, A.; Morante, J.R.; Rodriguez, R.

    1999-01-01

    Mn doped ZnS nanocrystals have been formed in SiO 2 layers by ion implantation and thermal annealing. The structural analysis of the processed samples has been performed mainly by Secondary Ion Mass Spectroscopy (SIMS) and Transmission Electron Microscopy (TEM). The data show the precipitation of ZnS nanocrystals self-organized into two layers parallel to the free surface. First results of the optical analysis of samples co-implanted with Mn show the presence of a yellow-green photoluminescence depending on the Mn concentration and the size of the nanocrystals, suggesting the doping with Mn of some precipitates

  13. Characterization of the crystalline quality of β-SiC formed by ion beam synthesis

    International Nuclear Information System (INIS)

    Intarasiri, S.; Hallen, A.; Kamwanna, T.; Yu, L.D.; Possnert, G.; Singkarat, S.

    2006-01-01

    The ion beam synthesis (IBS) technique is applied to form crystalline silicon carbide (SiC) for future optoelectronics applications. Carbon ions at 80 and 40 keV were implanted into (1 0 0) high-purity p-type silicon wafers at room temperature and 400 deg. C, respectively, to doses in excess of 10 17 ions/cm 2 . Subsequent thermal annealing of the implanted samples was performed in a vacuum furnace at temperatures of 800, 900 and 1000 deg. C, respectively. Elastic recoil detection analysis was used to investigate depth distributions of the implanted ions and infrared transmittance (IR) measurement was used to characterize formation of SiC in the implanted Si substrate. Complementary to IR, Raman scattering measurements were also carried out. Levels of the residual damage distribution of the samples annealed at different temperatures were compared with that of the as-implanted one by Rutherford backscattering spectrometry (RBS) in the channeling mode. The results show that C-ion implantation at the elevated temperature, followed by high-temperature annealing, enhances the synthesis of crystalline SiC

  14. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  15. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  16. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  17. Effects of dual-ion irradiation on the swelling of SiC/SiC composites

    International Nuclear Information System (INIS)

    Kishimoto, Hirotatsu; Kohyama, Akira; Ozawa, Kazumi; Kondo, Sosuke

    2005-01-01

    Silicon carbide (SiC) matrix composites reinforced by SiC fibers is a candidate structural material of fusion gas-cooled blanket system. From the viewpoint of material designs, it is important to investigate the swelling by irradiation, which results from the accumulation of displacement damages. In the fusion environment, (n, α) nuclear reactions are considered to produce helium gas in SiC. For the microstructural evolution, a dual-ion irradiation method is able to simulate the effects of helium. In the present research, 1.7 MeV tandem and 1 MeV single-end accelerators were used for Si self-ion irradiation and helium implantation, respectively. The average helium over displacement per atom (dpa) ratio in SiC was adjusted to 60 appm/dpa. The irradiation temperature ranged from room temperature to 1400degC. The irradiation-induced swelling was measured by the step height method. Helium that was implanted simultaneously with displacement damages in dual-ion irradiated SiC increased the swelling that was larger than that by single-ion irradiated SiC below 800degC. Since this increase was not observed above 1000degC, the interaction of helium and displacement damages was considered to change above 800degC. In this paper, the microstructural behavior and dimensional stability of SiC materials under the fusion relevant environment are discussed. (author)

  18. Structural and optical characterization of Mn doped ZnS nanocrystals elaborated by ion implantation in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C. E-mail: bonafos@el.ub.es; Garrido, B.; Lopez, M.; Romano-Rodriguez, A.; Gonzalez-Varona, O.; Perez-Rodriguez, A.; Morante, J.R.; Rodriguez, R

    1999-01-01

    Mn doped ZnS nanocrystals have been formed in SiO{sub 2} layers by ion implantation and thermal annealing. The structural analysis of the processed samples has been performed mainly by Secondary Ion Mass Spectroscopy (SIMS) and Transmission Electron Microscopy (TEM). The data show the precipitation of ZnS nanocrystals self-organized into two layers parallel to the free surface. First results of the optical analysis of samples co-implanted with Mn show the presence of a yellow-green photoluminescence depending on the Mn concentration and the size of the nanocrystals, suggesting the doping with Mn of some precipitates.

  19. Study of thermal treated a-Si implanted with Er and O ions

    CERN Document Server

    Plugaru, R; Piqueras, J; Tate, T J

    2002-01-01

    Visible luminescence of amorphous silicon layers either implanted with Er or co-implanted with Er and O and subsequently annealed in nitrogen has been investigated by cathodoluminescence (CL) in a scanning electron microscope. Co-implanted samples show a more intense luminescence, which is revealed by annealing at lower temperatures than the samples implanted only with erbium. Thermal treatments cause the formation of erbium oxide as well as Er-Si complexes or precipitates. Violet-blue luminescence has been found from CL images and spectra to be related to Er-Si precipitates. Emission in the green-red range is attributed to oxide species.

  20. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  1. Bond length contraction in Au nanocrystals formed by ion implantation into thin SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Johannessen, B.; Giraud, V.; Cheung, A.; Glover, C.J.; Azevedo, G. de M; Foran, G.J.; Ridgway, M.C.

    2004-01-01

    Au nanocrystals (NCs) fabricated by ion implantation into thin SiO 2 and annealing were investigated by means of extended x-ray absorption fine structure (EXAFS) spectroscopy and transmission electron microscopy. A bond length contraction was observed and can be explained by surface tension effects in a simple liquid-drop model. Such results are consistent with previous reports on nonembedded NCs implying a negligible influence of the SiO 2 matrix. Cumulant analysis of the EXAFS data suggests surface reconstruction or relaxation involving a further shortened bond length. A deviation from the octahedral closed shell structure is apparent for NCs of size 25 A

  2. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  3. Critical issues in the formation of quantum computer test structures by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Lo, C. C.; Weis, C. D.; Schuh, A.; Persaud, A.; Bokor, J.

    2009-04-06

    The formation of quantum computer test structures in silicon by ion implantation enables the characterization of spin readout mechanisms with ensembles of dopant atoms and the development of single atom devices. We briefly review recent results in the characterization of spin dependent transport and single ion doping and then discuss the diffusion and segregation behaviour of phosphorus, antimony and bismuth ions from low fluence, low energy implantations as characterized through depth profiling by secondary ion mass spectrometry (SIMS). Both phosphorus and bismuth are found to segregate to the SiO2/Si interface during activation anneals, while antimony diffusion is found to be minimal. An effect of the ion charge state on the range of antimony ions, 121Sb25+, in SiO2/Si is also discussed.

  4. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 151-744 (Korea, Republic of); Ju, Jin-Woo [Korea Photonics Technology Institute, Gwangju 500-779 (Korea, Republic of); Kim, Young-Min; Yoo, Seung Jo; Kim, Jin-Gyu [Korea Basic Science Institute, Daejeon 305-806 (Korea, Republic of)

    2015-07-15

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface, high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.

  5. Studies of phase formation in CoSi2 buried layers fabricated using ion implantation

    International Nuclear Information System (INIS)

    Galaev, A.A.; Parkhomenko, Yu.N.; Podgornyi, D.A.; Shcherbachev, K.D.

    1998-01-01

    The processes of the formation of cobalt disilicide buried layers in silicon are studied under different conditions of implantation with Co. In particular, the effects of the implantation dose and the postimplantation annealing temperature on the state of the Co-implanted layer are considered. Two types of heteroepitaxial Si/CoSi 2 /Si structures are obtained with the conducting layers of thicknesses 70 and 90 nm buried at the depths 80 and 10 nm, respectively

  6. Si+ ion implantation reduces the bacterial accumulation on the Ti6Al4V surface

    International Nuclear Information System (INIS)

    Gallardo-Moreno, A M; Pacha-Olivenza, M A; Perera-Nunez, J; Gonzalez-Carrasco, J L; Gonzalez-Martin, M L

    2010-01-01

    Ti6Al4V is one of the most commonly used biomaterials in orthopedic applications due to its interesting mechanical properties and reasonable biocompatibility. Nevertheless, after the implantation, microbial adhesion to its surface can provoke severe health problems associated to the development of biofilms and subsequent infectious processes. This work shows a modification of the Ti6Al4V surface by Si+ ion implantation which reduces the bacterial accumulation under shear forces. Results have shown that the number of bacteria remaining on the surface at the end of the adhesion experiments decreased for silicon-treated surface. In general, the new surface also behaved as less adhesive under in vitro flow conditions. Since no changes are observed in the electrical characteristics between the control and implanted samples, differences are likely related to small changes observed in hydrophobicity.

  7. Microstructural changes in silicon induced by patterning with focused ion beams of Ga, Si and Au

    International Nuclear Information System (INIS)

    Chee, See Wee; Kammler, Martin; Balasubramanian, Prabhu; Reuter, Mark C.; Hull, Robert; Ross, Frances M.

    2013-01-01

    We use focused beams of Ga + , Au + and Si ++ ions to induce local microstructural changes in single crystal silicon. The ions were delivered as single spot pulses into thin Si membranes that could subsequently be imaged and annealed in situ in a transmission electron microscope. For each ion, the focused ion beam implantation created an array of amorphous regions in the crystalline membrane. Annealing causes solid phase epitaxial regrowth to take place, but we show that the resulting microstructure depends on the ion species. For Ga + and Au + , precipitates remain after recrystallization, while for Si ++ , dislocation loops form around the periphery of each implanted spot. We attribute these loops to defects formed during solid phase epitaxial regrowth, with controlled placement of the loops possible. - Highlights: ► Ga + , Au + and Si ++ were implanted into thin membranes of Si. ► Samples were imaged and annealed in situ in a transmission electron microscope. ► Focused ion beam implantation created an array of amorphous spots. ► After recrystallization, precipitates form for Ga + and Au + , dislocation loops for Si ++ . ► Controlled placement of the dislocation loops possible

  8. Shift in room-temperature photoluminescence of low-fluence Si{sup +}-implanted SiO{sub 2} films subjected to rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mingyue, Fu [Department of Avionics Engineering, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Tsai, J -H [Department of Mathematics and Physics, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Yang, C -F [Department of Chemical and Materials Engineering, National Kaohsiung University, Nan-Tzu District, Kaohsiung 811, Taiwan (China); Liao, C.-H. [Department of Physics, Chinese Military Academy, Fengshan, Kaohsiung 830, Taiwan (China)], E-mail: fumy@cc.cafa.edu.tw

    2008-12-15

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO{sub 2} films implanted by different doses of Si{sup +} ions. Room-temperature PL from 400-nm-thick SiO{sub 2} films implanted to a dose of 3x10{sup 16} cm{sup -2} shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO{sub 2} films followed by RTA may be effective for tuning Si-based photonic devices.

  9. Current transport studies of ZnO/p-Si heterostructures grown by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Chen, X.D.; Ling, C.C.; Fung, S.; Beling, C.D.; Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Chu, Paul K.

    2006-01-01

    Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions were fabricated by plasma immersion ion implantation and deposition. The undoped and nitrogen-doped ZnO films were n type (n∼10 19 cm -3 ) and highly resistive (resistivity ∼10 5 Ω cm), respectively. While forward biasing the undoped-ZnO/p-Si, the current follows Ohmic behavior if the applied bias V forward is larger than ∼0.4 V. However, for the nitrogen-doped-ZnO/p-Si sample, the current is Ohmic for V forward 2 for V forward >2.5 V. The transport properties of the undoped-ZnO/p-Si and the N-doped-ZnO/p-Si diodes were explained in terms of the Anderson model and the space charge limited current model, respectively

  10. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  11. Effects of cesium ion-implantation on mechanical and electrical properties of organosilicate low-k films

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Pei, D.; Guo, X.; Cheng, M. K.; Lee, S.; Shohet, J. L. [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Lin, Q. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); King, S. W. [Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2016-05-16

    The effects of cesium (Cs) ion-implantation on uncured plasma-enhanced chemical-vapor-deposited organosilicate low dielectric constant (low-k) (SiCOH) films have been investigated and compared with an ultraviolet (UV) cured film. The mechanical properties, including the elastic modulus and hardness, of the SiCOH low-k films are improved by up to 30% with Cs implantation, and further up to 52% after annealing at 400 °C in a N{sub 2} ambient for 1 h. These improvements are either comparable to or better than the effects of UV-curing. They are attributed to an enhancement of the Si-O-Si network structure. The k-value of the SiCOH films increased slightly after Cs implantation, and increased further after annealing. These increases are attributed to two carbon-loss mechanisms, i.e., the carbon loss due to Si-CH{sub 3} bond breakage from implanted Cs ions, and the carbon loss due to oxidation during the annealing. The time-zero dielectric breakdown strength was improved after the Cs implantation and the annealing, and was better than the UV-cured sample. These results indicate that Cs ion implantation could be a supplement to or a substitution for the currently used UV curing method for processing SiCOH low-k films.

  12. Annealing Behavior of Al-Implantation-Induced Disorder in 4H-SiC

    International Nuclear Information System (INIS)

    Zhang, Yanwen; Weber, William J.; Jiang, Weilin; Shutthanandan, V.; Thevuthasan, Suntharampillai; Janson, Martin; Hallen, Anders

    2004-01-01

    Single crystal 4H-SiC films were implanted at 150 K with 1.1 MeV Al 2 2+ and subsequently annealed at elevated temperatures. Rutherford backscattering spectrometry (RBS) results indicate that the relative Si disorder at the damage peak recovers significantly as the annealing temperature increases. However, the residual Si disorder is more resistant to high-temperature annealing in the region of the implanted Al. The maximum concentration of Al profile measured by secondary ion mass spectroscopy (SIMS) is a factor of 1000 lower than the level of the residual Si disorder at the same region. Analysis of these results indicates that the excess residual Si disorder around the implanted Al projected range cannot be accounted for by just the Al interstitials; instead, it appears that each implanted Al stabilizes or inhibits recovery for an equivalent of a few hundred Si interstitials under the current experimental conditions

  13. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  14. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  15. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  16. Ultra-low-energy ion-beam synthesis of nanometer-separated Si nanoparticles and Ag nanocrystals 2D layers

    Science.gov (United States)

    Carrada, M.; Haj Salem, A.; Pecassou, B.; Paillard, V.; Ben Assayag, G.

    2018-03-01

    2D networks of Si and Ag nanocrystals have been fabricated in the same SiO2 matrix by Ultra-Low-Energy Ion-Beam-Synthesis. Our synthesis scheme differs from a simple sequential ion implantation and its key point is the control of the matrix integrity through an appropriate intermediate thermal annealing. Si nanocrystal layer is synthesised first due to high thermal budget required for nucleation, while the second Ag nanocrystal plane is formed during a subsequent implantation due to the high diffusivity of Ag in silica. The aim of this work is to show how it is possible to overcome the limitation related to ion mixing and implantation damage to obtain double layers of Si-NCs and Ag-NCs with controlled characteristics. For this, we take advantage of annealing under slight oxidizing ambient to control the oxidation of Si-NCs and the Si excess in the matrix. The nanocrystal characteristics and in particular their position and size can be adjusted thanks to a compromise between the implantation energy, the implanted dose for both Si and Ag ions and the intermediate annealing conditions (atmosphere, temperature and duration).

  17. Ion implantation induced structural changes in reactively sputtered Cr-N layers on Si substrates

    International Nuclear Information System (INIS)

    Novakovic, M.; Popovic, M.; Perusko, D.; Milinovic, V.; Radovic, I.; Bibic, N.; Mitric, M.; Milosavljevic, M.

    2007-01-01

    This paper presents a study of the structure and composition of reactively sputtered Cr-N layers as a function of deposition parameters, and the effects of ion implantation on these structures. The layers were deposited on (1 0 0) Si substrates to a thickness of 240-280 nm, at different nitrogen partial pressure, and subsequently irradiated with 120 keV Ar ions. Structural characterisation of the samples was performed with Rutherford backscattering spectroscopy, transmission electron microscopy and X-ray diffraction analysis. We also measured their electrical resistivity with a four point probe. It was found that the layers grow in form of columnar structures, and their composition, Cr 2 N or CrN, strongly depends on the nitrogen partial pressure during deposition. Ion irradiation induces local micro-structural changes, formation of nano-particles and defects, which can be nicely correlated to the measured electrical resistivity

  18. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  19. Underling modification in ion beam induced Si wafers

    International Nuclear Information System (INIS)

    Hazra, S.; Chini, T.K.; Sanyal, M.K.; Grenzer, J.; Pietsch, U.

    2005-01-01

    Subsurface (amorphous-crystalline interface) structure of keV ion beam modified Si(001) wafers was studied for the first time using non-destructive technique and compared with that of the top one. Ion-beam modifications of the Si samples were done using state-of-art high-current ion implanter facility at Saha Institute of Nuclear Physics by changing energy, dose and angle of incidence of the Ar + ion beam. To bring out the underlying modification depth-resolved x-ray grazing incidence diffraction has been carried out using synchrotron radiation facility, while the structure of the top surface was studied through atomic force microscopy

  20. Formation and characterization of nanoparticles formed by sequential ion implantation of Au and Co into SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Hoy, B.; Johannessen, B.; Dunn, S.G.; Foran, G.J.; Ridgway, M.C.

    2007-01-01

    Nanoparticles (NPs) were formed by sequential ion implantation of Au and Co into thin SiO 2 . After Au implantation and annealing, Co implantations were carried out at room temperature (RT) and 400 deg. C, respectively, with no subsequent annealing. The NPs were investigated by means of Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and extended X-ray absorption fine structure spectroscopy (EXAFS). TEM shows the formation of Co-Au core-shell NPs for the Co implantation at 400 deg. C. EXAFS measurements indicate significant strain in the NPs and a bond-length expansion of the Co-Co bonds in the NP core with a concomitant contraction of the Au-Au bonds in the Au shells. NPs are also observed by TEM for the Co implantation performed at RT, however, a lack of crystallinity is apparent from electron diffraction and EXAFS measurements

  1. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  2. Planar self-aligned ion implanted InP MISFETS for fast logic applications

    International Nuclear Information System (INIS)

    Cameron, D.C.; Irving, L.D.; Whitehouse, C.R.; Woodward, J.; Lee, D.

    1983-01-01

    The first successful use of ion implantation to fabricate truly self-aligned planar n-channel enhancement-mode indium phosphide MISFITS is reported. The transistors have been fabricated on iron-doped semi-insulating material using PECVD-deposited SiO 2 as the gate dielectric and molybdenum gate electrodes. The self-aligned source and drain contact regions were produced by Si 29 ion implantation using each gate stripe as an implant mask. The devices fabricated to date have exhibited channel mobilities up to value of 2400 cm 2 v -1 s -1 , with excellent uniformity and stability of the device characteristics also being observed. (author)

  3. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    International Nuclear Information System (INIS)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R.

    2000-01-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si + and C + ions into thermal SiO 2 and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO 2 . Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO 2

  4. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R

    2000-03-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si{sup +} and C{sup +} ions into thermal SiO{sub 2} and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO{sub 2}. Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO{sub 2}.

  5. SIMS analyses of ultra-low-energy B ion implants in Si: Evaluation of profile shape and dose accuracy

    International Nuclear Information System (INIS)

    Magee, C.W.; Hockett, R.S.; Bueyueklimanli, T.H.; Abdelrehim, I.; Marino, J.W.

    2007-01-01

    Numerous experimental studies for near-surface analyses of B in Si have shown that the B distribution within the top few nanometers is distorted by secondary ion mass spectrometry (SIMS) depth profiling with O 2 -flooding or normal incidence O 2 bombardment. Furthermore, the presence of surface oxide affects the X j determination as well as B profile shape when SIMS analyses are conducted while fully oxidizing the analytical area. Nuclear techniques such as elastic recoil detection (ERD), nuclear reaction analysis (NRA), and high-resolution Rutherford backscattering spectrometry (HR-RBS), are known to provide a profile shape near the surface that is free of artifacts. Comparisons with SIMS analyses have shown that SIMS analyses without fully oxidizing the analytical area agree well with these techniques at sufficiently high concentrations (where the nuclear techniques are applicable). The ability to measure both the B profile and an oxide marker with this non-oxidizing SIMS technique also allows accurate positioning of the B profile with respect to the SiO 2 /Si interface. This SIMS analysis protocol has been used to study the differences in near-surface dopant distribution for plasma-based implants. This study specifically focuses on measuring near-surface profile shapes as well as total implant doses for ultra-shallow B implants in Si especially those made with high peak B concentrations

  6. Nuclear radiation detector based on ion implanted p-n junction in 4H-SiC

    International Nuclear Information System (INIS)

    Vervisch, V.; Issa, F.; Ottaviani, L.; Lazar, M.; Kuznetsov, A.; Szalkai, D.; Klix, A.; Lyoussi, A.; Vermeeren, L.; Hallen, A.

    2013-06-01

    In this paper, we propose a new device detector based on ion implanted p-n junction in 4H-SiC for nuclear instrumentation. We showed the interest to use 10 Boron as a Neutron Converter Layer in order to detect thermal neutrons. We present the main results obtained during irradiation tests performed in the Belgian Reactor 1. We show the capability of our detector by means of first results of the detector response at different reverse voltage biases and at different reactor power (authors)

  7. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  8. Co-Au core-shell nanocrystals formed by sequential ion implantation into SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Hoy, B.; Johannessen, B.; Dunn, S. G.; Foran, G. J.; Ridgway, M. C.

    2006-01-01

    Co-Au core-shell nanocrystals (NCs) were formed by sequential ion implantation of Au and Co into thin SiO 2 . The NCs were investigated by means of transmission electron microscopy and extended x-ray absorption fine structure spectroscopy. The latter reveals a bond length expansion in the Co core compared to monatomic Co NCs. Concomitantly, a significant contraction of the bond length and a significant reduction of the effective Au-Au coordination number were observed in the Au shells. Increased Debye-Waller factors indicate significant strain in the NCs. These experimental results verify recent theoretical predictions

  9. Damage growth in Si during self-ion irradiation: A study of ion effects over an extended energy range

    International Nuclear Information System (INIS)

    Holland, O.W.; El-Ghor, M.K.; White, C.W.

    1989-01-01

    Damage nucleation/growth in single-crystal Si during ion irradiation is discussed. For MeV ions, the rate of growth as well as the damage morphology are shown to vary widely along the track of the ion. This is attributed to a change in the dominant, defect-related reactions as the ion penetrates the crystal. The nature of these reactions were elucidated by studying the interaction of MeV ions with different types of defects. The defects were introduced into the Si crystal prior to high-energy irradiation by self-ion implantation at a medium energy (100 keV). Varied damage morphologies were produced by implanting different ion fluences. Electron microscopy and ion-channeling measurements, in conjunction with annealing studies, were used to characterize the damage. Subtle changes in the predamage morphology are shown to result in markedly different responses to the high-energy irradiation, ranging from complete annealing of the damage to rapid growth. These divergent responses occur over a narrow range of dose (2--3 times 10 14 cm -2 ) of the medium-energy ions; this range also marks a transition in the growth behavior of the damage during the predamage implantation. A model is proposed which accounts for these observations and provides insight into ion-induced growth of amorphous layers in Si and the role of the amorphous/crystalline interface in this process. 15 refs, 9 figs

  10. Single-Ion Implantation for the Development of Si-Based MOSFET Devices with Quantum Functionalities

    Directory of Open Access Journals (Sweden)

    Jeffrey C. McCallum

    2012-01-01

    Full Text Available Interest in single-ion implantation is driven in part by research into development of solid-state devices that exhibit quantum behaviour in their electronic or optical characteristics. Here, we provide an overview of international research work on single ion implantation and single ion detection for development of electronic devices for quantum computing. The scope of international research into single ion implantation is presented in the context of our own research in the Centre for Quantum Computation and Communication Technology in Australia. Various single ion detection schemes are presented, and limitations on dopant placement accuracy due to ion straggling are discussed together with pathways for scale-up to multiple quantum devices on the one chip. Possible future directions for ion implantation in quantum computing and communications are also discussed.

  11. Ion implantation for manufacturing bent and periodically bent crystals

    Energy Technology Data Exchange (ETDEWEB)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it; Mazzolari, Andrea; Paternò, Gianfranco [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat 1/c, 44122 Ferrara, Italy and INFN, Section of Ferrara (Italy); Mattei, Giovanni, E-mail: giovanni.mattei@unipd.it; Scian, Carlo [Department of Physics and Astronomy Galileo Galilei, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Lanzoni, Luca [Dipertimento di Economia e Tecnologia, Università degli Studi della Repubblica di San Marino, Salita alla Rocca, 44, 47890 San Marino Città (San Marino)

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to produce X-ray beams.

  12. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  13. Retention and damage in 3C-β SiC irradiated with He and H ions

    Energy Technology Data Exchange (ETDEWEB)

    Deslandes, Alec, E-mail: alec.deslandes@csiro.au [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Guenette, Mathew C. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Thomsen, Lars [Australian Synchrotron, 800 Blackburn Road, Clayton, Victoria 3168 (Australia); Ionescu, Mihail; Karatchevtseva, Inna; Lumpkin, Gregory R. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia)

    2016-02-15

    3C-β SiC was implanted with He and H ions using plasma immersion ion implantation (PIII). Regions of damage were created at various depths by applying a sample stage bias of 5 kV, 10 kV, 20 kV or 30 kV. Raman spectroscopy results indicate that He irradiation leads to more damage compared to H irradiation, as observed via increased disordered C and Si signals, as well as broadening of the SiC peaks. X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure spectroscopy (NEXAFS) results indicate significant change to the SiC structure and that surface oxidation has occurred following irradiation, with the degree of change varying dependent on impinging He fluence. The distributions of implanted species were measured using elastic recoil detection analysis. Despite the varying degree and depth of damage created in the SiC by the He ion irradiations, the retained H distribution was observed to not be affected by preceding He implantation.

  14. Extended deep level defects in Ge-condensed SiGe-on-Insulator structures fabricated using proton and helium implantations

    International Nuclear Information System (INIS)

    Kwak, D.W.; Lee, D.W.; Oh, J.S.; Lee, Y.H.; Cho, H.Y.

    2012-01-01

    SiGe-on-Insulator (SGOI) structures were created using the Ge condensation method, where an oxidation process is performed on the SiGe/Si structure. This method involves rapid thermal chemical vapor deposition and H + /He + ion-implantations. Deep level defects in these structures were investigated using deep level transient spectroscopy (DLTS) by varying the pulse injection time. According to the DLTS measurements, a deep level defect induced during the Ge condensation process was found at 0.28 eV above the valence band with a capture cross section of 2.67 × 10 −17 cm 2 , two extended deep levels were also found at 0.54 eV and 0.42 eV above the valence band with capture cross sections of 3.17 × 10 −14 cm 2 and 0.96 × 10 −15 cm 2 , respectively. In the SGOI samples with ion-implantation, the densities of the newly generated defects as well as the existing defects were decreased effectively. Furthermore, the Coulomb barrier heights of the extended deep level defects were drastically reduced. Thus, we suggest that the Ge condensation method with H + ion implantation could reduce deep level defects generated from the condensation and control the electrical properties of the condensed SiGe layers. - Highlights: ► We have fabricated low-defective SiGe-on-Insulator (SGOI) with implantation method. ► H + and He + -ions are used for ion-implantation method. ► We have investigated the deep level defects of SGOI layers. ► Ge condensation method using H + ion implantation could reduce extended defects. ► They could enhance electrical properties.

  15. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  16. Structural and electrical characterization of ion beam synthesized and n-doped SiC layers

    Energy Technology Data Exchange (ETDEWEB)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R. [Barcelona Univ. (Spain). Dept. Electronica; Panknin, D.; Koegler, R.; Skorupa, W. [Forschungszentrum Rossendorf, Dresden (Germany); Esteve, J.; Acero, M.C. [CSIC, Bellaterra (Spain). Centre Nacional de Microelectronica

    2001-07-01

    This work reports preliminary data on the ion beam synthesis of n-doped SiC layers. For this, two approaches have been studied: (i) doping by ion implantation (with N{sup +}) of ion beam synthesized SiC layers and (ii) ion beam synthesis of SiC in previously doped (with P) Si wafers. In the first case, the electrical data show a p-type overcompensation of the SiC layer in the range of temperatures between -50 C and 125 C. The structural (XRD) and in-depth (SIMS, Spreading Resistance) analysis of the samples suggest this overcompensation to be induced by p-type active defects related to the N{sup +} ion implantation damage, and therefore the need for further optimization their thermal processing. In contrast, the P-doped SiC layers always show n-type doping. This is also accompanied by a higher structural quality, being the spectral features of the layers similar to those from the not doped material. Electrical activation of P in the SiC lattice is about one order of magnitude lower than in Si. These data constitute, to our knowledge, the first results reported on the doping of ion beam synthesized SiC layers. (orig.)

  17. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  18. Implantation temperature and thermal annealing behavior in H{sub 2}{sup +}-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Li, B.S., E-mail: b.s.li@impcas.ac.cn; Wang, Z.G.; Jin, J.F.

    2013-12-01

    The effects of hydrogen implantation temperature and annealing temperature in 6H-SiC are studied by the combination of Rutherford backscattering in channeling geometry (RBS/C), high-resolution X-ray diffraction (HRXRD) and scanning electron microscopy (SEM). 6H-SiC wafers were implanted with 100 keV H{sub 2}{sup +} ions to a fluence of 2.5 × 10{sup 16} H{sub 2}{sup +} cm{sup −2} at room temperature (RT), 573 K and 773 K. Post-implantation, the samples were annealing under argon gas flow at different temperatures from 973 K to 1373 K for isochronal annealing (15 min). The relative Si disorder at the damage peak for the sample implanted at RT decreases gradually with increasing annealing temperature. However, the reverse annealing effect is found for the samples implanted at 573 K and 773 K. As-implantation, the intensity of in-plane compressive stress is the maximum as the sample was implanted at RT, and is the minimum as the sample was implanted at 573 K. The intensity of in-plane compressive stress for the sample implanted at RT decreases gradually with increasing annealing temperature, while the intensities of in-plane compressive stress for the sample implanted at 573 K and 773 K show oscillatory changes with increasing annealing temperature. After annealing at 1373 K, blisters and craters occur on the sample surface and their average sizes increase with increasing implantation temperature.

  19. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  20. A study of vacancy-type defects in B+-implanted SiO2/Si by a slow positron beam

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Sugiura, Jun; Ogasawara, Makoto.

    1989-01-01

    Variable-energy (0∼30 keV) positron beam studies have been carried out on 80 keV B + -implanted SiO 2 (43 nm)/Si specimens. Doppler broadening profiles of the positron annihilation as a function of the incident positron energy were shown to be quite sensitive for the detection of vacancy-type defects introduced by B + -implantation. The average depth of the defected regions was found to shift towards the surface of the specimen with increasing the dose of B + ions. This effect is attributed to the accumulation of vacancy-type defects at the SiO 2 /Si interface. Dominant defect species were identified as vacancy clusters by their annealing stage. (author)

  1. Lifetime control of the minority carrier in PiN diodes by He+ ion implantation

    International Nuclear Information System (INIS)

    Tanaka, Y.; Kojima, K.; Takao, K.; Okamoto, M.; Kawasaki, M.; Takatsuka, A.; Yatsuo, T.; Arai, K.

    2005-01-01

    This paper reports the first demonstration of the lifetime control of the minority carrier in 4H-SiC PiN diodes by He + ion implantation. In this work, we fabricated 4H-SiC PiN diodes with the epitaxial junction and the blocking voltage of 2.6 kV, precisely corresponding to the theoretical blocking voltage calculated from the doping concentration (4.0 x 10 15 /cm 2 ) and the thickness of the drift layer (16.5 μm). He + ion implantation was performed with the energy and the dose of 400 kV and 1.0 x 10 13 -2.0 x 10 14 /cm 2 , respectively. We observed no different characteristics in the blocking voltage (2.6kV) and leakage current ( + ion implantation. However, we confirmed the improvement of the current recovery characteristics in the diodes with He + ion implantation. (orig.)

  2. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  3. Robustness up to 400°C of the passivation of c-Si by p-type a-Si:H thanks to ion implantation

    Science.gov (United States)

    Defresne, A.; Plantevin, O.; Roca i Cabarrocas, Pere

    2016-12-01

    Heterojunction solar cells based on crystalline silicon (c-Si) passivated by hydrogenated amorphous silicon (a-Si:H) thin films are one of the most promising architectures for high energy conversion efficiency. Indeed, a-Si:H thin films can passivate both p-type and n-type wafers and can be deposited at low temperature (layers, in particular p-type a-Si:H, show a dramatic degradation in passivation quality above 200°C. Yet, annealing at 300 - 400°C the TCO layer and metallic contacts is highly desirable to reduce the contact resistance as well as the TCO optical absorption. In this work, we show that as expected, ion implantation (5 - 30 keV) introduces defects at the c-Si/a-Si:H interface which strongly degrade the effective lifetime, down to a few micro-seconds. However, the passivation quality can be restored and lifetime values can be improved up to 2 ms over the initial value with annealing. We show here that effective lifetimes above 1 ms can be maintained up to 380°C, opening up the possibility for higher process temperatures in silicon heterojunction device fabrication.

  4. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  5. Room-temperature annealing of Si implantation damage in InP

    International Nuclear Information System (INIS)

    Akano, U.G.; Mitchell, I.V.

    1991-01-01

    Spontaneous recovery at 295 K of Si implant damage in InP is reported. InP(Zn) and InP(S) wafers of (100) orientation have been implanted at room temperature with 600 keV Si + ions to doses ranging from 3.6x10 11 to 2x10 14 cm -2 . Room-temperature annealing of the resultant damage has been monitored by the Rutherford backscattering/channeling technique. For Si doses ≤4x10 13 cm -2 , up to 70% of the initial damage (displaced atoms) annealed out over a period of ∼85 days. The degree of recovery was found to depend on the initial level of damage. Recovery is characterized by at least two time constants t 1 2 ∼100 days. Anneal rates observed between 295 and 375 K are consistent with an activation energy of 1.2 eV, suggesting that the migration of implant-induced vacancies is associated with the reordering of the InP lattice

  6. Diffusion of Ag, Au and Cs implants in MAX phase Ti3SiC2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R.; Zhang, Chonghong; Gou, Jie

    2015-05-16

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti3SiC2/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including x-ray diffraction, electron backscatter diffraction, energy dispersive x-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti3SiC2 within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti3SiC2 was also observed. Cs out-diffusion and release from Ti3SiC2 occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  7. Resistivity and morphology of TiSi2 formed on Xe+-implanted polycrystalline silicon

    International Nuclear Information System (INIS)

    Kuwano, H.; Phillips, J.R.; Mayer, J.W.

    1990-01-01

    Xe ion irradiation of polycrystalline silicon before Ti deposition is found to affect subsequent silicide formation. Silicide films were prepared by implanting 60, 100, or 240 keV Xe + ions into 500-nm-thick undoped polycrystalline silicon before depositing Ti and annealing in vacuum. Preimplantation altered the subsequent silicide resistivity, x-ray diffraction patterns, and morphology as compared to films prepared on unimplanted polycrystalline Si substrates. We found that minimal TiSi 2 resistivities were achieved at lower temperatures with preimplantation, indicating that the Xe-implanted substrate promotes a lower temperature transition from the metastable C49 phase to the low-resistivity equilibrium C54 phase of TiSi 2 . X-ray diffraction results confirmed the lower temperature formation of the C54 phase with preimplantation. Low-temperature annealing (650 degree C, 30 min) of 6x10 16 cm -2 , 240 keV Xe + -implanted samples yielded low-resistivity (∼22 μΩ cm) silicide films, while simultaneously annealed samples without preimplantation had resistivity five times higher. Lower doses were effective at lower implant energies, with low resistivity achieved after 725 degree C, 30 min annealing for 2x10 15 cm -2 , 60 keV Xe + preimplantation

  8. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  9. Ion Implantation in Ge: Structural and electrical investigation of the induced lattice damage & Study of the lattice location of implanted impurities

    CERN Document Server

    Decoster, Stefan; Wahl, Ulrich

    The past two decades, germanium has drawn international attention as one of the most promising materials to replace silicon in semiconductor applications. Due to important advantages with respect to Si, such as the increased electron and hole mobility, Ge is well on its way to become an important material in future high-speed integrated circuits. Although the interest in this elemental group IV semiconductor is increasing rapidly nowadays, the number of publications about this material is still relatively scarce, especially when compared to Si. The most widely used technique to dope semiconductors is ion implantation, due to its good control of the dopant concentration and profile, and the isotopic purity of the implanted species. However, there is a major lack of knowledge of the fundamental properties of ion implantation in Ge, which has triggered the research presented in this thesis. One of the most important and generally unwanted properties of ion implantation is the creation of damage to the crystal la...

  10. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  11. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  12. Segregation gettering by implantation-formed cavities and B-Si precipitates in silicon

    International Nuclear Information System (INIS)

    Myers, S.M.; Petersen, G.A.; Follstaedt, D.M.

    1998-01-01

    The authors show that Fe, Co, Cu, and Au in Si undergo strong segregation gettering to cavities and B-Si precipitates formed by He or B ion implantation and annealing. The respective mechanisms are argued to be chemisorption on the cavity walls and occupation of solution sites within the disordered, B-rich, B-Si phase. The strengths of the reactions are evaluated, enabling prediction of gettering performance

  13. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  14. Two concepts of introducing thin-film superconductivity in Ge and Si by use of Ga-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Skrotzki, Richard [Dresden High Magnetic Field Laboratory (HLD) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Department of Chemistry and Food Chemistry, TU Dresden (Germany); Herrmannsdoerfer, Thomas; Fiedler, Jan; Heera, Viton; Voelskow, Matthias; Muecklich, Arndt; Schmidt, Bernd; Skorupa, Wolfgang; Helm, Manfred; Wosnitza, Joachim [Dresden High Magnetic Field Laboratory (HLD) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany)

    2012-07-01

    We report on two unconventional routes of embedding superconducting nanolayers in a semiconducting environment. Ion implantation and subsequent annealing have been used for preparation of superconducting thin-films of Ga-doped germanium (Ge:Ga) as well as 10 nm thin amorphous Ga-rich layers in silicon (Si:Ga). Structural investigations by means of XTEM, EDX, RBS/C, and SIMS have been performed in addition to low-temperature electrical transport and magnetization measurements. Regarding Ge:Ga, we unravel the evolution of T{sub c} with charge-carrier concentration while for Si:Ga recently implemented microstructuring renders critical-current densities or more than 50 kA/cm{sup 2}. Combined with a superconducting onset at around 10 K, this calls for on-chip application in novel heterostructured devices.

  15. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  16. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  17. Photoluminescence of Se-related oxygen deficient center in ion-implanted silica films

    International Nuclear Information System (INIS)

    Zatsepin, A.F.; Buntov, E.A.; Pustovarov, V.A.; Fitting, H.-J.

    2013-01-01

    The results of low-temperature time-resolved photoluminescence (PL) investigation of thin SiO 2 films implanted with Se + ions are presented. The films demonstrate an intensive PL band in the violet spectral region, which is attributed to the triplet luminescence of a new variant of selenium-related oxygen deficient center (ODC). The main peculiarity of the defect energy structure is the inefficient direct optical excitation. Comparison with spectral characteristics of isoelectronic Si-, Ge- and SnODCs show that the difference in electronic properties of the new center is related to ion size factor. It was established that the dominating triplet PL excitation under VUV light irradiation is related to the energy transfer from SiO 2 excitons. A possible model of Se-related ODC is considered. -- Highlights: • The low-temperature photoluminescence of thin SiO 2 films implanted with Se + ions was studied. • The 3.4 eV PL band was attributed to triplet luminescence of Se-related ODC. • The peculiarity of SeODC electronic properties is related to ion size factor. • The dominating VUV excitation of triplet PL is related to energy transfer from SiO 2 excitons. • A possible model of Se-related ODC is considered

  18. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  19. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  20. Implantation damage in heavy gas implanted 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Nicolaï, J., E-mail: julien.nicolai@univ-poitiers.fr [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Declémy, A. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Gilabert, E. [Centre d’Etude Nucléaire de Bordeaux-Gradignan, 33175 Gradignan Cedex (France); Beaufort, M.-F.; Barbot, J.-F. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France)

    2016-05-01

    Single crystals of SiC were implanted with heavy inert gases (Xe, Ar) at elevated temperatures (300–800 °C) and for a large range of fluence (1 × 10{sup 12}–1 × 10{sup 15} ions cm{sup −2}). Thermodesorption measurements suggest that gas is trapped by implantation-induced vacancy-type defects impeding any gas diffusion. The damage accumulation versus dose was studied through the tensile elastic strain determined by using X-ray diffraction. Results show that at low dose the strain is predictable via a thermally activated direct impact model. The low thermal activation energy at saturation suggests a dynamic recovery process dominated by the migration of interstitial-type defects as its relaxation during post thermal annealing. As compared with light-gas implantation the heavy-gas to defect ratio is low enhancing the formation of strongly perturbed zones rather than the formation of bubble precursors.

  1. The effects of synchrotron x-rays on the local structure and the recrystallization of ion-damaged Si

    Energy Technology Data Exchange (ETDEWEB)

    Kin Man Yu; Lei Wang; Walukiewicz, W. [Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    Ion implantation is used extensively as a routine, controllable and reproducible doping technique for semiconductor processing. In Si, the amorphization by ion beams as well as the subsequent thermally induced solid phase epitaxy (SPE) and the electrical activation of the implanted dopants have been studied extensively. It has been well established that the SPE of amorphous Si ({alpha}-Si) layers created by implantation is initiated by thermal annealing at temperatures {ge} 400{degrees}C and proceeds in a planar fashion. The kinetics of the SPE process was found to follow an Arrhenius equation with an activation energy of 2.7eV. Various models have been proposed to explain the SPE process. In most cases, the mechanism leading to SPE is expected to involve the formation and/or motion of defects at or near the amorphous/crystalline interface. In this work the authors explore the effects of an intense x-ray beam generated by a synchrotron source on the SPE process of ion amorphized Si layers. A layer of amorphous Si was created near the surface of a single crystal Si to a depth of {approximately}2000{Angstrom} by 180keV Zn ion implantation. The sample was then irradiated at beam line 10-3 (microprobe beamline) at the Advance Light Source (ALS) for 5-16 hours. For 5-16 hours irradiation, the total photons absorbed by the {alpha}-Si film was {approximately}0.3-1 photon/Si atom (for 4keV photons). The authors find that in ion amorphized Si layers, although the ion dose is well above the amorphization threshold, small crystallites are still present. The absorption of x-ray by the Si atoms provides enough energy to disperse the small crystallites in the amorphous Si, reducing the number of interfacial defects as well as locally re-arrange the atoms to form a homogeneously amorphous layer with close to four-fold coordinated environment. This rearrangement in local structure of the {alpha}-Si results in nearly a defect-free crystal after SPE.

  2. The fabrication of metal silicide nanodot arrays using localized ion implantation

    International Nuclear Information System (INIS)

    Han, Jin; Kim, Tae-Gon; Min, Byung-Kwon; Lee, Sang Jo

    2010-01-01

    We propose a process for fabricating nanodot arrays with a pitch size of less than 25 nm. The process consists of localized ion implantation in a metal thin film on a Si wafer using a focused ion beam (FIB), followed by chemical etching. This process utilizes the etching resistivity changes of the ion beam irradiated region that result from metal silicide formation by ion implantation. To control the nanodot diameter, a threshold ion dose model is proposed using the Gaussian distribution of the ion beam intensities. The process is verified by fabricating nanodots with various diameters. The mechanism of etching resistivity is investigated via x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES).

  3. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  4. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-01-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si

  5. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  6. Analytical threshold voltage modeling of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Balraj; Kumar, Sanjay; Singh, Kunal; Jit, Satyabrata

    2017-04-01

    Two dimensional threshold voltage model of ion-implanted strained-Si double-material double-gate MOSFETs has been done based on the solution of two dimensional Poisson's equation in the channel region using the parabolic approximation method. Novelty of the proposed device structure lies in the amalgamation of the advantages of both the strained-Si channel and double-material double-gate structure with a vertical Gaussian-like doping profile. The effects of different device parameters (such as device channel length, gate length ratios, germanium mole fraction) and doping parameters (such as projected range, straggle parameter) on threshold voltage of the proposed structure have been investigated. It is observed that the subthreshold performance of the device can be improved by simply controlling the doping parameters while maintaining other device parameters constant. The modeling results show a good agreement with the numerical simulation data obtained by using ATLAS™, a 2D device simulator from SILVACO.

  7. Analysis of As implantation profiles in silica by nuclear microanalysis and secondary ion emission

    International Nuclear Information System (INIS)

    Dieumegard, D.; Croset, M.; Cohen, C.; Lhoir, A.; Rigo, S.; Chaumont, J.

    1974-01-01

    Results obtained from analysis using, either the method of elastic backscattering of light or semi-heavy ions ( 4 He + , 14 N + ) about one MeV energy, or the secondary ion emission method are compared. The choice of As implanted Si is explained by the following reasons: As is an element relatively heavy in comparison with Si, that allows an analysis to be effected on a few thousands Angstroems depth in silica using elastic backscattering; the silica chosen as substrate being an amorphous material allows channeling phenomena to the avoided during implantation and analysis [fr

  8. Location of trapped charge in aluminum-implanted SiO2

    International Nuclear Information System (INIS)

    DiMaria, D.J.; Young, D.R.; Hunter, W.R.; Serrano, C.M.

    1978-01-01

    The position of the centroid of electrons trapped on sites resulting from aluminum implantation into SiO 2 is measured by using the photo I-V technique for energies from 15 to 40 keV, oxide thicknesses from 49 to 140 nm, and post-implant annealing temperature from 600 to 1050 0 C in N 2 for 30 min. The centroid of the trapped electrons is found to be identical to that of the implanted aluminum from SIMS measurements, regardless of annealing temperature from 600 to 1050 0 C, and located closer (by less than 9 nm) to the Al--SiO 2 interface than predicted from the Lindhard-Scharff-Schott (LSS) calculations of Gibbons, Johnson, and Mylroie. Comparison of centroids determined from photo I-V and SIMS measurements as a function of SiO 2 thickness also implies that the distributions of the ions and negative trapped charge are the same. The trapping behavior of these sites is discussed in the accompanying paper by Young et al

  9. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  10. Structural and magnetic properties of Fe-Al silica composites prepared by sequential ion implantation

    International Nuclear Information System (INIS)

    Julian Fernandez, C. de; Tagliente, M.A.; Mattei, G.; Sada, C.; Bello, V.; Maurizio, C.; Battaglin, G.; Sangregorio, C.; Gatteschi, D.; Tapfer, L.; Mazzoldi, P.

    2004-01-01

    The nanostructural and magnetic properties of Fe-Al/SiO 2 granular solids prepared by ion implantation have been investigated. A strong effect of the implantation order of the Fe and Al ions has been evidenced. By implanting first the Al ions and later Fe ions, 5-40 nm core-shell nanoparticles are formed with a magnetic behavior similar to that of Fe. The lattice parameter of the nanoparticles is consistent with that of the α-Fe. By changing the implantation order, 10-15 nm core-shell nanoparticles of a bcc Fe-based phase with a lattice 2.5% smaller than that of α-Fe are formed. The temperature dependence of the magnetization indicates a superparamagnetic behavior

  11. Structural and magnetic properties of Fe-Al silica composites prepared by sequential ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Julian Fernandez, C. de E-mail: dejulian@padova.infm.it; Tagliente, M.A.; Mattei, G.; Sada, C.; Bello, V.; Maurizio, C.; Battaglin, G.; Sangregorio, C.; Gatteschi, D.; Tapfer, L.; Mazzoldi, P

    2004-02-01

    The nanostructural and magnetic properties of Fe-Al/SiO{sub 2} granular solids prepared by ion implantation have been investigated. A strong effect of the implantation order of the Fe and Al ions has been evidenced. By implanting first the Al ions and later Fe ions, 5-40 nm core-shell nanoparticles are formed with a magnetic behavior similar to that of Fe. The lattice parameter of the nanoparticles is consistent with that of the {alpha}-Fe. By changing the implantation order, 10-15 nm core-shell nanoparticles of a bcc Fe-based phase with a lattice 2.5% smaller than that of {alpha}-Fe are formed. The temperature dependence of the magnetization indicates a superparamagnetic behavior.

  12. Ion-beam synthesis and photoluminescence of SiC nanocrystals assisted by MeV-heavy-ion-beam annealing

    International Nuclear Information System (INIS)

    Khamsuwan, J.; Intarasiri, S.; Kirkby, K.; Chu, P.K.; Singkarat, S.; Yu, L.D.

    2012-01-01

    This work explored a novel way to synthesize silicon carbide (SiC) nanocrystals for photoluminescence. Carbon ions at 90 keV were implanted in single crystalline silicon wafers at elevated temperature, followed by irradiation using xenon ion beams at an energy of 4 MeV with two low fluences of 5 × 10 13 and 1 × 10 14 ions/cm 2 at elevated temperatures for annealing. X-ray diffraction, Raman scattering, infrared spectroscopy and transmission electron microscopy were used to characterize the formation of nanocrystalline SiC. Photoluminescence was measured from the samples. The results demonstrated that MeV-heavy-ion-beam annealing could indeed induce crystallization of SiC nanocrystals and enhance emission of photoluminescence with violet bands dominance due to the quantum confinement effect.

  13. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  14. The effect of oxygen on segregation-induced redistribution of rare-earth elements in silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Aleksandrov, O. V.

    2006-01-01

    A model of segregation-induced redistribution of impurities of rare-earth elements during solid-phase epitaxial crystallization of silicon layers amorphized by ion implantation is developed. This model is based on the assumption that a transition layer with a high mobility of atoms is formed at the interphase boundary on the side of a-Si; the thickness of this layer is governed by the diffusion length of vacancies in a-Si. The Er concentration profiles in Si implanted with both erbium and oxygen ions are analyzed in the context of the model. It shown that, in the case of high doses of implantation of rare-earth ions, it is necessary to take into account the formation of R m clusters (m = 4), where R denotes the atom of a rare-earth element, whereas, if oxygen ions are also implanted, formation of the complexes RO n (n = 3-6) should be taken into account; these complexes affect the transition-layer thickness and segregation coefficient

  15. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  16. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  17. Critical microstructure for ion-implantation gettering effects in silicon

    International Nuclear Information System (INIS)

    Geipel, H.J.; Tice, W.K.

    1977-01-01

    The nature of residual ion-implantation damage responsible for gettering deleterious impurities from active semiconductor device regions in Si has been studied. A propensity for dislocations of the type b=1/2 to gather metallic contaminant (e.g., Cu), as compared to Frank partials b=1/3 , is established. Transmission electron microscopy and pulsed leakage measurements are used to demonstrate that the density of 1/2 dislocations introduced by Xe implantation greatly influences gettering efficiency

  18. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  19. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  20. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  1. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  2. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  3. Gas cluster ion beam assisted NiPt germano-silicide formation on SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Ozcan, Ahmet S., E-mail: asozcan@us.ibm.com [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States); Lavoie, Christian; Jordan-Sweet, Jean [IBM T. J. Watson Research Center, 1101 Kitchawan Road, Yorktown Heights, New York 10598 (United States); Alptekin, Emre; Zhu, Frank [IBM Semiconductor Research and Development Center, 2070 Route 52, Hopewell Junction, New York 12533 (United States); Leith, Allen; Pfeifer, Brian D.; LaRose, J. D.; Russell, N. M. [TEL Epion Inc., 900 Middlesex Turnpike, Bldg. 6, Billerica, Massachusetts 01821 (United States)

    2016-04-21

    We report the formation of very uniform and smooth Ni(Pt)Si on epitaxially grown SiGe using Si gas cluster ion beam treatment after metal-rich silicide formation. The gas cluster ion implantation process was optimized to infuse Si into the metal-rich silicide layer and lowered the NiSi nucleation temperature significantly according to in situ X-ray diffraction measurements. This novel method which leads to more uniform films can also be used to control silicide depth in ultra-shallow junctions, especially for high Ge containing devices, where silicidation is problematic as it leads to much rougher interfaces.

  4. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  5. Characteristic electron energy loss spectra in SiC buried layers formed by C+ implantation into crystalline silicon

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Kwok, R.W.M.

    1998-01-01

    SiC buried layers were synthesized by a metal vapor vacuum arc ion source, with C + ions implanted into crystalline Si substrates. According to X-ray photoelectron spectroscopy, the characteristic electron energy loss spectra of the SiC buried layers were studied. It was found that the characteristic electron energy loss spectra depend on the profiles of the carbon content, and correlate well with the order of the buried layers

  6. Characterization of Si(1 1 1) crystals implanted with Sb{sup +} ions and annealed by rapid thermal processing

    Energy Technology Data Exchange (ETDEWEB)

    Labbani, R.; Halimi, R.; Laoui, T.; Vantomme, A.; Pipeleers, B.; Roebben, G

    2003-09-15

    Monocrystalline Si(1 1 1) targets are implanted (at room temperature) with antimony ions at 120 keV energy to 5x10{sup 14} or 5x10{sup 15} Sb{sup +} cm{sup -2} dose. The samples are heat treated by means of rapid thermal processing (RTP) at 1000 deg. C during 60 s, under nitrogen atmosphere. In this work, we report the measured evolution of the silicon surface damage and the radiation damage recovery in relation to antimony dose and RTP processing. We also study the behavior of antimony dopant into Si(1 1 1) specimens. The investigation is carried out by He{sup +} Rutherford backscattering spectrometry (RBS; operating at 1.57 MeV energy in both random and channeling modes), X-ray diffraction (XRD) and atomic force microscopy (AFM) techniques. It is shown that a good surface damage recovery is obtained for all the annealed samples. However, after RTP, a significant loss of antimony has occurred for the specimens which are implanted with 5x10{sup 15} Sb{sup +} cm{sup -2} dose. This suggests an antimony out-diffusion. Finally, a good morphological characterization of the specimens is provided by AFM.

  7. Si diffusion in compositional disordering of Si-implanted GaAs/AlGaAs superlattices induced by rapid thermal annealing

    International Nuclear Information System (INIS)

    Uematsu, Masashi; Yanagawa, Fumihiko

    1988-01-01

    The Si diffusion in Si-implanted GaAs/Al 0.5 Ga 0.5 As superlattices intermixed in the disrodering process induced by rapid thermal annealing (RTA), is investigated by means of secondary ion mass spectroscopy (SIMS). The SIMS profiles indicate that no fast Si diffusion occurs during the disordering, and the disordering occurs when the Si concentration exceeds 1 x 10 19 cm -3 , which is about three times larger than the threshold value for the disordering by furnace annealing (FA). The number of Si atoms which are allowed to pass through the heterointerface is considered to be essential for disordering. (author)

  8. Effects of He implantation on radiation induced segregation in Cu-Au and Ni-Si alloys

    Science.gov (United States)

    Iwase, A.; Rehn, L. E.; Baldo, P. M.; Funk, L.

    Effects of He implantation on radiation induced segregation (RIS) in Cu-Au and Ni-Si alloys were investigated using in situ Rutherford backscattering spectrometry during simultaneous irradiation with 1.5-MeV He and low-energy (100 or 400-keV) He ions at elevated temperatures. RIS during single He ion irradiation, and the effects of pre-implantation with low-energy He ions, were also studied. RIS near the specimen surface, which was pronounced during 1.5-MeV He single-ion irradiation, was strongly reduced under low-energy He single-ion irradiation, and during simultaneous irradiation with 1.5-MeV He and low-energy He ions. A similar RIS reduction was also observed in the specimens pre-implanted with low-energy He ions. The experimental results indicate that the accumulated He atoms cause the formation of small bubbles, which provide additional recombination sites for freely migrating defects.

  9. Vacancy-related defect distributions in 11B-, 14N-, and 27Al-implanted 4H-SiC: Role of channeling

    International Nuclear Information System (INIS)

    Janson, M.S.; Slotte, J.; Kuznetsov, A.Yu.; Saarinen, K.; Hallen, A.

    2004-01-01

    The defect distributions in 11 B-, 14 N-, and 27 Al-implanted epitaxial 4H-SiC are studied using monoenergetic positron beams. At least three types of defects are needed to account for the Doppler broadening annihilation spectra and two of the defects are tentatively identified as V Si , and V Si V C . By comparing the defect profiles extracted from the annihilation spectra to the chemical profiles determined by secondary ion mass spectrometry, and to the primary defect profiles obtained from binary collision approximation simulations, it is concluded that the defects found at depths considerably deeper than the projected range of the implanted ions mainly originate from deeply channeled ions

  10. Diffusion of Ag, Au and Cs implants in MAX phase Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA (United States); Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R. [Pacific Northwest National Laboratory, Richland, WA (United States); Zhang, Chonghong; Gou, Jie [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou (China)

    2015-07-15

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti{sub 3}SiC{sub 2}), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti{sub 3}SiC{sub 2} has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti{sub 3}SiC{sub 2}/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including X-ray diffraction, electron backscatter diffraction, energy dispersive X-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti{sub 3}SiC{sub 2} within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti{sub 3}SiC{sub 2} was also observed. Cs out-diffusion and release from Ti{sub 3}SiC{sub 2} occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti{sub 3}SiC{sub 2} as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  11. Atomic mixing effects on high fluence Ge implantation into Si at 40 keV

    International Nuclear Information System (INIS)

    Gras-Marti, A.; Jimenez-Rodriguez, J.J.; Peon-Fernandez, J.; Rodriguez-Vidal, M.; Tognetti, N.P.; Carter, G.; Nobes, M.J.; Armour, D.G.

    1982-01-01

    Ion implanted profiles of 40 keV Ge + into Si at fluences ranging from approx. equal to 10 15 ions/cm 2 up to saturation have been measured using the RBS technique. The profiles compare well with the predictions of an analytical model encompasing sputter erosion plus atomic relocation. (orig.)

  12. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  13. Diffusion of ion-implanted B in high concentration P- and As-doped silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    The diffusion of ion-implanted B in Si in the presence of a uniform background of high concentration P or As was studied by correlating numerical profile calculations with profiles determined by secondary-ion mass spectrometry (SIMS). Retarded B diffusion is observed in both As- and P-doped Si, consistent with the effect of the local Fermi-level position in the Si band gap on B diffusivity, D/sub B/. It is shown that D/sub B/ is linearly dependent on the free hole concentration, p, over the range 0.1 less than p/n/sub ie/ less than 30, where n/sub ie/ is the effective intrinsic electron concentration. This result does not depend on the way in which the background dopant has been introduced (implantation predeposition or doped-oxide source), nor the type of dopant used (P or As). (U.S.)

  14. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  15. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  16. Strain evolution in Si substrate due to implantation of MeV ion observed by extremely asymmetric x-ray diffraction

    International Nuclear Information System (INIS)

    Emoto, T.; Ghatak, J.; Satyam, P. V.; Akimoto, K.

    2009-01-01

    We studied the strain introduced in a Si(111) substrate due to MeV ion implantation using extremely asymmetric x-ray diffraction and measured the rocking curves of asymmetrical 113 diffraction for the Si substrates implanted with a 1.5 MeV Au 2+ ion at fluence values of 1x10 13 , 5x10 13 , and 1x10 14 /cm 2 . The measured curves consisted of a bulk peak and accompanying subpeak with an interference fringe. The positional relationship of the bulk peak to the subpeak and the intensity variation of those peaks with respect to the wavelengths of the x rays indicated that crystal lattices near the surface were strained; the lattice spacing of surface normal (111) planes near the surface was larger than that of the bulk. Detailed strain profiles along the depth direction were successfully estimated using a curve-fitting method based on Darwin's dynamical diffraction theory. Comparing the shapes of resultant strain profiles, we found that a strain evolution rapidly occurred within a depth of ∼300 nm at fluence values between 1x10 13 and 5x10 13 /cm 2 . This indicates that formation of the complex defects progressed near the surface when the fluence value went beyond a critical value between 1x10 13 and 5x10 13 /cm 2 and the defects brought a large strain to the substrate.

  17. Double Ion Implantation and Pulsed Laser Melting Processes for Third Generation Solar Cells

    Directory of Open Access Journals (Sweden)

    Eric García-Hemme

    2013-01-01

    Full Text Available In the framework of the third generation of photovoltaic devices, the intermediate band solar cell is one of the possible candidates to reach higher efficiencies with a lower processing cost. In this work, we introduce a novel processing method based on a double ion implantation and, subsequently, a pulsed laser melting (PLM process to obtain thicker layers of Ti supersaturated Si. We perform ab initio theoretical calculations of Si impurified with Ti showing that Ti in Si is a good candidate to theoretically form an intermediate band material in the Ti supersaturated Si. From time-of-flight secondary ion mass spectroscopy measurements, we confirm that we have obtained a Ti implanted and PLM thicker layer of 135 nm. Transmission electron microscopy reveals a single crystalline structure whilst the electrical characterization confirms the transport properties of an intermediate band material/Si substrate junction. High subbandgap absorption has been measured, obtaining an approximate value of 104 cm−1 in the photons energy range from 1.1 to 0.6 eV.

  18. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Dzurak, A.S.; Clark, R.G.

    2006-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices

  19. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  20. Damage accumulation in ceramics during ion implantation

    International Nuclear Information System (INIS)

    McHargue, C.J.; Farlow, G.C.; Begun, G.M.; Williams, J.M.; White, C.W.; Appleton, B.R.; Sklad, P.S.; Angelini, P.

    1985-01-01

    The damage structures of α-Al 2 O 3 and α-SiC were examined as functions of ion implantation parameters using Rutherford backscattering-channeling, analytical electron microscopy, and Raman spectroscopy. Low temperatures or high fluences of cations favor formation of the amorphous state. At 300 0 K, mass of the bombarding species has only a small effect on residual damage, but certain ion species appear to stabilize the damage microstructure and increase the rate of approach to the amorphous state. The type of chemical bonding present in the host lattice is an important factor in determining the residual damage state

  1. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  2. Homojunction silicon solar cells doping by ion implantation

    Science.gov (United States)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  3. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    Science.gov (United States)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  4. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  5. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  6. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  7. Quartz modification by Zn ion implantation and swift Xe ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, Vladimir [Institute of Physics and Technology, Russian Academy of Sciences, Moscow (Russian Federation); Kulikauskas, Vaclav [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University (Russian Federation); Didyk, Alexander; Skuratov, Vladimir [Joint Institute of Nuclear Research, Dubna (Russian Federation); Steinman, Edward; Tereshchenko, Alexey; Kolesnikov, Nikolay [Institute of Solid-State Physics, Russian Academy of Sciences, Chernogolovka (Russian Federation); Trifonov, Alexey; Sakharov, Oleg [National Research University ' ' MIET' ' , Zelenograd, Moscow (Russian Federation); Ksenich, Sergey [National University of Science and Technology ' ' MISiS' ' , Moscow (Russian Federation)

    2017-07-15

    The quartz slides were implanted by {sup 64}Zn{sup +} ions with dose of 5 x 10{sup 16}/cm{sup 2} and energy of 100 keV. After implantation, the amorphous metallic Zn nanoparticles with an average radius of 3.5 nm were created. The sample surface becomes nonuniform, its roughness is increased and its values rise up to 6 nm compared to virgin state, and the roughness maximum is at a value of about 0.8 nm. The surface is made up of valleys and hillocks which have a round shape with an average diameter about 200 nm. At the center of these hillocks are pores with a depth up to 6 nm and a diameter of about 20 nm. After implantation in UV-vis diapason, the optical transmission decreases while PL peak (apparently due to oxygen deficient centers) at wavelength of 400 nm increases. Then the samples were subjected to swift Xe ion irradiation with the fluences of 1 x 10{sup 12}-7.5 x 10{sup 14}/cm{sup 2} and energy of 167 MeV. After Xe irradiation, the sample surface roughness shat down to values of 0.5 nm and the roughness maximum is at a value of about 0.1 nm. Optical transmission in UV-vis diapason increases. The PL peak at wavelength of 400 nm is decreased while a PL peak at wavelength of 660 nm is raised. This peak is presumably due to non-bridging oxygen hole centers or/and NPs with structure Si(core)/SiO{sub 2}(shell). HRTEM image of Zn-implanted quartz subsurface layer. One can see the Zn amorphous nanoparticles, which confirms the electron diffraction pattern (insert). (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    Science.gov (United States)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  9. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    Science.gov (United States)

    Novaković, M.; Zhang, K.; Popović, M.; Bibić, N.; Hofsäss, H.; Lieb, K. P.

    2011-05-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe + ions at fluences of up to 3 × 10 16 cm -2. We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 °C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, Δ σ2/ Φ = 3.0(4) nm 4, is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 °C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co 2Si → CoSi → CoSi 2.

  10. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    International Nuclear Information System (INIS)

    Novakovic, M.; Zhang, K.; Popovic, M.; Bibic, N.; Hofsaess, H.; Lieb, K.P.

    2011-01-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe + ions at fluences of up to 3 x 10 16 cm -2 . We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 o C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, Δσ 2 /Φ = 3.0(4) nm 4 , is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 o C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co 2 Si → CoSi → CoSi 2 .

  11. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  12. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  13. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  14. Structural and magnetic properties of Mn-implanted Si

    International Nuclear Information System (INIS)

    Zhou Shengqiang; Potzger, K.; Zhang Gufei; Muecklich, A.; Eichhorn, F.; Schell, N.; Groetzschel, R.; Schmidt, B.; Skorupa, W.; Helm, M.; Fassbender, J.; Geiger, D.

    2007-01-01

    Structural and magnetic properties in Mn-implanted, p-type Si were investigated. High resolution structural analysis techniques such as synchrotron x-ray diffraction revealed the formation of MnSi 1.7 nanoparticles already in the as-implanted samples. Depending on the Mn fluence, the size increases from 5 nm to 20 nm upon rapid thermal annealing. No significant evidence is found for Mn substituting Si sites either in the as-implanted or annealed samples. The observed ferromagnetism yields a saturation moment of 0.21μ B per implanted Mn at 10 K, which could be assigned to MnSi 1.7 nanoparticles as revealed by a temperature-dependent magnetization measurement

  15. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  16. Lattice location of implanted transition metals in 3C–SiC

    CERN Document Server

    AUTHOR|(CDS)2085259; Wahl, Ulrich; Martins Correia, Joao; David Bosne, Eric; Amorim, Lígia; Silva, Daniel; Castro Ribeiro Da Silva, Manuel; Bharuth-Ram, Krishanlal; Da Costa Pereira, Lino Miguel

    2017-01-01

    We have investigated the lattice location of implanted transition metal (TM) 56Mn, 59Fe and 65Ni ions in undoped single-crystalline cubic 3C–SiC by means of the emission channeling technique using radioactive isotopes produced at the CERN-ISOLDE facility. We find that in the room temperature as-implanted state, most Mn, Fe and Ni atoms occupy carbon-coordinated tetrahedral interstitial sites (TC). Smaller TM fractions were also found on Si substitutional (SSi) sites. The TM atoms partially disappear from ideal-TC positions during annealing at temperatures between 500 °C and 700 °C, which is accompanied by an increase in the TM fraction occupying both SSi sites and random sites. An explanation is given according to what is known about the annealing mechanisms of silicon vacancies in silicon carbide. The origin of the observed lattice sites and their changes with thermal annealing are discussed and compared to the case of Si, highlighting the feature that the interstitial migration of TMs in SiC is much slo...

  17. Depth profile of In and As in Si measured by RBS with He and C ions

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Q.; Fang, Z. [Newcastle Univ., NSW (Australia). Dept. of Physics; Ophel, T.R. [Australian National Univ., Canberra, ACT (Australia). Dept. of Nuclear Physics

    1993-12-31

    The depth profile of As and In implanted into Si have been measured by RBS (Rutherford Backscattering Spectrometry) with 2 MeV He ions and 6 MeV C ions. Advantages of enhanced depth and mass resolution with C ions have been demonstrated over the conventional He RBS. More reliable information for the depth profile of In and As in Si has been obtained. 12 refs., 3 figs.

  18. Depth profile of In and As in Si measured by RBS with He and C ions

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Q; Fang, Z [Newcastle Univ., NSW (Australia). Dept. of Physics; Ophel, T R [Australian National Univ., Canberra, ACT (Australia). Dept. of Nuclear Physics

    1994-12-31

    The depth profile of As and In implanted into Si have been measured by RBS (Rutherford Backscattering Spectrometry) with 2 MeV He ions and 6 MeV C ions. Advantages of enhanced depth and mass resolution with C ions have been demonstrated over the conventional He RBS. More reliable information for the depth profile of In and As in Si has been obtained. 12 refs., 3 figs.

  19. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M.; Berg, J.A. van den E-mail: j.a.vandenberg@salford.ac.uk; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q

    2004-02-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10{sup 13} to 5 x 10{sup 15} cm{sup -2}. MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10{sup 14} cm{sup -2} (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a {approx}4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses {>=}4 x 10{sup 14} cm{sup -2} the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, {approx}2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As.

  20. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    International Nuclear Information System (INIS)

    Werner, M.; Berg, J.A. van den; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q.

    2004-01-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10 13 to 5 x 10 15 cm -2 . MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10 14 cm -2 (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a ∼4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses ≥4 x 10 14 cm -2 the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, ∼2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As

  1. Damage formation and recovery in Fe implanted 6H–SiC

    CERN Document Server

    Miranda, Pedro; Catarino, Norberto; Lorenz, Katharina; Correia, João Guilherme; Alves, Eduardo

    2012-01-01

    Silicon carbide doped with magnetic ions such as Fe, Mn, Ni or Co could make this wide band gap semiconductor part of the diluted magnetic semiconductor family. In this study, we report the implantation of 6H-SiC single crystals with magnetic $^{56}$Fe$^{+}$ ions with an energy of 150 keV. The samples were implanted with 5E14 Fe$^+$/cm$^{2}$ and 1E16 Fe$^+$/cm$^{2}$ at different temperatures to study the damage formation and lattice site location. The samples were subsequently annealed up to 1500°C in vacuum in order to remove the implantation damage. The effect of the annealing was followed by Rutherford Backscattering/Channeling (RBS/C) measurements. The results show that samples implanted above the critical amorphization temperature reveal a high fraction of Fe incorporated into regular sites along the [0001] axis. After the annealing at 1000°C, a maximum fraction of 75%, corresponding to a total of 3.8E14 Fe$^{+}$/cm$^{2}$, was measured in regular sites along the [0001] axis. A comparison is made betwee...

  2. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    Science.gov (United States)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  3. Ion implantation and annealing studies in III-V nitrides

    International Nuclear Information System (INIS)

    Zolper, J.C.; Pearton, S.J.

    1996-01-01

    Ion implantation doping and isolation is expected to play an enabling role for the realization of advanced III-Nitride based devices. In fact, implantation has already been used to demonstrate n- and p-type doping of GaN with Si and Mg or Ca, respectively, as well as to fabricate the first GaN junction field effect transistor. Although these initial implantation studies demonstrated the feasibility of this technique for the III-Nitride materials, further work is needed to realize its full potential. After reviewing some of the initial studies in this field, the authors present new results for improved annealing sequences and defect studies in GaN. First, sputtered AlN is shown by electrical characterization of Schottky and Ohmic contacts to be an effect encapsulant of GaN during the 1,100 C implant activation anneal. The AlN suppresses N-loss from the GaN surface and the formation of a degenerate n + -surface region that would prohibit Schottky barrier formation after the implant activation anneal. Second, they examine the nature of the defect generation and annealing sequence following implantation using both Rutherford Backscattering (RBS) and Hall characterization. They show that for a Si-dose of 1 x 10 16 cm -2 50% electrical donor activation is achieved despite a significant amount of residual implantation-induced damage in the material

  4. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  5. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  6. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  7. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  8. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  9. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  10. Surface damage studies of ETFE polymer bombarded with low energy Si ions (≤100 keV)

    International Nuclear Information System (INIS)

    Minamisawa, Renato Amaral; Almeida, Adelaide De; Budak, Satilmis; Abidzina, Volha; Ila, Daryush

    2007-01-01

    Surface studies of ethylenetetrafluoroethylene (ETFE), bombarded with Si in a high-energy tandem Pelletron accelerator, have recently been reported. Si ion bombardment with a few MeV to a few hundred keV energies was shown to be sufficient to produce damage on ETFE film. We report here the use of a low energy implanter with Si ion energies lower than 100 keV, to induce changes on ETFE films. In order to determine the radiation damage, ETFE bombarded films were simulated with SRIM software and analyzed with optical absorption photometry (OAP), Raman and Fourier transform infrared-attenuated total reflectance (FTIR-ATR) spectroscopy to show quantitatively the physical and chemical property changes. Carbonization occurs following higher dose implantation, and hydroperoxides were formed following dehydroflorination of the polymer

  11. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  12. Xenon-ion-induced and thermal mixing of Co/Si bilayers and their interplay

    Energy Technology Data Exchange (ETDEWEB)

    Novakovic, M. [VINCA Institute of Nuclear Sciences, 11001 Belgrade (Serbia); II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Zhang, K. [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Popovic, M.; Bibic, N. [VINCA Institute of Nuclear Sciences, 11001 Belgrade (Serbia); II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Hofsaess, H. [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany); Lieb, K.P., E-mail: plieb@gwdg.d [II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Friedrich-Hund-Platz 1, 37077 Goettingen (Germany)

    2011-05-01

    Studies on ion-irradiated transition-metal/silicon bilayers demonstrate that interface mixing and silicide phase formation depend sensitively on the ion and film parameters, including the structure of the metal/Si interface. Thin Co layers e-gun evaporated to a thickness of 50 nm on Si(1 0 0) wafers were bombarded at room temperature with 400-keV Xe{sup +} ions at fluences of up to 3 x 10{sup 16} cm{sup -2}. We used either crystalline or pre-amorphized Si wafers the latter ones prepared by 1.0-keV Ar-ion implantation. The as-deposited or Xe-ion-irradiated samples were then isochronally annealed at temperatures up to 700 {sup o}C. Changes of the bilayer structures induced by ion irradiation and/or annealing were investigated with RBS, XRD and HRTEM. The mixing rate for the Co/c-Si couples, {Delta}{sigma}{sup 2}/{Phi} = 3.0(4) nm{sup 4}, is higher than the value expected for ballistic mixing and about half the value typical for spike mixing. Mixing of pre-amorphized Si is much weaker relative to crystalline Si wafers, contrary to previous results obtained for Fe/Si bilayers. Annealing of irradiated samples produces very similar interdiffusion and phase formation patterns above 400 {sup o}C as in the non-irradiated Co/Si bilayers: the phase evolution follows the sequence Co{sub 2}Si {yields} CoSi {yields} CoSi{sub 2}.

  13. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  14. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  15. Swept Line Electron Beam Annealing of Ion Implanted Semiconductors.

    Science.gov (United States)

    1982-07-01

    a pre- liminary study using silicon solar cells. This work was undertaken in cooperation with Dr. J. Eguren of the Instituto De Energia Solar , Madrid...device fabrication has been attempted. To date, resistors, capacitors, diodes, bipolar transistors, MOSFEs, and solar cells have been fabricated with...34 " 48 *Si Solar Cells Ruby PL P+ Ion-Implanted 49 Ruby PL Pulsed Diffused 50 :C

  16. 1/f Fluctuations in ion implanted metal semiconductor contacts

    International Nuclear Information System (INIS)

    Stojanovic, M.; Marjanovic, N.; Radojevic, B.

    1998-01-01

    Ion implanted Metal-Semiconductor contacts is the most widely used structures in electrical devices. Weather complete devices or some parts are of interest, properties of metal-semiconductor junction strongly influence the quality and external characteristic of electronic devices. That is the reason why special attention is paid to the investigation of factor (noise for example) that could influence given junction. Low frequency 1/f fluctuations (noise) are constantly present in metal-semiconductor junction, so measurement of their level as well as the dependence on factors such as temperature must be taken into account in detailed analysis of electrical characteristics of devices such as contact, nuclear detector with surface barrier etc. In this paper we present the results of low frequency noise level measurements on TiN-Ti-Si structures produced by As + ion implantation. (author)

  17. Distribution of species and Ga–N bonds in silicon co-implanted with gallium and nitrogen ions

    International Nuclear Information System (INIS)

    Surodin, S. I.; Nikolitchev, D. E.; Kryukov, R. N.; Belov, A. I.; Korolev, D. S.; Mikhaylov, A. N.; Tetelbaum, D. I.

    2016-01-01

    The concentration profiles of species in silicon subjected to gallium and nitrogen co-implantation and subsequent annealing have been investigated by the method of X-ray photoelectron spectroscopy combined with the layer-by-layer ion etching of the implanted layer. It is shown that practically entire implanted gallium undergoes out-diffusion, but the preliminary implantation of nitrogen for the synthesis of a barrier SiN_x layer makes it possible to avoid the essential loss of gallium. In this case, about 14 % of implanted gallium bond to nitrogen. The obtained data are discussed from the viewpoint of the possibility of ion synthesis of GaN inclusions in silicon matrix.

  18. Distribution of species and Ga–N bonds in silicon co-implanted with gallium and nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Surodin, S. I., E-mail: surodin.bsn@mail.ru; Nikolitchev, D. E.; Kryukov, R. N.; Belov, A. I.; Korolev, D. S.; Mikhaylov, A. N.; Tetelbaum, D. I., E-mail: tetelbaum@phys.unn.ru [Lobachevsky University, 23 Prospekt Gagarina, Nizhny Novgorod, 603950 (Russian Federation)

    2016-06-17

    The concentration profiles of species in silicon subjected to gallium and nitrogen co-implantation and subsequent annealing have been investigated by the method of X-ray photoelectron spectroscopy combined with the layer-by-layer ion etching of the implanted layer. It is shown that practically entire implanted gallium undergoes out-diffusion, but the preliminary implantation of nitrogen for the synthesis of a barrier SiN{sub x} layer makes it possible to avoid the essential loss of gallium. In this case, about 14 % of implanted gallium bond to nitrogen. The obtained data are discussed from the viewpoint of the possibility of ion synthesis of GaN inclusions in silicon matrix.

  19. The Effect of Annealing at 15000C on Migration and Release of Ion Implanted Silver in CVD Silicon Carbide

    International Nuclear Information System (INIS)

    HJ MacLean; RG Ballinger; LE Kolaya; SA Simonson; N Lewis; M Hanson

    2004-01-01

    The transport of silver in CVD β-SiC has been studied using ion implantation. Silver ions were implanted in β-SiC using the ATLAS accelerator facility at the Argonne National Laboratory. Ion beams with energies of 93 and 161 MeV were used to achieve deposition with peak concentrations at depths of approximately 9 and 13 (micro)m, respectively. As-implanted samples were then annealed at 1500 C for 210 or 480 hours. XPS, SEM, TEM, STEM, and optical methods were used to analyze the material before and after annealing. Silver concentration profiles were determined using XPS before and after annealing. STEM and SEM equipped with quantitative chemical analysis capability were used to more fully characterize the location and morphology of the silver before and after annealing. The results show that, within the uncertainty of measurement techniques, there is no silver migration, via either inter- or intragrannular paths, for the times and temperature studied. Additionally, the silver was observed to phase separate within the SiC after annealing. The irradiation damage from the implantation process resulted in a three-layer morphology in the as-implanted condition: (1) a layer of unaltered SiC, followed by (2) a layer of crystallized SiC, followed by (3) an amorphized layer which contained essentially all of the implanted silver. After annealing the layer structure changed. Layer 1 was unaltered. The grains in layer 2 recrystallized to form an epitaxial (columnar) layer. Layer 3 recrystallized to form a fine grain equiaxed layer. The results of this work do not support the long held assumption that silver release from CVD SiC, used for gas-reactor coated particle fuel, is dominated by grain boundary diffusion

  20. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  1. Swift heavy ion irradiation effects in SiC measured by positrons

    Energy Technology Data Exchange (ETDEWEB)

    Liszkay, L.; Kajcsos, Zs.; Szilagyi, E. [KFKI Research Inst. for Nuclear and Particle Physics, Budapest (Hungary); Havancsak, K. [Dept. for Solid State Physics, Eoetvoes Univ., Budapest (Hungary); Barthe, M.F.; Desgardin, P.; Henry, L. [CNRS Centre d' Etudes et de Recherches par Irradiation, Orleans (France); Battistig, G. [Research Inst. for Technical Physics and Materials Science, Budapest (Hungary); Skuratov, V.A. [Joint Inst. of Nuclear Research, Moscow (Russian Federation). Bogoliubov Lab. of Theoretical Physics

    2001-07-01

    N-type 6H SiC single crystals irradiated with swift (246 MeV) Kr ions at room temperature (the implantation depth being 21 {mu}m) were investigated by conventional positron lifetime and Doppler-broadening measurements as well as with the application of a slow positron beam. The fluence dependence of the irradiation-induced defects was studied in the 1 x 10{sup 10} - 1 x 10{sup 14} ion cm{sup -2} range. In the fluence and depth range studied, no sign of amorphization (or creation of large voids) was seen in the Kr irradiated crystals. The positron annihilation results were compared with atomic displacement calculations by TRIM. A simple model was used to describe the trapping effect and determine the relationship between the atomic displacement densities and the positron trapping. The 225 ps lifetime of the open-volume defects created suggests that the V{sub Si}-V{sub C} divacancy is the dominant trapping site in the implanted zone. (orig.)

  2. Photoluminescence and structural studies of Tb and Eu implanted at high temperatures into SiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Bregolin, F.L. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil); Sias, U.S., E-mail: uilson.sias@gmail.com [Instituto Federal Sul-rio-grandense, Campus Pelotas, Praca 20 de Setembro 455, 96015-360, Pelotas-RS (Brazil); Behar, M. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil)

    2013-03-15

    The present work deals with the photoluminescence (PL) emitted from Eu and Tb ions implanted at room temperature (RT) up to 350 Degree-Sign C in a SiO{sub 2} matrix, followed by a further anneal process. The ions were implanted with energy of 100 keV and a fluence of 3 Multiplication-Sign 10{sup 15} ions/cm Superscript-Two . Further anneals were performed in atmospheres of N{sub 2} or O{sub 2} with temperatures ranging from 500 up to 800 Degree-Sign C. PL measurements were performed at RT and structural measurements were done via transmission electron microscopy (TEM). In addition, the Rutherford backscattering technique (RBS) was used to investigate the corresponding ion depth profiles. For Tb, the optimal implantation temperature was 200 Degree-Sign C, and the anneal one was of 500 Degree-Sign C. Under these conditions, the PL yield of the sharp band centered at 550 nm was significatively higher than the one obtained with RT implants. The PL spectra corresponding to the Eu ions show two bands, one narrow centered around 650 nm and a second broad one in the blue-green region. The implantation temperature plays a small influence on the PL shape and yield. However, the annealing atmosphere has a strong influence on it. Samples annealed in N{sub 2} present a broad PL band, ranging from 370 up to 840 nm. On the other hand, the O{sub 2} anneal conserves the original as-implanted spectrum, that is: a broad PL band in the blue-green region together with sharp PL band in the red one. For both ions, Tb and Eu, the TEM analyses indicate the formation of nanoclusters in the hot as-implanted samples. - Highlights: Black-Right-Pointing-Pointer Eu and Tb nanoparticles were obtained by hot ion implantation into SiO{sub 2} matrix. Black-Right-Pointing-Pointer TEM results indicate the formation of nanoclusters in the hot as-implanted samples. Black-Right-Pointing-Pointer Samples annealed in N{sub 2} presented a broad PL band (from 370 up to 840 nm). Black-Right-Pointing-Pointer O

  3. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  4. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  5. Study of surface exfoliation on 6H-SiC induced by H{sub 2}{sup +} implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, L. [Department of Physics, School of Science, Lanzhou University of Technology, Lanzhou 730050 (China); Li, B.S., E-mail: b.s.li@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2017-03-01

    The effect of lattice damage generated by the H{sub 2}{sup +}-implantation on exfoliation efficiency in 6H-SiC wafers is investigated. <0001> 6H-SiC wafers were implanted with 134 keV H{sub 2}{sup +} ions to ion fluences from 1.5×10{sup 16} to 5×10{sup 16} H{sub 2}{sup +} cm{sup −2} and subsequently annealed at temperatures from 973 K to 1373 K. The samples were studied by a combination of optical microscopy and transmission electron microscopy. Only after 1373 K annealing for 15 min, blisters and exfoliation occur on the H{sub 2}{sup +}-implanted sample surface. With increasing the implantation fluences from 1.5×10{sup 16} to 3.75×10{sup 16} H{sub 2}{sup +} cm{sup −2}, the exfoliation mean size decreases, while the exfoliation density increases. For the highest fluence of 5×10{sup 16} H{sub 2}{sup +} cm{sup −2}, seldom exfoliations occur on the sample surface. Microstructure analysis shows that exfoliation efficiency is largely controlled by the H{sub 2}{sup +}-implantation-induced lattice damage. The depth of the microcrack is related to the implantation fluence. The effect of implantation fluence on dislocation loops, platelet nucleation and growth is investigated.

  6. Tailoring of SiC nanoprecipitates formed in Si

    Energy Technology Data Exchange (ETDEWEB)

    Velisa, G., E-mail: gihan.velisa@cea.fr [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Horia Hulubei National Institute for Physics and Nuclear Engineering, P.O. Box MG-6, 077125 Magurele (Romania); Trocellier, P. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Thomé, L. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Vaubaillon, S. [CEA, INSTN, UEPTN, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Miro, S.; Serruys, Y.; Bordas, É. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Meslin, E. [CEA, DEN, Service de Recherches de Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Mylonas, S. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Coulon, P.E. [Ecole Polytechnique, Laboratoire des Solides Irradiés, CEA/DSM/IRAMIS-CNRS, 91128 Palaiseau Cedex (France); Leprêtre, F.; Pilz, A.; Beck, L. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France)

    2013-07-15

    The SiC synthesis through single-beam of C{sup +}, and simultaneous-dual-beam of C{sup +} and Si{sup +} ion implantations into a Si substrate heated at 550 °C has been studied by means of three complementary analytical techniques: nuclear reaction analysis (NRA), Raman, and transmission electron microscopy (TEM). It is shown that a broad distribution of SiC nanoprecipitates is directly formed after simultaneous-dual-beam (520-keV C{sup +} and 890-keV Si{sup +}) and single-beam (520-keV C{sup +}) ion implantations. Their shape appear as spherical (average size ∼4–5 nm) and they are in epitaxial relationship with the silicon matrix.

  7. Impurities-Si interstitials interaction in Si doped with B or Ga during ion irradiation

    International Nuclear Information System (INIS)

    Romano, L; Piro, A M; Grimaldi, M G; Rimini, E

    2005-01-01

    Substitutional impurities (B, Ga) in Si experienced an off-lattice displacement during ion-irradiation using a H + or He + beam at room temperature in random incidence. Samples were prepared by solid phase epitaxy (SPE) of pre-amorphized Si subsequently implanted with B and Ga at a concentration of about 1 x10 20 at.cm -3 confined in a 300 nm thick surface region. The lattice location of impurities was performed by a channelling technique along different axes ( , ) using the 11 B(p,α) 8 Be reaction and standard RBS for B and Ga, respectively. The normalized channelling yield χ of the impurity signal increases with the ion fluence, indicating a progressive off-lattice displacement of the dopant during irradiation in random incidence, until it saturates at χ F I ) generated by the impinging beam in the doped region

  8. Nanocrystalline diamond in carbon implanted SiO{sub 2}.

    Energy Technology Data Exchange (ETDEWEB)

    Tsoi, K.A.; Prawer, S.; Nugent, K.W.; Walker, R. J.; Weiser, P.S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Recently, it was reported that nanocrystalline diamond can be produced via laser annealing of a high dose C implanted fused quartz (SiO{sub 2}) substrate. The aim of this investigation is to reproduce this result on higher C{sup +} dose samples and the non-implanted silicon sample, as well as optimise the power range and annealing time for the production of these nanocrystals of diamond. In order to provide a wide range of laser powers the samples were annealed using an Ar ion Raman laser. The resulting annealed spots were analysed using scanning electron microscopy (SEM) and Raman analysis. These techniques are employed to determine the type of bonding produced after laser annealing has occurred. 4 refs., 5 figs.

  9. Nanocrystalline diamond in carbon implanted SiO{sub 2}.

    Energy Technology Data Exchange (ETDEWEB)

    Tsoi, K A; Prawer, S; Nugent, K W; Walker, R J; Weiser, P S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Recently, it was reported that nanocrystalline diamond can be produced via laser annealing of a high dose C implanted fused quartz (SiO{sub 2}) substrate. The aim of this investigation is to reproduce this result on higher C{sup +} dose samples and the non-implanted silicon sample, as well as optimise the power range and annealing time for the production of these nanocrystals of diamond. In order to provide a wide range of laser powers the samples were annealed using an Ar ion Raman laser. The resulting annealed spots were analysed using scanning electron microscopy (SEM) and Raman analysis. These techniques are employed to determine the type of bonding produced after laser annealing has occurred. 4 refs., 5 figs.

  10. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  11. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  12. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  13. Effect of annealing and oxide layer thickness on doping profiles shape of ''through-oxide'' implanted P+ ions in textured silicon

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; Galloni, R.

    1987-10-01

    Phosphorous ions at energies of 60+100 KeV, and doses (4+5)x10 15 atom/cm 2 have been implanted randomly through SiO 2 layers into textured silicon crystals. The penetration profiles of the P + ions have been determined by means of differential sheet resistivity and Hall-effect, together with the anodic oxidation stripping technique. The effect of the oxide layer thickness, annealing temperature on the junction properties has been studied. The damage produced by implantation, has also been investigated using transmission electron microscope (TEM). From the mobility measurements of the free carriers as a function of depth through the junction, two minima have been observed in through oxide implanted samples. The one nearer to the Si-SiO 2 interface (at about 200A from the interface) was related to the damage produced by the recoil oxygen atoms from the oxide layer into silicon. The deeper minimum is lying at ∼ 0.2μm from the interface and was attributed to the damage produced by the implanted P + ions, which caused clusters and defect loops after annealing. This damage was observed through TEM photographs. The optimum conditions for producing shallow junction without losing much of the implanted P + ions through the oxide layer were estimated. (author). 22 refs, 7 figs, 1 tab

  14. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    International Nuclear Information System (INIS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-01-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C_1"− implantation dose was increased to 1 × 10"1"6 ions/cm"2, and the effects of C_1"−, C_2"− and O_1"− implantation result in only small differences in the water contact angle at 3 × 10"1"5 ions/cm"2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH_3, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O)_x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  15. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  16. Selection of RIB targets using ion implantation at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.; Dellwo, J.

    1995-01-01

    Among several major challenges posed by generating and accelerating adequate intensities of RIBs, selection of the most appropriate target material is perhaps the most difficult because of the requisite fast and selective thermal release of minute amounts of the short-lived product atoms from the ISOL target in the presence of bulk amounts of target material. Experimental studies are under way at the Oak Ridge National Laboratory (ORNL) which are designed to measure the time evolution of implanted elements diffused from refractory target materials which are candidates for forming radioactive ion beams (RIBs) at the Holifield Radioactive Ion Beam Facility (HRIBF). The diffusion coefficients are derived by comparing experimental data with numerical solutions to a one-dimensional form of Fick's second law for ion implanted distributions. In this report, we describe the experimental arrangement, experimental procedures, and provide time release data and diffusion coefficients for releasing ion implanted 37 Cl from Zr 5 Si 3 and 75 As, 79 Br, and 78 Se from Zr 5 Ge 3 and estimates of the diffusion coefficients for 35 Cl, 63 Cu, 65 Cu, 69 Ga and 71 Ga diffused from BN; 35 Cl, 63 Cu, 65 Cu, 69 Ga, 75 As, and 78 Se diffused from C; 35 Cl, 68 Cu, 69 Ga, 75 As, and 78 Se diffused from Ta

  17. Depth dependent modification of optical constants arising from H+ implantation in n-type 4H-SiC measured using coherent acoustic phonons

    Directory of Open Access Journals (Sweden)

    Andrey Baydin

    2016-06-01

    Full Text Available Silicon carbide (SiC is a promising material for new generation electronics including high power/high temperature devices and advanced optical applications such as room temperature spintronics and quantum computing. Both types of applications require the control of defects particularly those created by ion bombardment. In this work, modification of optical constants of 4H-SiC due to hydrogen implantation at 180 keV and at fluences ranging from 1014 to 1016 cm−2 is reported. The depth dependence of the modified optical constants was extracted from coherent acoustic phonon spectra. Implanted spectra show a strong dependence of the 4H-SiC complex refractive index depth profile on H+ fluence. These studies provide basic insight into the dependence of optical properties of 4H silicon carbide on defect densities created by ion implantation, which is of relevance to the fabrication of SiC-based photonic and optoelectronic devices.

  18. Site of Er ions in silica layers codoped with Si nanoclusters and Er

    International Nuclear Information System (INIS)

    Pellegrino, P.; Garrido, B.; Arbiol, J.; Garcia, C.; Lebour, Y.; Morante, J.R.

    2006-01-01

    Silica layers implanted with Si and Er ions to various doses and annealed at 950 deg. C have been investigated by means of energy-filtered transmission electron microscopy (EFTEM) and high annular angle dark field (HAADF). EFTEM analysis reveals Si nanoclusters (Si-nc) with an average size around 3 nm for high Si content (15 at. %) whereas no clusters can be imaged for the lowest Si excess (5 at. %). Raman scattering supports that amorphous Si precipitates are present in all the samples. Moreover, the filtered images show that Er ions appear preferentially located outside the Si-nc. HAADF analysis confirms that the Er atoms form agglomerations of 5-10 nm size when the Er concentration exceeds 1x10 20 cm -3 . This observation correlates well with the reduction of the Er population excitable by Si nanoclusters, in the best case corresponding to 10% of the total. A suitable tuning of the annealing drastically reduces this deleterious effect

  19. The reactivity of ion-implanted SiC

    International Nuclear Information System (INIS)

    McHargue, C.J.; Lewis, M.B.; Williams, J.M.; Appleton, B.R.

    1985-01-01

    Implantation of chromium into single crystal or polycrystalline α-SiC produces a surface amorphous layer for displacement damage greater than about 0.2 displacements per atom at room temperature. The enhanced chemical reactivity of such specimens was studied by two methods: chemical etching rate and oxidation rate. The chemical etching rates in a saturated solution of 50% K 3 Fe(CN) 6 plus 50% KOH were measured. The etching rate for the amorphous layer was 2.4-3.7 times that of the polycrystalline samples and 3.0-4.1 times that of the single-crystal samples. Polycrystalline specimens were exposed to flowing oxygen for 1 h at 1300 0 C. Rutherford backscattering and the nuclear reaction 16 O(d,p) 17 O* were used to determine the amount of oxygen on the surface. The amount of oxygen (and the thickness of oxide) over the amorphous region was 1.67 times that over the crystalline region. The relative thicknesses of the oxide on the amorphous and crystalline regions were confirmed by measuring the sputtering time required to remove the oxygen signal in an Auger spectrometer. (Auth.)

  20. Resonant Raman scattering in ion-beam-synthesized Mg2Si in a silicon matrix

    International Nuclear Information System (INIS)

    Baleva, M.; Zlateva, G.; Atanassov, A.; Abrashev, M.; Goranova, E.

    2005-01-01

    Resonant Raman scattering by ion beam synthesized in silicon matrix Mg 2 Si phase is studied. The samples are prepared with the implantation of 24 Mg + ions with dose 4x10 17 cm -2 and with two different energies 40 and 60 keV into (100)Si substrates. The far infrared spectra are used as criteria for the formation of the Mg 2 Si phase. The Raman spectra are excited with different lines of Ar + laser, with energies of the lines lying in the interval from 2.40 to 2.75 eV. The resonant scattering can be investigated using these laser lines, as far as according to the Mg 2 Si band structure, there are direct gaps with energies in the same region. The energy dependences of the scattered intensities in the case of the scattering by the allowed F 2g and the forbidden LO-type modes are experimentally obtained and theoretically interpreted. On the base of the investigation energies of the interband transitions in the Mg 2 Si are determined. It is found also that the resonant Raman scattering appears to be a powerful tool for characterization of a material with inclusions in it. In the particular case it is concluded that the Mg 2 Si phase is present in the form of a surface layer in the sample, prepared with implantation energy 40 keV and as low-dimensional precipitates, embedded in the silicon matrix, in the sample, prepared with the higher implantation energy

  1. The interaction between Xe and F in Si (1 0 0) pre-amorphised with 20 keV Xe and implanted with low energy BF{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom)]. E-mail: m.werner@pgr.salford.ac.uk; Berg, J.A. van den [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Armour, D.G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Carter, G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Feudel, T. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Herden, M. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Bersani, M. [ITC IRST, 38050 Povo, Trento (Italy); Giubertoni, D. [ITC IRST, 38050 Povo, Trento (Italy); Bailey, P. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom); Noakes, T.C.Q. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom)

    2004-12-15

    The pre-amorphisation of Si by Xe{sup +} ions, before source/drain and extension implants, is an attractive alternative to Ge{sup +} or Si{sup +}, as it produces sharper amorphous/crystalline interfaces. Si (1 0 0) samples pre-amorphised with 20 keV Xe{sup +} to a nominal dose of 2E14 cm{sup -2} were implanted with 1 and 3 keV BF{sub 2} {sup +} to doses of 7E14 cm{sup -2}. Samples were annealed at temperatures ranging from 600 to 1130 deg. C and investigated by medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). Following annealing, it was observed that implanted Xe has interacted with F originating from the BF{sub 2} {sup +} implant. MEIS studies showed that for all annealing conditions, approximately half of the Xe accumulated at depths of 7 nm for the 1 keV and at 13 nm for the 3 keV BF{sub 2} {sup +} implant. This equates to the end of range of B and F within the amorphous Si. SIMS showed that in the pre-amorphised samples, approximately 10% of the F migrates into the bulk and is trapped at the same depth in a {approx}1:1 ratio to Xe. A small fraction of the implanted B is also trapped. The effect is interpreted in terms of the formation of a defect structure within the amorphised Si, leading to F stabilised Xe bubble or XeF compound formation.

  2. Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope

    Science.gov (United States)

    Zhang, L.; Heinig, N. F.; Bazargan, S.; Abd-Ellah, M.; Moghimi, N.; Leung, K. T.

    2015-06-01

    The recently commercialized helium ion microscope (HIM) has already demonstrated its outstanding imaging capabilities in terms of resolution, surface sensitivity, depth of field and ease of charge compensation. Here, we show its exceptional patterning capabilities by fabricating dense lines and three-dimensional (3D) nanostructures on a Si substrate. Small focusing spot size and confined ion-Si interaction volume of a high-energy helium ion beam account for the high resolution in HIM patterning. We demonstrate that a set of resolvable parallel lines with a half pitch as small as 3.5 nm can be achieved. During helium ion bombardment of the Si surface, implantation outperforms milling due to the small mass of the helium ions, which produces tumefaction instead of depression in the Si surface. The Si surface tumefaction is the result of different kinetic processes including diffusion, coalescence and nanobubble formation of the implanted ions, and is found to be very stable structurally at room temperature. Under appropriate conditions, a linear dependence of the surface swollen height on the ion doses can be observed. This relation has enabled us to fabricate nanopyramids and nanocones, thus demonstrating that HIM patterning provides a new ‘bottom-up’ approach to fabricate 3D nanostructures. This surface tumefaction method is direct, both positioning and height accurate, and free of resist, etch, mode and precursor, and it promises new applications in nanoimprint mold fabrication and photomask clear defect reparation.

  3. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  4. High-temperature Au implantation into Ni-Be and Ni-Si alloys

    Science.gov (United States)

    James, M. R.; Lam, N. Q.; Rehn, L. E.; Baldo, P. M.; Funk, L.; Stubbins, J. F.

    1992-12-01

    Effects of implantation temperature and target composition on depth distribution of implanted species were investigated. Au+ ions were implanted at 300 keV into polycrystalline Ni-Be and Ni-Si alloys between 25 and 700C to a dose of 10(exp 16) cm(exp -2). Depth distributions of Au were analyzed with RBS using He+ at both 1.7 and 3.0 MeV, and those of the other alloying elements by SIMS. Theoretical modeling of compositional redistribution during implantation at elevated temperatures was also carried out with the aid of a comprehensive kinetic model. The analysis indicated that below approximately 250C, the primary controlling processes were preferential sputtering and displacement mixing, while between 250 and 600C radiation-induced segregation was dominant. Above 600C, thermal-diffusion effects were most important. Fitting of model calculations to experimental measurements provided values for various defect migration and formation parameters.

  5. Laser ion implantation of Ge in SiO2 using a post-ion acceleration system

    Czech Academy of Sciences Publication Activity Database

    Cutroneo, Mariapompea; Macková, Anna; Torrisi, L.; Lavrentiev, Vasyl

    2017-01-01

    Roč. 35, č. 1 (2017), s. 72-80 ISSN 0263-0346 R&D Projects: GA MŠk LM2015056; GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : laser ion implantation * post-acceleration Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.420, year: 2016

  6. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  7. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  8. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    Science.gov (United States)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  9. Study of SiO2 surface sputtering by a 250-550 keV He+ ion beam during high-resolution Rutherford backscattering measurements

    International Nuclear Information System (INIS)

    Kusanagi, Susumu; Kobayashi, Hajime

    2006-01-01

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO 2 layer on a Si substrate when irradiated by 250-550 keV He + ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO 2 /Si substrate were also observed as a result of He + ion irradiation. These results lead to the conclusion that the SiO 2 surface was sputtered by He + ions in this energy range

  10. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  11. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  12. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  13. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  14. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  15. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  16. Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope

    International Nuclear Information System (INIS)

    Zhang, L; Heinig, N F; Bazargan, S; Abd-Ellah, M; Moghimi, N; Leung, K T

    2015-01-01

    The recently commercialized helium ion microscope (HIM) has already demonstrated its outstanding imaging capabilities in terms of resolution, surface sensitivity, depth of field and ease of charge compensation. Here, we show its exceptional patterning capabilities by fabricating dense lines and three-dimensional (3D) nanostructures on a Si substrate. Small focusing spot size and confined ion–Si interaction volume of a high-energy helium ion beam account for the high resolution in HIM patterning. We demonstrate that a set of resolvable parallel lines with a half pitch as small as 3.5 nm can be achieved. During helium ion bombardment of the Si surface, implantation outperforms milling due to the small mass of the helium ions, which produces tumefaction instead of depression in the Si surface. The Si surface tumefaction is the result of different kinetic processes including diffusion, coalescence and nanobubble formation of the implanted ions, and is found to be very stable structurally at room temperature. Under appropriate conditions, a linear dependence of the surface swollen height on the ion doses can be observed. This relation has enabled us to fabricate nanopyramids and nanocones, thus demonstrating that HIM patterning provides a new ‘bottom-up’ approach to fabricate 3D nanostructures. This surface tumefaction method is direct, both positioning and height accurate, and free of resist, etch, mode and precursor, and it promises new applications in nanoimprint mold fabrication and photomask clear defect reparation. (paper)

  17. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  18. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  19. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  20. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  1. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  2. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Chenlong [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Wang, Guangfu, E-mail: 88088@bnu.edu.cn [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Beijing Radiation Center, 100875 Beijing (China); Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China)

    2016-03-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C{sub 1}{sup −} implantation dose was increased to 1 × 10{sup 16} ions/cm{sup 2}, and the effects of C{sub 1}{sup −}, C{sub 2}{sup −} and O{sub 1}{sup −} implantation result in only small differences in the water contact angle at 3 × 10{sup 15} ions/cm{sup 2}. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH{sub 3}, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O){sub x} (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method

  3. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  4. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  5. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    Science.gov (United States)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  6. Computer simulation of range and damage distributions of He ions in SiC

    International Nuclear Information System (INIS)

    Miyagawa, Yoshiko; Ato, Yasuro; Miyagawa, Soji

    1984-01-01

    The experimental projected ranges of various heavy ions in an amorphous Si target in the energy region where the nuclear stopping dominates are compared with calculations using the computer simulation program SASAMAL with the Lenz-Jensen, Moliere, Thomas-Fermi and Kalbitzer-Oetzmann (KO) screening parameters. In most cases. the best agreement was obtained with the KO screening parameters. The projected range distributions of He ions implanted in an SiC target were calculated using SASAMAL with KO screening parameters. The agreement between the SASAMAL(KO) results and our experimental data was satisfactory when the electronic stopping parameter k=1.3 k sub(NS) was used. The energy and the depth distributions of the primary knock-on atoms and the depth distributions of the recoil energy density with various values of the displacement energy Esub(d) were also calculated using SASAMAL(KO) for He ions in SiC. (author)

  7. N and Si Implantation Effect on Structural and Electrical Properties of Bridgman grown GaSe Single Crystal

    International Nuclear Information System (INIS)

    Karabulut, O.

    2004-01-01

    N and Si implantation to GaSe single crystals were carried out parallel to c-axis with ion beam of about 10 1 6 ions/cm 2 dose having energy values 30, 60 and 100 keV. Ion implantation modifications on Bridgman grown GaSe single crystals have been investigated by means of XRD, electrical conductivity, absorption and photoconductivity measurements. XRD measurements revealed that annealing results in a complete recovery of the crystalline nature that was moderately reduced upon implantation. It was observed that both N- and Siimplantation followed by annealing process decreased the resistivity values from 10 7 to 10 3 .-cm. The analysis of temperature dependent conductivity showed that at high temperature region above 200 K, the transport mechanism is dominated by thermal excitation in the doped and undoped GaSe samples. At lower temperatures, the conduction of carriers is dominated by variable range hopping mechanism in the implanted samples. Absorption and spectral photoconductivity measurements showed that the band edge is shifted in the implanted sample. All these modifications were attributed to the structural modifications and continuous shallow trap levels introduced upon implantation and annealing

  8. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  9. Defects in boron ion implanted silicon

    International Nuclear Information System (INIS)

    Wu, W.K.

    1975-05-01

    The crystal defects formed after post-implantation annealing of B-ion-implanted Si irradiated at 100 keV to a moderate dose (2 x 10 14 /cm 2 ) were studied by transmission electron microscopy. Contrast analysis and annealing kinetics show at least two different kinds of linear rod-like defects along broken bracket 110 broken bracket directions. One kind either shrinks steadily remaining on broken bracket 110 broken bracket at high temperatures (greater than 850 0 C), or transforms into a perfect dislocation loop which rotates toward broken bracket 112 broken bracket perpendicular to its Burgers vector. The other kind shrinks steadily at moderate temperatures (approximately 800 0 C). The activation energy for shrinkage of the latter (3.5 +- 0.1 eV) is the same as that for B diffusion in Si, suggesting that this linear defect is a boron precipitate. There also exist a large number of perfect dislocation loops with Burgers vector a/2broken bracket 110 broken bracket. The depth distribution of all these defects was determined by stereomicroscopy. The B precipitates lying parallel to the foil surfaces are shown to be at a depth of about 3500 +- 600 A. The loops are also at the same depth, but with a broader spread, +-1100 A. Si samples containing B and samples containing no B (P-doped) were irradiated in the 650-kV electron microscope. Irradiation at 620 0 C resulted in the growth of very long linear defects in the B-doped samples but not in the others, suggesting that at 620 0 C Si interstitials produced by the electron beam replace substitutional B some of which precipitates in the form of long rods along broken bracket 110 broken bracket. (DLC)

  10. The diffusion properties of ion implanted species in selected target materials

    International Nuclear Information System (INIS)

    Alton, G.D.; Dellwo, J.; Carter, H.K.; Kormicki, J.; Bartolo, G. di; Batchelder, J.C.; Breitenbach, J.; Chediak, J.A.; Jentoff-Nilsen, K.; Ichikawa, S.

    1995-01-01

    Experiments important to the future success of the Holifield Radioactive Ion Beam Facility (HRIBF) are in progress at the Oak Ridge National Laboratory which are designed to select the most appropriate target material for generating a particular radioactive ion beam (RIB). The 25-MV HHIRF tandem accelerator is used to implant stable complements of interesting radioactive elements into refractory targets mounted in a high-temperature FEBIAD ion source which is open-quotes on-lineclose quotes at the UNISOR facility. The intensity versus time of implanted species, which diffuse from the high-temperature target material (∼1700 degrees C) and are ionized in the FEBIAD ion source, is used to determine release times for a particular projectile/target material combination. From such release data, diffusion coefficients can be derived by fitting the theoretical results obtained by computational solution of Fick's second equation to experimental data. The diffusion coefficient can be used subsequently to predict the release properties of the particular element from the same material in other target geometries and at other temperatures, provided that the activation energy is also known. Diffusion coefficients for Cl implanted into and diffused from CeS and Zr 5 Si 3 and As, Br, and Se implanted into and diffused from Zr 5 Ge 3 have been derived from the resulting intensity versus time profiles. Brief descriptions of the experimental apparatus and procedures utilized in the present experiments and plans for future related experiments are presented

  11. Effect of radiation damage on luminescence of erbium-implanted SiO sub 2 /Si studied by slow positron beam

    CERN Document Server

    Kawasuso, A; Hirata, K; Sekiguchi, T; Kobayashi, Y; Okada, S

    2000-01-01

    The effect of damage on 1.54 mu m luminescence for 30 keV-Er-implanted SiO sub 2 films has been studied by positron annihilation and cathodoluminescence. It was found that S-parameter in the films decreased after implantation, indicating the suppression of positronium formation. The luminescence appeared with the recovery of the S-parameter after 600 deg. C annealing. The intensity reached a maximum at 900 deg. C annealing whereas the S-parameter did not change significantly. It seems that most damages recover at 600 deg. C and thereafter Er ions transform to an optically active state at 900 deg. C.

  12. Application of triple-crystal diffractometry for study of ion implanted layer defects

    International Nuclear Information System (INIS)

    Shcherbachev, K.D.; Bublik, V.T.

    2000-01-01

    Application of a triple-crystal arrangement, unlike traditionally used double-crystal one, allowed one to separate coherent and incoherent scattering components and to improve a resolution significantly. Advantages of the triple-crystal X-ray diffractometry to study defects in ion-implanted layers are demonstrated by example of characterisation of Si-GaAs(100) wafers doped by Si + with energy of 50 keV and does of 1x10 15 and 1x10 14 cm -2 . To explain a behaviour of point defects after implantation and annealing the analysis of strain depth profile was used. Two processes are shown to play a key role in formation of the distorted layer during implantation. The first one is an annihilation of Frenkel pairs components that decreases the total point defects concentration. Another one is a sink of more mobile interstitials to the surface that leads to formation of the thin subsurface layer enriched by vacancies [ru

  13. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  14. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  15. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  16. Deep ultra violet and visible Raman spectroscopy studies of ion implanted 6H-SiC: Recrytallisation behaviour and thermal decomposition/thermal etching of the near surface region

    Energy Technology Data Exchange (ETDEWEB)

    Kuhudzai, R.J., E-mail: rj.kuhudzai@tuks.co.za [Physics Department, University of Pretoria, Pretoria (South Africa); Malherbe, J.B.; Berg, N.G. van der; Hlatshwayo, T.T.; Odutemowo, O.; Prinsloo, L.C. [Physics Department, University of Pretoria, Pretoria (South Africa); Buys, A.V. [Laboratory for Microscopy and Microanalysis, University of Pretoria (South Africa); Erasmus, R. [School of Physics, University of the Witwatersrand, Johannesburg (South Africa); Wendler, E. [Institut Für Festköperphysik, Friedrich-Schiller-Universität Jena, Jena (Germany)

    2015-12-15

    The recystallisation behaviour and thermal decomposition of the near surface amorphised region of 6H-SiC have been investigated by Raman spectroscopy. 360 keV ions of iodine and silver were implanted at room temperature into wafers of 6H-SiC resulting in the amorphisation of the near surface region. Vacuum annealing of the samples was performed at 1200 °C for 5 h and then sequentially from 1200 to 1600 °C in steps of 100 °C for 30 h at each annealing temperature. Raman spectroscopy was performed using two laser wavelength excitation regimes, the 514 nm laser (visible region) and the 244 nm laser (deep ultraviolet region, DUV). Measurements in the visible region for samples annealed at 1200 °C for 5 h showed that the characteristic 6H-SiC peaks, namely, the Transverse Optical (TO) and Longitudinal Optical (LO) are similar to the virgin samples, albeit with lower intensity due to some retained defects upon recystallisation of the SiC surface region. The similarities between the virgin spectra and the annealed sample were due to the deep penetration of the 514 nm laser into 6H-SiC resulting in the signal from the bulk undamaged 6H-SiC contributing to the overall spectra. However, DUV laser excitation, which only probes the near surface region, shows that after annealing the peaks are broader and asymmetrical compared to the virgin samples. DUV Raman spectra of samples annealed at 1600 °C indicate that SiC has completely decomposed and the top surface layer is now covered by a carbon layer. However the deeper penetrating laser in the visible region showed that the extent of decomposition at 1600 °C was greater for the silver implanted samples than for the iodine implanted samples.

  17. Raman study of damage processes in Si+-implanted GaAs

    International Nuclear Information System (INIS)

    Ivanda, M.; Desnica, U.V.; Haynes, T.E.; Hartmann, I.; Kiefer, W.

    1994-09-01

    Ion-induced damage in GaAs as a function of ion dose following 100 keV Si + implants has been investigated by Raman spectroscopy. A new approach for decomposition of Raman scattering intensity on to the crystalline and amorphous phase components has been used in analysis of Raman spectra. With increasing ion dose the following was observed: (a) the widths of vibrational bands of a-phase significantly increase, while the width of the LO(Γ) phonon band of c-phase remains unchanged; (b) the longitudinal optical phonon band of c-phase completely dissappears, while the transverse optical phonon mode evolves in to a new band of a-phase; (c) the wavenumbers of all vibrational bands of a- and c-phase shift to lower values by ∼ 10--15 cm -1 . A number of mechanisms possibly accountable for these shifts were analysed and evaluated

  18. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  19. Fluence dependence of disorder depth profiles in Pb implanted Si

    International Nuclear Information System (INIS)

    Christodoulides, C.E.; Kadhim, N.J.; Carter, G.

    1980-01-01

    The total, depth integrated disorder, induced by Pb implantation into Si at room temperature, initially increases rapidly with implantation fluence and then reaches a quasi saturation level where the increase with fluence is slow. Measurements of the depth distributions of the disorder, using high resolution low angle exit Rutherford Backscattering/Channelling analysis, suggest that the quasi saturation results from overlapping of disordered zones generated deep in the tail of the disorder-depth profiles. The depth of the disordered solid-crystal boundary, xsub(D), increases with ion fluence PHI, according to the relation xsub(D) = x bar + f(PHI).σ, where x bar is the most probable projected depth and σ the projected standard deviation of disorder generation. It is shown that this relationship is consistent with an approximately Gaussian depth distribution of disorder production. (author)

  20. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  1. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  2. Fabrication and characterisation of embedded metal nanostructures by ion implantation with nanoporous anodic alumina masks

    Energy Technology Data Exchange (ETDEWEB)

    Guan, Wei [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physics and Astronomy, University of Edinburgh, Mayfield Road, Edinburgh EH9 3JZ (United Kingdom); Peng, Nianhua, E-mail: n.peng@surrey.ac.uk [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Jeynes, Christopher [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Ghatak, Jay [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Peng, Yong [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physical Science and Technology, Lanzhou University, 222 Tianshui Road, Lanzhou 730000 (China); Ross, Ian M. [Department of Electronic and Electric Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Bhatta, Umananda M.; Inkson, Beverley J.; Möbus, Günter [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom)

    2013-07-15

    Lateral ordered Co, Pt and Co/Pt nanostructures were fabricated in SiO{sub 2} and Si{sub 3}N{sub 4} substrates by high fluence metal ion implantation through periodic nanochannel membrane masks based on anodic aluminium oxides (AAO). The quality of nanopatterning transfer defined by various AAO masks in different substrates was examined by transmission electron microscopy (TEM) in both imaging and spectroscopy modes.

  3. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  4. 2-D analytical modeling of subthreshold current and subthreshold swing for ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Kunal; Singh, Balraj; Kumar, Sanjay; Jit, Satyabrata

    2017-09-01

    In this paper, the subthreshold behavior of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs has been analyzed by means of subthreshold current and subthreshold swing. The surface potential based formulation of subthreshold current and subthreshold swing is done by solving the 2-D Poisson's equations in the channel region using parabolic approximation method. The dependence of subthreshold characteristics on various device parameters such as gate length ratio, Ge mole fraction, peak doping concentration, projected range, straggle parameter etc. has been studied. The modeling results are found to be well matched with the simulation data obtained by a 2-D device simulator, ATLAS™, from SILVACO.

  5. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  6. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  7. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  8. Formation of radiation-induced point defects in silicon doped thin films upon ion implantation and activating annealing

    International Nuclear Information System (INIS)

    Bublik, V.T.; Shcherbachev, K.D.; Komarnitskaya, E.A.; Parkhomenko, Yu.N.; Vygovskaya, E.A.; Evgen'ev, S.B.

    1999-01-01

    The formation and relaxation processes for radiation-induced defects in the implantation of 50 keV Si + ions into gallium arsenide and subsequent 10-min annealing in arsine at 850 deg. C have been studied by the triple-crystal X-ray diffractometry and secondary-ion mass spectroscopy techniques. It is shown that the existence of the vacancy-enriched layer stimulating diffusion of introduced dopants into the substrate surface can significantly affect the distribution profile of the dopant in the course of preparation of thin implanted layers

  9. Interface strength of SiC/SiC composites with and without helium implantation using micro-indentation test

    International Nuclear Information System (INIS)

    Saito, M.; Ohtsuka, S.

    1998-01-01

    Helium implantation effects on interface strength of SiC/SiC composite were studied using the micro-indentation fiber push-out method. Helium implantation was carried out with an accelerator at about 400 K. Total amount of implanted helium was approximately 10000 appm. Increase of the fiber push-in load was observed in as-implanted specimen. After post-implantation-annealing at 1673 K for 1 h, the change of the fiber push-in load by helium implantation was not observed. Effects of helium implantation on the interface are discussed. (orig.)

  10. Study of SiO{sub 2} surface sputtering by a 250-550 keV He{sup +} ion beam during high-resolution Rutherford backscattering measurements

    Energy Technology Data Exchange (ETDEWEB)

    Kusanagi, Susumu [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)]. E-mail: susumu.kusanagi@jp.sony.com; Kobayashi, Hajime [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)

    2006-08-15

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO{sub 2} layer on a Si substrate when irradiated by 250-550 keV He{sup +} ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO{sub 2}/Si substrate were also observed as a result of He{sup +} ion irradiation. These results lead to the conclusion that the SiO{sub 2} surface was sputtered by He{sup +} ions in this energy range.

  11. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  12. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  13. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  14. Moessbauer-spectroscopic study of structure and magnetism of the exchange-coupled layer systems Fe/FeSn{sub 2}, and Fe/FeSi/Si and the ion-implanted diluted magnetic semiconductor SiC(Fe); Moessbauerspektroskopische Untersuchung von Struktur und Magnetismus der austauschgekoppelten Schichtsysteme Fe/FeSn{sub 2} und Fe/FeSi/Si und des ionenimplantierten verduennten magnetischen Halbleiters SiC(Fe)

    Energy Technology Data Exchange (ETDEWEB)

    Stromberg, Frank

    2009-07-07

    In line with this work the structural and magnetic properties of the exchange coupled layered systems Fe/FeSn{sub 2} and Fe/FeSi/Si and of the Fe ion implanted diluted magnetic semiconductor (DMS) SiC(Fe) were investigated. The main measuring method was the isotope selective {sup 57}Fe conversion electron Moessbauer spectroscopy (CEMS), mostly in connection with the {sup 57}Fe tracer layer technique, in a temperature range from 4.2 K to 340 K. Further measurement techniques were X-ray diffraction (XRD), electron diffraction (LEED, RHEED), SQUID magnetometry and FMR (Ferromagnetic Resonance). In the first part of this work the properties of thin AF FeSn{sub 2}(001) films and of the exchange-bias system Fe/FeSn{sub 2}(001) on InSb(001) were investigated. With the application of {sup 57}Fe-tracer layers and CEMS both the Fe-spin structure and the temperature dependence of the magnetic hyperfine field (B{sub hf}) of FeSn{sub 2} could be examined. The evaporation of Fe films on the FeSn{sub 2} films produced in the latter ones a high perpendicular spin component at the Fe/FeSn{sub 2} interface. In some distance from the interface the Fe spins rotate back into the sample plane. Furthermore {sup 57}Fe-CEMS provided a correlation between the absolute value of the exchange field vertical stroke He vertical stroke and the amount of magnetic defects within the FeSn{sub 2}. Temperature dependent CEMS-measurements yielded informations about the spin dynamics within the AF. The transition temperatures T{sub B}{sup *}, which were interpreted as superparamagnetic blocking temperatures, obtain higher values compared to the temperatures T{sub B} of the exchange-bias effect, obtained with magnetometry measurements. The second part of this work deals with the indirect exchange coupling within Fe/FeSi/Si/FeSi/Fe multilayers and FeSi diffusion barriers. The goal was to achieve Fe free Si interlayers. The CEMS results show that starting from a thickness of t{sub FeSi}=10-12 A of the

  15. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  16. Automated Implanter Endstation for Combinatorial Materials Science with Ion Beams

    International Nuclear Information System (INIS)

    Grosshans, I.; Karl, H.; Stritzker, B.

    2003-01-01

    The discovery, understanding and optimization of new complex functional materials requires combinatorial synthesis techniques and fast screening instrumentation for the measurement of the samples. In this contribution the synthesis of buried II-VI compound semiconductor nanocrystals by ion-implantation in SiO2 on silicon will be presented. For that we constructed a computer controlled implanter target end station, in which a 4-inch wafer can be implanted with a lateral pattern of distinct dose, composition or energy combinations. The chemical reaction of the constituents is initiated either during the implantation process or ex-situ by a rapid thermal process, where a reactive atmosphere can be applied. The resulting optical photoluminescence properties of the individual fields of the pattern can then be screened in rapid succession in an optical cryostat into which the whole wafer is mounted and cooled down. In this way, complex interdependences of the physical parameters can be studied on a single wafer and the technically relevant properties optimized

  17. Optical absorption in silicon layers in the presence of charge inversion/accumulation or ion implantation

    International Nuclear Information System (INIS)

    Alloatti, L.; Lauermann, M.; Koos, C.; Freude, W.; Sürgers, C.; Leuthold, J.

    2013-01-01

    We determine the optical losses in gate-induced charge accumulation/inversion layers at a Si/SiO 2 interface. Comparison between gate-induced charge layers and ion-implanted thin silicon films having an identical sheet resistance shows that optical losses can be significantly lower for gate-induced layers. For a given sheet resistance, holes produce higher optical loss than electrons. Measurements have been performed at λ = 1550 nm

  18. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  19. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  20. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  1. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  2. Defects induced by helium implantation in SiC

    International Nuclear Information System (INIS)

    Oliviero, E.; Barbot, J.F.; Declemy, A.; Beaufort, M.F.; Oliviero, E.

    2008-01-01

    SiC is one of the considered materials for nuclear fuel conditioning and for the fabrication of some core structures in future nuclear generation reactors. For the development of this advance technology, a fundamental research on this material is of prime importance. In particular, the implantation/irradiation effects have to be understood and controlled. It is with this aim that the structural alterations induced by implantation/irradiation in SiC are studied by different experimental techniques as transmission electron microscopy, helium desorption, X-ray diffraction and Rutherford backscattering spectrometry. In this work, the different types of defects induced by helium implantation in SiC, point or primary defects (obtained at low energy (∼100 eV) until spread defects (obtained at higher energy (until ∼2 MeV)) are exposed. The amorphization/recrystallization and swelling phenomena are presented too. (O.M.)

  3. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  4. Study of radiation damage restoration and antimony ions redistribution in Si(1 0 0) and Si(1 1 1) crystals

    CERN Document Server

    Labbani, R; Chafi, Z

    2002-01-01

    In this work, we study the radiation damage restoration and antimony ions redistribution into and oriented silicon substrates. The samples are implanted with antimony to a dose of 5x10 sup 1 sup 4 Sb sup + cm sup - sup 2 at 60 keV energy, then annealed under oxygen atmosphere at 900 deg. C, 30 min. The thin layer of SiO sub 2 (which is formed on Si surface by dry oxidation and expected to prevent any loss of Sb sup + dopant during Si recovery) is removed by a 10% HF solution. The specimens are analyzed by H sup + Rutherford Backscattering Spectrometry operating at 0.3 MeV energy in both random and channelling modes. The values of the projected range, R sub p , the standard deviation, DELTA R sub p , and the dose of antimony ions, which are estimated with a simple program, are in agreement with tabulated ones. It is also shown that the surface damage restoration is better for Si(1 0 0) samples than for Si(1 1 1) ones, in other words, the radiation damage is more significant in Si(1 1 1) substrates. Moreover,...

  5. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  6. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  7. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  8. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  9. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  10. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  11. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  12. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  13. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  14. A HRXRD and nano-indentation study on Ne-implanted 6H–SiC

    International Nuclear Information System (INIS)

    Xu, C.L.; Zhang, C.H.; Li, J.J.; Zhang, L.Q.; Yang, Y.T.; Song, Y.; Jia, X.J.; Li, J.Y.; Chen, K.Q.

    2012-01-01

    Specimens of 6H–SiC single crystal were irradiated at room temperature with 2.3 MeV neon ions to three successively increasing fluences of 2 × 10 14 , 1.1 × 10 15 and 3.8 × 10 15 ions/cm 2 and then annealed at room temperature, 500, 700 and 1000 °C, respectively. The strain in the specimens was investigated with a high resolution XRD spectrometer with an ω-2θ scanning. And the mechanical properties were investigated with the nano-indentation in the continuous stiffness measurement (CSM) mode with a diamond Berkovich indenter. The XRD curves of specimens after irradiation show the diffraction peaks arising at lower angles aside of the main Bragg peak Θ Bragg , indicating that a positive strain is produced in the implanted layer. In the as-implanted specimens, the strain increases with the increase of the ion fluence or energy deposition. Recovery of the strain occurs on subsequent thermal annealing treatment and two stages of defects evolution process are displayed. An interpretation of defects migration, annihilation and evolution is given to explain the strain variations of the specimens after annealing. The nano-indentation measurements show that the hardness in as-implanted specimens first increases with the increase of the ion fluence, and a degradation of hardness occurs when the ion fluence exceeds a threshold. On the subsequent annealing, the hardness variations are regarded to be a combined effect of the covalent bonding and the pinning effect of defect clusters.

  15. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  16. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  17. Influence of Hot Implantation on Residual Radiation Damage in Silicon Carbide

    International Nuclear Information System (INIS)

    Rawski, M.; Zuk, J.; Kulik, M.; Drozdziel, A.; Pyszniak, K.; Turek, M.; Lin, L.; Prucnal, S.

    2011-01-01

    Remarkable thermomechanical and electrical properties of silicon carbide (SiC) make this material very attractive for high-temperature, high-power, and high-frequency applications. Because of very low values of diffusion coefficient of most impurities in SiC, ion implantation is the best method to selectively introduce dopants over well-defined depths in SiC. Aluminium is commonly used for creating p-type regions in SiC. However, post-implantation radiation damage, which strongly deteriorates required electric properties of the implanted layers, is difficult to anneal even at high temperatures because of remaining residual damage. Therefore implantation at elevated target temperatures (hot implantation) is nowadays an accepted method to decrease the level of the residual radiation damage by avoiding ion beam-induced amorphization. The main objective of this study is to compare the results of the Rutherford backscattering spectroscopy with channeling and micro-Raman spectroscopy investigations of room temperature and 500 o C Al + ion implantation-induced damage in 6H-SiC and its removal by high temperature (up to 1600 o C) thermal annealing. (author)

  18. Specific features of the current–voltage characteristics of SiO{sub 2}/4H-SiC MIS structures with phosphorus implanted into silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Mikhaylova, A. I., E-mail: m.aleksey.spb@gmail.com; Afanasyev, A. V.; Ilyin, V. A.; Luchinin, V. V. [St. Petersburg State Electrotechnical University LETI (Russian Federation); Sledziewski, T. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany); Reshanov, S. A.; Schöner, A. [Ascatron AB (Sweden); Krieger, M. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany)

    2016-01-15

    The effect of phosphorus implantation into a 4H-SiC epitaxial layer immediately before the thermal growth of a gate insulator in an atmosphere of dry oxygen on the reliability of the gate insulator is studied. It is found that, together with passivating surface states, the introduction of phosphorus ions leads to insignificant weakening of the dielectric breakdown field and to a decrease in the height of the energy barrier between silicon carbide and the insulator, which is due to the presence of phosphorus atoms at the 4H-SiC/SiO{sub 2} interface and in the bulk of silicon dioxide.

  19. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  20. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  1. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  2. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  3. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  4. Mn fraction substitutional site and defects induced magnetism in Mn-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Bouziane, K., E-mail: Khalid.bouziane@uir.ac.ma [Pôle Energies Renouvelables et Etudes Pétrolières, Université Internationale de Rabat, 11000 – Salé el Jadida, Technopolis (Morocco); Al Azri, M.; Elzain, M. [Department of Physics, College of Science, Sultan Qaboos University, P.O. Box 36, Al-Khodh 123 (Oman); Chérif, S.M. [LSPM (CNRS-UPR 3407), Université Paris, 13-Nord, 99, Avenue Jean Baptiste Clément, 93430 Villetaneuse (France); Mamor, M. [Equipe MSISM, Faculté Poly-Disciplinaire, B.P. 4162 Safi, Université Cadi Ayyad, Marrakech (Morocco); Declémy, A. [Institut P’, CNRS – Université de Poitiers – ENSMA, UPR 3346, SP2MI – Téléport 2, 11 boulevard Marie et Pierre Curie, BP 30179, F-86962 Futuroscope Chasseneuil Cedex (France); Thomé, L. [CSNSM-Orsay, Bât. 108, Université d’Orsay, F-91405 Orsay (France)

    2015-05-25

    Highlights: • Shallow Mn-implanted 6H-SiC crystal. • Correlation between Mn-substitutional site concentration and magnetism. • Correlation between defects nature surrounding Mn site and magnetism. • Correlation of magnetism in Mn-doped SiC to Mn at Si sites and vacancy-related defect. - Abstract: n-type 6H-SiC (0 0 0 1) single crystal substrates were implanted with three fluences of manganese (Mn{sup +}) ions: 5 × 10{sup 15}, 1 × 10{sup 16} and 5 × 10{sup 16} cm{sup −2} with implantation energy of 80 keV at 365 °C to stimulate dynamic annealing. The samples were characterized using Rutherford backscattering channeling spectroscopy (RBS/C), high-resolution X-ray diffraction technique (HRXRD), and Superconducting Quantum Interference Device (SQUID) techniques. Two main defect regions have been identified using RBS/C spectra fitted with the McChasy code combined to SRIM simulations. Intermediate defects depth region is associated with vacancies (D{sub V}) and deeper defect (D{sub N}) essentially related to the Si and C interstitial defects. The defect concentration and the maximum perpendicular strain exhibit similar increasing trend with the Mn{sup +} fluence. Furthermore, the amount of Mn atoms at Si substitutional sites and the corresponding magnetic moment per Mn atom were found to increase with increasing Mn fluence from 0.7 μ{sub B} to 1.7 μ{sub B} and then collapsing to 0.2 μ{sub B}. Moreover, a strong correlation has been found between the magnetic moment and the combination of both large D{sub V}/D{sub N} ratio and high Mn at Si sites. These results are corroborated by our ab initio calculations considering the most stable configurations showing that besides the amount of Mn substituting Si sites, local vacancy-rich environment is playing a crucial role in enhancing the magnetism.

  5. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  6. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  7. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  8. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  9. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  10. Shallow doping of gallium arsenide by recoil implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Souza, J.P. de; Rutz, R.F.; Cardone, F.; Norcott, M.H.

    1989-01-01

    Si atoms were recoil-implanted into GaAs by bombarding neutral (As + ) or dopant (Si + ) ions through a thin Si cap. The bombarded samples were subsequently rapid thermally or furnace annealed at 815-1000 degree C in Ar or arsine ambient. The presence of the recoiled Si in GaAs and resulting n + -doping was confirmed by secondary ion mass spectrometry and Hall measurements. It was found that sheet resistance of 19 cm 3 and the annealing temperature was > 850 degree C. The present electrical data show that the recoil implant method is a viable alternative to direct shallow implant for n + doping of GaAs. 7 refs., 3 figs., 1 tab

  11. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  12. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  13. Theoretical ion implantation profiles for low energy protons under channeling conditions

    International Nuclear Information System (INIS)

    Nobel, J.A.; Sabin, J.R.; Trickey, S.B.

    1994-01-01

    The authors present early results from the CHANNEL code, which simulates the passage of ionized projectiles through bulk solids. CHANNEL solves the classical equations of motion for the projectile using a force obtained from the gradient of the quantum mechanically derived coulombic potential of the solid (determined via a full potential augmented plane wave (FLAPW) calculation on the bulk) and a quantum mechanical energy dissipation term, the stopping power, as determined from the method of Echenique, Neiminen, and Ritchie. The code then generates the trajectory of the ionic projectile for a given incident position on the unit cell face and an initial velocity. The authors use CHANNEL to generate an ion (proton) implantation profile for the test case of simple cubic hydrogen with the projectile's initial velocity parallel to the (100) channel. Further preliminary results for ion implantation profiles of protons in diamond structure Si, with initial velocity along the (100) and (110) channels, are given

  14. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  15. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  16. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  17. Surface damage on polycrystalline β-SiC by xenon ion irradiation at high fluence

    Science.gov (United States)

    Baillet, J.; Gavarini, S.; Millard-Pinard, N.; Garnier, V.; Peaucelle, C.; Jaurand, X.; Duranti, A.; Bernard, C.; Rapegno, R.; Cardinal, S.; Escobar Sawa, L.; De Echave, T.; Lanfant, B.; Leconte, Y.

    2018-05-01

    Polycrystalline β-silicon carbide (β-SiC) pellets were prepared by Spark Plasma Sintering (SPS). These were implanted at room temperature with 800 keV xenon at ion fluences of 5.1015 and 1.1017 cm-2. Microstructural modifications were studied by electronic microscopy (TEM and SEM) and xenon profiles were determined by Rutherford Backscattering Spectroscopy (RBS). A complete amorphization of the implanted area associated with a significant oxidation is observed for the highest fluence. Large xenon bubbles formed in the oxide phase are responsible of surface swelling. No significant gas release has been measured up to 1017 at.cm-2. A model is proposed to explain the different steps of the oxidation process and xenon bubbles formation as a function of ion fluence.

  18. RBS studies of the lattice damage caused by 1 MeV Si+ implantation into Al0.3Ga0.7As/GaAs superlattices at elevated temperature

    International Nuclear Information System (INIS)

    Xu Tianbing; Zhu Peiran; Zhou Junsi; Li Daiqing; Gong Baoan; Wan Ya; Mu Shanming; Zhao Qingtai; Wang Zhonglie

    1994-01-01

    The lattice damage accumulation in GaAs and Al 0.3 Ga 0.7 As/GaAs superlattices by 1 MeV Si + irradiation at room temperature and 350 C has been studied. For irradiations at 350 C, at lower doses the samples were almost defect-free after irradiation, while a large density of accumulated defects was induced at a higher dose. The critical dose above which the damage accumulation is more efficient is estimated to be 2 x 10 15 Si/cm 2 for GaAs, and is 5 x 10 15 Si/cm 2 for Al 0.8 Ga 0.7 As/GaAs superlattice for implantation with 1.0 MeV Si ions at 350 C. The damage accumulation rate for 1 MeV Si ion implantation in Al 0.3 Ga 0.7 As/GaAs superlattice is less than that in GaAs. (orig.)

  19. He implantation induced nanovoids in crystalline Si

    International Nuclear Information System (INIS)

    Kilpelaeinen, S.; Kuitunen, K.; Slotte, J.; Tuomisto, F.; Bruno, E.; Mirabella, S.; Priolo, F.

    2009-01-01

    Positron annihilation spectroscopy (PAS) in Doppler broadening mode was used to study the vacancy profile of crystalline Si after He and B implantation and subsequent annealing. In the He-implanted samples two different void layers were observed, one consisting of large voids at the projected range of He and another containing 'nanovoids' slightly larger than divacancies at roughly halfway between R p of He and the surface. The nanovoid layer was shown to be absent from samples co-implanted with B, implying that interstitials created during B implantation get trapped in the nanovoids and fill them, thus hindering interstitial-mediated B diffusion.

  20. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  1. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  2. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  3. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  4. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  5. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  6. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  7. Effects of implantation temperature and thermal annealing on the Ga{sup +} ion beam induced optical contrast formation in a-SiC:H

    Energy Technology Data Exchange (ETDEWEB)

    Tsvetkova, T., E-mail: tania_tsvetkova@yahoo.co.uk [Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); University of Exeter, College of Engineering, Mathematics and Physical Sciences, Harrison Building, North Park Rd, Exeter EX4 4QF (United Kingdom); Wright, C.D. [University of Exeter, College of Engineering, Mathematics and Physical Sciences, Harrison Building, North Park Rd, Exeter EX4 4QF (United Kingdom); Kitova, S. [Institute of Optical Materials and Technologies, Bulgarian Academy of Sciences, 109 Acad. G. Bontchev St., 1113 Sofia (Bulgaria); Bischoff, L. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, P.O. Box 51 01 19, 01314 Dresden (Germany); Zuk, J. [Institute of Physics, Maria Curie-Sklodovska University, Pl. M.Curie-Sklodovskiej 1, 20-031 Lublin (Poland)

    2013-07-15

    The effects of implantation temperature and post-implantation thermal annealing on the Ga{sup +} ion beam induced optical contrast formation in hydrogenated silicon–carbon alloy films have been studied. As a result of the implantation a well-expressed “darkening” effect (i.e. absorption edge shift to the longer-wavelength/lower-photon-energy region) has been registered. It is accompanied by a remarkable increase of the absorption coefficient up to 2 orders of magnitude in the measured photon energy range (1.5–3.1 eV). The optical contrast thus obtained (between implanted and unimplanted regions of the film material) has been made use of in the form of optical pattern formation by computer-operated Ga{sup +}-focused ion beam. Possible applications of this effect in the area of submicron lithography and high-density optical data storage have been suggested with regard to the most widely spread focused micro-beam systems based on Ga{sup +} liquid metal ion sources. The fact that Ga has a very low melting point (T{sub m} = 29.8 °C) and an unusual feature of volume contraction on melting are factors which favour Ga incorporation upon ion-implantation as dispersed clusters, or small nanoparticles. It has been previously noted that Ga precipitation into nanoparticles can vary dramatically (in terms of particle size) with Ga concentration and small changes in surface implant temperature, thus affecting the optical properties of the target. The precise role of implantation temperature effects, i.e. the target temperature during Ga{sup +} ion irradiation, on the optical contrast obtainable, has been therefore a key part of this study. Appropriate post-implantation annealing treatments were also studied, since these are expected to offer further benefits in reducing the required ion dose and enhancing contrast, thus increasing the cost-effectiveness of the bit-writing method.

  8. Characterization of vacancy-type defects induced by the implantation of Se and Si ions into GaAs by a slow positron beam

    International Nuclear Information System (INIS)

    Fujii, Satoshi; Shikata, Shinichi; Wei Long; Tanigawa, Shoichiro.

    1992-01-01

    Variable-energy (0-30keV) positron beam studies have been carried out on 200 keV Se-implanted and 70 keV Si-implanted GaAs specimens before and after annealing for electrical activation. From the measurements of Doppler broadened profiles as a function of incident positron energy, it was found that vacancy clusters with high concentration were introduced in the annealed specimen after Se implantation. From the parallel measurement of electrical characteristics, a higher activation efficiency was found for the higher concentration of vacancy clusters. That fact implies that electrons supplied by the activation of Se also convert the charge state of As vacancies from positive to negative. In contrast, no vacancy clusters were introduced in the Si-implanted GaAs. (author)

  9. Local electronic and geometric structures of silicon atoms implanted in graphite

    International Nuclear Information System (INIS)

    Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao

    2002-01-01

    Low-energy Si + ions were implanted in highly oriented pyrolitic graphite (HOPG) up to 1% of surface atomic concentration, and the local electronic and geometric structures around the silicon atoms were in situ investigated by means of the Si K-edge X-ray absorption near-edge structure (XANES) and X-ray photoelectron spectroscopy using linearly polarized synchrotron radiation. The resonance peak appeared at 1839.5 eV in the Si K-edge XANES spectra for Si + -implanted HOPG. This energy is lower than those of the Si 1s→σ * resonance peaks in any other Si-containing materials. The intensity of the resonance peak showed strong polarization dependence, which suggests that the final state orbitals around the implanted Si atoms have π * -like character. It is concluded that the σ-type Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms two-dimensionally spread graphite-like layer with sp 2 bonds

  10. Lattice location of Tm in Si and Ge determined from ion channeling followed by Monte Carlo simulations

    International Nuclear Information System (INIS)

    Yamamoto, Y.; Wakaiki, M.; Ikeda, A.; Kido, Y.

    1999-01-01

    The lattice location of Tm implanted into Si(1 0 0) and Ge(1 1 1) with energy of 180 keV was determined precisely by ion channeling followed by Monte Carlo simulations of ion trajectories. The implantations were performed at 550 deg. C with a dose of 5 x 10 14 ions/cm 2 . In the case of Tm in Si, 25 at.% and 50 at.% of Tm are located in the tetrahedral interstitial site and in the random site, respectively and the rest takes the substitutional position. The assumption of the Gaussian distribution centered at the exact tetrahedral site with a standard deviation of 0.2 Angstroms reproduced the azimuth angular-scan spectrum around the [1 1 0] axis. However, the observed angular spectrum is significantly broader than the simulated one. This is probably due to the fact that there exist slightly different Tm lattice sites from the exact tetrahedral position. For Ge(1 1 1) substrates, 25 at.% of Tm occupied the tetrahedral interstitial site and the rest was located randomly

  11. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  12. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  13. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  14. Fe and Cu in Si: Lattice sites and trapping at implantation-related defects

    International Nuclear Information System (INIS)

    Wahl, U.; Correia, J.G.; Rita, E.; Araujo, J.P.; Soares, J.C.

    2006-01-01

    We have used the emission channeling technique in order to study the lattice sites of radioactive 59 Fe and 67 Cu following 60 keV ion implantation into Si single crystals at fluences around 10 12 -10 14 cm -2 . We find that in the room temperature as-implanted state in high-resistivity Si both Fe and Cu occupy mainly lattice sites displaced around 0.05 nm (0.5 A) from substitutional positions. Both are released from these positions during annealing at temperatures between 300 deg. C and 600 deg. C. Fe is then found mainly on near-tetrahedral interstitial sites and further annealing causes it to be increasingly incorporated on ideal substitutional sites, on which it is stable to around 800 deg. C. We have strong indications that during annealing around 600 deg. C, along with the dominance of interstitial Fe, a redistribution towards the surface takes place, suggesting that the subsequent formation of ideal substitutional Fe may be related to the trapping of Fe at R p /2, half of its implanted depth. Possible R p /2 trapping might also have taken place in our Cu experiments but appears to be less efficient since Cu tended to escape to the bulk of the samples

  15. Relaxation of a strained 3C-SiC(1 1 1) thin film on silicon by He+ and O+ ion beam defect engineering

    International Nuclear Information System (INIS)

    Häberlen, M.; Murphy, B.; Stritzker, B.; Lindner, J.K.N.

    2012-01-01

    In this paper we report on the successful reduction of tensile strain in a thin strained ion-beam synthesized 3C-SiC(1 1 1) layer on silicon. The creation of a near-interface defect structure consisting of nanometric voids and stacking fault type defects by He ion implantation and subsequent annealing yields significant relaxation in the top SiC film. The microstructure of the defect layer is studied by transmission electron microscopy, and the strain state of the 3C-SiC layer was studied by high-resolution X-ray diffraction in a parallel beam configuration. Typical process conditions for the growth of GaN films on the SiC layer were emulated by high temperature treatments in a rapid thermal annealer or a quartz tube furnace. It is found that prolonged annealing at high temperatures leads to ripening of the voids and to a weaker reduction of the tensile strain. It is shown that this problem can be overcome by the co-implantation of oxygen ions to form highly thermally stable void/extended defect structures.

  16. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  17. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  18. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  19. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  20. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  1. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  2. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  3. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  4. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  5. Recrystallization of implanted amorphous silicon layers. I. Electrical properties of silicon implanted with BF+2 or Si++B+

    International Nuclear Information System (INIS)

    Tsai, M.Y.; Streetman, B.G.

    1979-01-01

    Electrical properties of recrystallized amorphous silicon layers, formed by BF + 2 implants or Si + +B + implants, have been studied by differential resistivity and Hall-effect measurements. Electrical carrier distribution profiles show that boron atoms inside the amorphized Si layers can be fully activated during recrystallization at 550 0 C. The mobility is also recovered. However, the tail of the B distribution, located inside a damaged region near the original amorphous-crystalline interface, remains inactive. This inactive tail has been observed for all samples implanted with BF + 2 . Only in a thicker amorphous layer, formed for example by Si + predamage implants, can the entire B profile be activated. The etch rate of amorphous silicon in HF and the effect of fluorine on the recrystallization rate are also reported

  6. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  7. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  8. Formation of (Nd,Y)-silicides by sequential channeled implantation of Y and Nd ions

    International Nuclear Information System (INIS)

    Jin, S.; Bender, H.; Wu, M.F.; Vantomme, A.; Langouche, G.

    2000-01-01

    A buried hexagonal Nd 0.32 Y 0.68 Si 1.7 layer is formed by a sequential implantation of Y and Nd ions into (1 1 1)-oriented silicon wafers. The orientation relationship between the epitaxial Nd 0.32 Y 0.68 Si 1.7 and the silicon is (0 0 0 1) Nd 0.32 Y 0.68 Si 1.7 //(1 1 1) Si with Nd 0.32 Y 0.68 Si 1.7 // Si . High temperature annealing (1000 deg. C) results in a gradual transition into an orthorhombic ternary (Nd,Y)-silicide. Between the orthorhombic (Nd,Y)-silicide and the Si a preferential orientation relationship exists: (1 1 0) orth //(1 1(bar) 0) Si with orth // Si . However, as not all orthorhombic silicide grains follow this epitaxial relationship, the minimum yield in the Rutherford backscattering spectrometry (RBS) spectrum increases compared to the results after a low temperature annealing

  9. Formation of (Nd,Y)-silicides by sequential channeled implantation of Y and Nd ions

    Science.gov (United States)

    Jin, S.; Bender, H.; Wu, M. F.; Vantomme, A.; Langouche, G.

    2000-03-01

    A buried hexagonal Nd0.32Y0.68Si1.7 layer is formed by a sequential implantation of Y and Nd ions into (1 1 1)-oriented silicon wafers. The orientation relationship between the epitaxial Nd0.32Y0.68Si1.7 and the silicon is (0 0 0 1)Nd0.32Y0.68Si1.7//(1 1 1)Si with Nd0.32Y0.68Si1.7//Si. High temperature annealing (1000°C) results in a gradual transition into an orthorhombic ternary (Nd,Y)-silicide. Between the orthorhombic (Nd,Y)-silicide and the Si a preferential orientation relationship exists: (1 1 0)orth//(1 1¯ 0)Si with orth//Si. However, as not all orthorhombic silicide grains follow this epitaxial relationship, the minimum yield in the Rutherford backscattering spectrometry (RBS) spectrum increases compared to the results after a low temperature annealing.

  10. Investigation of the atomic interface structure of mesotaxial Si/CoSi2(100) layers formed by high-dose implantation

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Jong, A.F. de; Vandenhoudt, D.E.W.

    1991-01-01

    Aligned mesotaxial films of CoSi 2 in monocrystalline (100) oriented Si substrates have been formed by high-dose ion implantation of Co, followed by a high temperature treatment. The atomic structures of both the lower and upper Si/CoSi 2 (100) interfaces of the buried CoSi 2 layer have been investigated by high-resolution electron microscopy (HREM) combined with image simulations. A domain-like structure is observed consisting of areas with different interfaces. In order to derive the atomic configuration, image simulations of different proposed models are presented. By comparing simulated images and HREM images, two different atomic structure models for the Si/CoSi 2 (100) interface have been found. In the first model the interfacial Co atoms are six-fold coordinated and the tetrahedral coordination and bond lengths of silicon atoms are everywhere maintained. In the second model we found evidence for a 2 x 1 interface reconstruction, involving a difference in composition. The interfacial Co atoms are seven-fold coordinated. It is shown that the boundaries between the domains are associated with interfacial dislocations of edge-type with Burgers vectors b a/4 inclined and b = a/2 parallel to the interfacial plane. (author)

  11. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  12. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  13. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  14. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  15. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  16. Planar nucleation and crystallization in the annealing process of ion implanted silicon

    International Nuclear Information System (INIS)

    Luo Yimin; Chen Zhenhua; Chen Ding

    2010-01-01

    According to thermodynamic and kinetic theory, considering the variation of bulk free energy and superficial energy after nucleation as well as the migration of atoms, we study systematically the planar nucleation and crystallization that relate to two possible transition mechanisms in the annealing process of ion implanted Si: (1) liquid/solid transition: the critical nucleation work is equal to half the increased superficial energy and inversely proportional to the supercooling ΔT. Compared with bulk nucleation, the radius of the critical nucleus decreases by half, and the nucleation rate attains its maximum at T = T m /2. (2) amorphous/crystalline transition: the atoms contained in the critical nucleus and situated on its surface, as well as critical nucleation work, are all directly proportional to the height of the nucleus, and the nucleation barrier is equal to half the superficial energy too. In addition, we take SiGe semiconductor as a specific example for calculation; a value of 0.03 eV/atom is obtained for the elastic strain energy, and a more reasonable result can be gotten after taking into account its effect on transition Finally, we reach the following conclusion as a result of the calculation: for the annealing of ion implanted Si, no matter what the transition method is-liquid or solid planar nucleation-the recrystallization process is actually carried out layer by layer on the crystal substrate, and the probability of forming a 'rod-like' nucleus is much larger than that of a 'plate-like' nucleus. (semiconductor materials)

  17. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  18. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  19. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  20. Photoluminescence of a superficial Si nanolayer and an example of its use

    International Nuclear Information System (INIS)

    Ley, M.; Svrcek, V.; Kuznicki, Z.T.

    2003-01-01

    A characteristic photoluminescence of a superficial Si nanolayer realized by ion implantation has been observed. This effect, being totally independent of those shown recently for a nanoscale Si-layered system, is similar to that produced by Si nanocrystals (Si nc). To visualize the nature and give evidence of this effect, we fabricated samples in two different ways: (i) by incorporation of Si nc into thin SiO 2 films deposited on Si wafer by the spin-on-glass method and (ii) by a nanoscale superficial crystalline-Si modification using medium-energy ion implantation and thermal treatment. In both cases the UV-to-red light conversion has been observed to be independent of wafer post-implantation damage. To show the UV-to-red conversion contribution, we use the ion modified superficial Si layer with its well-defined potential barrier, the so-called carrier collection limit. Such a modified Si structure gives us a method of deconvoluting several optoelectronic features observed experimentally on modified Si. The practical realization is compatible with well-established Si technology

  1. Moessbauer and channeling experiments on TeSi and SmSi

    International Nuclear Information System (INIS)

    Kemerink, G.J.; Boerma, D.O.; Waard, H. de; Wit, J.C. de; Drentje, S.A.

    1980-01-01

    Considerable effort is made to obtain an insight in the structural and electronic properties of ion implanted elemental semiconductors. This research is strongly stimulated by the many applications of semi-conductor devices. We report here on Moessbauer studies of 129 TeSi and 153 SmSi, using the 27.8 keV transition in 129 I and the 103.2 keV transition in 153 Eu, respectively, and on channeling experiments on 128 TeSi and 152 SmSi with a 2 MeV α-beam from the Groningen Van de Graaff generator. In the Moessbauer experiments we used Cu 129 I and EuF 3 .1/2H 2 O as absorber materials. Source and absorber were held at 4.2 K. The implantations were generally done at room temperature with an implantation energy of 100-115 keV. For the Moessbauer and channeling measurements we applied similar Si single crystals and the same implantation and annealing conditions. Crystals with low doses could only be investigated with the Moessbauer effect

  2. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  3. Formation mechanism of SiC in C-Si system by ion irradiation

    International Nuclear Information System (INIS)

    Hishita, Shunichi; Aizawa, Takashi; Suehara, Shigeru; Haneda, Hajime

    2003-01-01

    The irradiation effects of 2 MeV He + , Ne + , and Ar + ions on the film structure of the C-Si system were investigated with RHEED and XPS. The ion dose dependence of the SiC formation was kinetically analyzed. The SiC formation at moderate temperature was achieved by 2 MeV ion irradiation when the thickness of the initial carbon films was appropriate. The evolution process of the SiC film thickness consisted of the 3 stages. The first stage was the steep increase of the SiC, and was governed by the inelastic collision. The second was the gentle increase of the SiC, and was governed by the diffusion. The last was the decrease of the SiC, and was caused by the sputtering. The formation mechanism of the SiC was discussed. (author)

  4. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  5. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  6. Secondary ion yield changes in Si and GaAs due to topography changes during O+2 or Cs+ ion bombardment

    International Nuclear Information System (INIS)

    Stevie, F.A.; Kahora, P.M.; Simons, D.S.; Chi, P.

    1988-01-01

    Changes in secondary ion yields of matrix and dopant species have been correlated with changes in surface topography during O + 2 bombardment of Si and GaAs. In Si, profiles were measured in (100) wafers at 6- and 8-keV impact energy. At 6 keV, a yield increase of about 70% occurred for Si + over a depth range of 2.5 to 3.5 μm, with changes in other species ranging from a decrease of ∼20% for Si + 3 to an increase of more than 25% for O + . The development of a rippled surface topography was observed in scanning electron micrographs over the same depth range. Similar effects occurred over a 3--5 μm depth range for 8-keV ions, and in (111) silicon at a depth of 3 to 4 μm for 6-keV ions. No differences were noted between p- and n-type silicon, or implanted and unimplanted silicon. In GaAs, profiles were measured in (100) wafers at 2.5-, 5.5-, and 8-keV impact energies. At 8 keV, a yield increase of about 70% was found for GaO + in the range 0.6--1.0 μm, with smaller changes for other matrix species. At 5.5 keV, similar effects were observed, but over a depth interval of 0.3 to 0.7 μm. No yield changes were detected at 2.5-keV impact energy. The yield changes at the higher energies were again correlated with the onset of changes in topography. No change in ion yield or surface topography was noted for Cs + bombardment of Si or GaAs. The topography and ion yield changes are affected by the angle of incidence and, for Si, the oxygen coverage. The results show that the practice of normalizing secondary ion mass spectrometry dopant profiles to a matrix signal must be modified for situations where matrix yield changes occur

  7. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  8. Copper diffusion in Ti-Si-N layers formed by inductively coupled plasma implantation

    International Nuclear Information System (INIS)

    Ee, Y.C.; Chen, Z.; Law, S.B.; Xu, S.; Yakovlev, N.L.; Lai, M.Y.

    2006-01-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into Ti x Si y substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 deg. C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers

  9. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  10. The Two-Beam-Line Ion Implanter and Review of its Application to Creation of Complex Layers by the IBAD Method

    International Nuclear Information System (INIS)

    Rajchel, B.; Drwiega, M.; Lipinska, E.; Hajduk, R.

    1998-12-01

    The present status of the two-beam-line ion implanter its basic specifications after the upgrading and the possibilities of its application to ion engineering methods is presented. The examples of created layers (DLC, TiN x , SiC x ) and research methods applied to find out the features of the ion beam assisted deposited coatings are presented in order to prove the suitability of the device to scientific studies

  11. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  12. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  13. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  14. The effect of Ni pre-implantation on surface morphology and optical absorption properties of Ag nanoparticles embedded in SiO2

    International Nuclear Information System (INIS)

    Shen, Yanyan; Qi, Ting; Qiao, Yu; Yu, Shengwang; Hei, Hongjun; He, Zhiyong

    2016-01-01

    Graphical abstract: - Highlights: • Ag concentration increased significantly due to the Ni pre-implantation. • Deposition and accumulation process of Ag atoms depends on Ni fluences. • The incorporation of Ni elements in Ag NPs can damp SPR absorption intensity. • AgNi alloy NPs embedded in SiO 2 have been created by sequentially implantation. • Unique SPR absorption with dual peaks centered at 406 nm and 563 nm was observed. - Abstract: The effect of Ni ion fluence on Ag nucleation and particle growth was investigated by sequentially implantation of 60 keV Ni ions at fluences of 1 × 10 16 , 5 × 10 16 , 1 × 10 17 ions/cm 2 and 70 keV Ag ions at a fluence of 5 × 10 16 ions/cm 2 . Due to the modification of the deposition and accumulation process of Ag implants caused by Ni pre-implantation, the surface morphology, structures, and optical absorption properties of the Ag nanoparticles (NPs) depends strongly on the Ni fluences. UV–vis absorption spectroscopy study showed that the introducing of Ni atoms lead to intensity decrease in the Ag SPR band. Remarkable local concentration increase of Ag profiles appeared for the sample pre-implanted by Ni ions of 5.0 × 10 16 ions/cm 2 . In particular, the AgNi alloy NPs with dual absorption peaks centered at 406 nm and 563 nm have been formed after 600 °C annealing in Ar atmosphere. However, at a low fluence of 1.0 × 10 16 ions/cm 2 , only small increase of the local Ag concentration than the Ag ions singly implanted sample can be observed. At a high fluence of 1.0 × 10 17 ions/cm 2 , lots Ag atoms are trapped close to the surface, which result in heavy sputtering loss of Ag atoms and the sublimation of Ag atoms after 600 °C annealing.

  15. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  16. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  17. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  18. Investigation of electric fields in B-implanted Si by positron beam spectroscopy

    International Nuclear Information System (INIS)

    Abdulmalik, D.A.; Coleman, P.G.

    2007-01-01

    Besides its conventional applications in defect characterization, variable-energy positron annihilation spectroscopy can be employed to monitor internal electric fields in the depletion regions in semiconductor structures. In this work, electric fields were studied in pre-amorphized Cz Si wafers (background dopant level ∝10 15 cm -3 ) implanted with 0.5 keV B ions at a dose of 10 15 cm -2 , and then annealed isothermally at 800 C for times ranging from 1 to 2700 s. Differences in the S parameter with annealing time were observed in samples implanted (a) with B ions only and (b) with B followed by F ions at 10 keV; these were attributed to different electric fields, which drift positrons back (a) to the surface, or (b) to a vacancy-like defected layer. Fitting of the data revealed depletion regions of widths between 150-350 nm centered at depths between 250-350 nm, with electric field values in the range -9 x 10 6 to -3 x 10 6 Vm -1 . The depth and width of the depletion regions increase significantly for annealing times greater than 100 s, attributed to B diffusion. The results are consistent with simple theoretical estimates, but the uncertainties on the latter are large. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Defect diffusion during annealing of low-energy ion-implanted silicon

    International Nuclear Information System (INIS)

    Bedrossian, P.J.; Caturla, M.J.; Diaz de la Rubia, T.

    1997-01-01

    The authors present a new approach for investigating the kinetics of defect migration during annealing of low-energy, ion-implanted silicon, employing a combination of computer simulations and atomic-resolution tunneling microscopy. Using atomically-clean Si(111)-7 x 7 as a sink for bulk point defects created by 5 keV Xe and Ar irradiation, they observe distinct, temperature-dependent surface arrival rates for vacancies and interstitials. A combination of simulation tools provides a detailed description of the processes that underlie the observed temperature-dependence of defect segregation, and the predictions of the simulations agree closely with the experimental observations

  20. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  1. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  2. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  3. Hyperfine electric parameters calculation in Si samples implanted with {sup 57}Mn→{sup 57}Fe

    Energy Technology Data Exchange (ETDEWEB)

    Abreu, Y., E-mail: yabreu@ceaden.edu.cu [Centro de Aplicaciones Tecnológicas y Desarrollo Nuclear (CEADEN), Calle 30 No. 502 e/5ta y 7ma Ave., 11300 Miramar, Playa, La Habana (Cuba); Cruz, C.M.; Piñera, I.; Leyva, A.; Cabal, A.E. [Centro de Aplicaciones Tecnológicas y Desarrollo Nuclear (CEADEN), Calle 30 No. 502 e/5ta y 7ma Ave., 11300 Miramar, Playa, La Habana (Cuba); Van Espen, P. [Departement Chemie, Universiteit Antwerpen, Middelheimcampus, G.V.130, Groenenborgerlaan 171, 2020 Antwerpen (Belgium); Van Remortel, N. [Departement Fysica, Universiteit Antwerpen, Middelheimcampus, G.U.236, Groenenborgerlaan 171, 2020 Antwerpen (Belgium)

    2014-07-15

    Nowadays the electronic structure calculations allow the study of complex systems determining the hyperfine parameters measured at a probe atom, including the presence of crystalline defects. The hyperfine electric parameters have been measured by Mössbauer spectroscopy in silicon materials implanted with {sup 57}Mn→{sup 57}Fe ions, observing four main contributions to the spectra. Nevertheless, some ambiguities still remain in the {sup 57}Fe Mössbauer spectra interpretation in this case, regarding the damage configurations and its evolution with annealing. In the present work several implantation environments are evaluated and the {sup 57}Fe hyperfine parameters are calculated. The observed correlation among the studied local environments and the experimental observations is presented, and a tentative microscopic description of the behavior and thermal evolution of the characteristic defects local environments of the probe atoms concerning the location of vacancies and interstitial Si in the neighborhood of {sup 57}Fe ions in substitutional and interstitial sites is proposed.

  4. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  5. Formation of oxygen-related defects enhanced by fluorine in BF{sub 2}{sup +}-implanted Si studied by a monoenergetic positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Nagai, Ryo; Umeda, Kazunori

    1995-12-01

    Defects in 25-keV BF{sub 2}{sup +}- or As{sup +}-implanted Si specimens were probed by a monoenergetic positron beam. For the As{sup +}-implanted specimen, the depth profile of defects was obtained from measurements of Doppler broadening profiles as a function of incident positron energy. The major species of the defects was identified as divacancies. For ion-implanted specimens after annealing treatment, oxygen-related defects were found to be formed. For the BF{sub 2}{sup +}-implanted specimen before annealing treatment, such defects were formed in the subsurface region, where oxygen atoms were implanted by recoil from oxide films. This was attributed to enhanced formation of oxygen-related defects by the presence of F atoms. (author)

  6. Enhancement of c-axis texture of AlN films by substrate implantation

    International Nuclear Information System (INIS)

    Chen, C.H.; Yeh, J.M.; Hwang, J.

    2005-01-01

    Highly oriented AlN films are successfully deposited on B + implanted Si(1 1 1) substrates in a radio frequency inductively coupled plasma (RF/ICP) system. The implanted energy and dose used for the B + implanted Si(1 1 1) substrates are 200 keV and 10 15 cm -2 , respectively. The c-axis texture of AlN films can be affected by RF gun power and ion implantation. Experimental results show that the full width at half-maximum (FWHM) of AlN(0 0 2) in the X-ray rocking curve measurements decreases with increasing RF gun power. The optimum condition is at 500 W, where the FWHM of the AlN films deposited on Si(1 1 1) with and without B + implantation are 2.77 and 3.17, respectively. In average, the FWHM of the AlN films on B + implanted Si(1 1 1) are less than those on Si(1 1 1) by a factor of ∼10%. The enhancement of c-axis of AlN films due to B + implantation is attributed to the reduction of AlN grains. Raman spectra also suggest that ion implantation plays a role in reducing the tensile stress in AlN films deposited on B + implanted Si(1 1 1)

  7. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  8. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  9. Friction and wear of stainless steel, titanium and aluminium with various surface treatments, ion implantation and overlay hard coatings

    International Nuclear Information System (INIS)

    Bunshah, R.F.

    1979-01-01

    This paper deals with the evaluation of the wear properties of 304 stainless steel, commercial grade titanium and commercial grade aluminium without and with different surface treatments, i.e., ion implantation of boron and nitrogen, and overlay coating of superhard materials, titanium carbide and nitride by the Biased Activated Reactive Evaporation (BARE) process. Wear properties were evaluated in adhesive, erosive and abrasive modes of wear. In the case of adhesive wear, ion implantation resulted in an improved wear behaviour in lubricated conditions but had no beneficial effect in dry wear conditions. Overlay coatings on the other hand resulted in improved wear behaviour for both the dry and lubricating conditions. In the case of erosive wear with SiC particles at high velocities, overlay coatings showed higher erosion rates (typical of brittle materials in normal impingement) whereas ion implanted materials behaved similarly as untreated materials; i.e., a lower wear rate than the specimens with overlay coatings. In the case of abrasive wear, it was again observed that the wear rates of overlay coatings is far lower than the wear rates of untreated or ion implanted materials. (author)

  10. Nucleation, growth and dissolution of extended defects in implanted Si: impact on dopant diffusion

    International Nuclear Information System (INIS)

    Claverie, A.; Giles, L.F.; Omri, M.; Mauduit, B. de; Ben Assayag, G.; Mathiot, D.

    1999-01-01

    Transient Enhanced Diffusion (TED) of boron in silicon is driven by the large supersaturations of self-interstitial silicon atoms left after implantation which also often lead to the nucleation and subsequent growth, upon annealing, of extended defects. In this paper we review selected experimental results and concepts concerning boron diffusion and/or defect behavior which have recently emerged with the ion implantation community and briefly indicate how they are, or will be, currently used to improve 'predictive simulations' softwares aimed at predicting TED. In a first part, we focus our attention on TED and on the formation of defects in the case of 'direct' implantation of boron in silicon. In a second part, we review our current knowledge of the defects and of the diffusion behavior of boron when annealing preamorphised Si. In a last part, we try to compare these two cases and to find out what are the reasons for some similarities and many differences in defect types and thermal evolution depending on whether boron is implanted in crystalline or amorphous silicon. While rising many more questions, we propose a 'thermodynamical' vision of the nucleation and growth of clusters and extended defects and stress the interactions between these defects and the free Si self-interstitial atoms which surround them and are the source for TED in all cases. A pragmatic approach to the simulation of TED for various experimental conditions is proposed

  11. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  12. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  13. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  14. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  15. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  16. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  17. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  18. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  19. Electrical properties of the regrown implantation-induced amorphous layer on (1 1-bar 0 0)- and (1 1 2-bar 0)-oriented 6H-SiC

    International Nuclear Information System (INIS)

    Nakamura, Tomonori; Tanabe, Hitoshi; Hitomi, Takeshi; Satoh, Masataka

    2003-01-01

    In the (1 1-bar 0 0) and (1 1 2-bar 0)-oriented 6H-SiC, the electrical properties and activation process of the implanted phosphorus in the layer regrown from the implantation-induced amorphous layer are investigated by means of Hall effect measurement and Rutherford backscattering spectrometry. The samples are implanted by 60 keV phosphorus ions at room temperature with doses of 3 x 10 15 and 1 x 10 15 cm -2 to form implantation-induced amorphous layer and the partially disordered implant-layer, respectively. The implanted phosphorus in the implantation-induced amorphous layer can be electrically activated by annealing at 1000 deg. C. The electrical activity for the case of the implantation-induced amorphous layer (ratio of sheet carrier concentration to ion dose) is 2-3 times larger than that for the case of the partially disordered implant-layer for the annealing temperature of 1500 deg. C

  20. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  1. Formation of oxides and segregation of mobile atoms during SIMS profiling of Si with oxygen ions

    Energy Technology Data Exchange (ETDEWEB)

    Petravic, M.; Williams, J.S.; Svensson, B.G.; Conway, M. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    An oxygen beam is commonly used in secondary ion mass spectroscopy (SIMS) analysis to enhance the ionization probability for positive secondary ions. It has been observed, however, that this technique produces in some cases a great degradation of depth resolution. The most pronounced effects have been found for impurities in silicon under oxygen bombardment at angles of incidence smaller than {approx} 30 deg from the surface normal. A new approach is described which involved broadening of SIMS profiles for some mobile atoms, such as Cu, Ni and Au, implanted into silicon. The anomalously large broadening is explained in terms of segregation at a SiO{sub 2}/Si interface formed during bombardment with oxygen at impact angles less than 30 deg. 2 refs., 1 tab., 4 figs.

  2. Formation of oxides and segregation of mobile atoms during SIMS profiling of Si with oxygen ions

    Energy Technology Data Exchange (ETDEWEB)

    Petravic, M; Williams, J S; Svensson, B G; Conway, M [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    An oxygen beam is commonly used in secondary ion mass spectroscopy (SIMS) analysis to enhance the ionization probability for positive secondary ions. It has been observed, however, that this technique produces in some cases a great degradation of depth resolution. The most pronounced effects have been found for impurities in silicon under oxygen bombardment at angles of incidence smaller than {approx} 30 deg from the surface normal. A new approach is described which involved broadening of SIMS profiles for some mobile atoms, such as Cu, Ni and Au, implanted into silicon. The anomalously large broadening is explained in terms of segregation at a SiO{sub 2}/Si interface formed during bombardment with oxygen at impact angles less than 30 deg. 2 refs., 1 tab., 4 figs.

  3. Alkali depletion and ion-beam mixing in glasses

    International Nuclear Information System (INIS)

    Arnold, G.W.

    1983-01-01

    Ion-implantation-induced alkali depletion in simple alkali-silicate glasses (12M 2 O.88SiO 2 ) has been studied for implantations at room temperature and near 77K. Results are consistent with a mechanism for alkali removal, by heavy ion bombardment, based on radiation-enhanced migration and preferential removal of alkali from the outermost layers. Similar results were obtained for mixed-alkali glasses ((12-x)Cs 2 .O.xM 2 O.88SiO 2 ) where, in addition, a mixed-alkali effect may also be operative. Some preliminary experiments with ion implantation through thin Al films on SiO 2 glass and on a phosphate glass show that inter-diffusion takes place and suggest that this ion-mixing technique may be a useful method for altering the physical properties of glass surfaces

  4. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang, E-mail: zanghang@xjtu.edu.cn [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Liu, Wenbo [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J. [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Li, Tao; He, Chaohui; Yun, Di [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Zhiguang [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-15

    Highlights: • He and Kr cavities are formed in ion-implanted and 1600 °C annealed 3C-SiC. • A higher vacancy concentration leads to formation of cavities with a smaller size and higher density. • Presence of He in irradiated 3C-SiC can significantly promote cavity growth. • Small voids are formed in Kr ion penetrated 3C-SiC during thermal annealing at 1600 °C. • Local Kr migration and trapping at cavities in SiC are observed, but long-range Kr diffusion does not occur at 1600 °C. - Abstract: Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750 °C with 120 keV He{sup 2+} and 4 MeV Kr{sup 15+} ions to 10{sup 17} and 4 × 10{sup 16} cm{sup −2}, respectively. The Kr{sup 15+} ions penetrated the entire depth region of the He{sup 2+} ion implantation. Three areas of He{sup 2+}, Kr{sup 15+} and He{sup 2+} + Kr{sup 15+} ion implanted SiC were created through masked overlapping irradiation. The sample was subsequently annealed at 1600 °C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive X-ray spectroscopy. Compared to the He{sup 2+} ion only implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promotes cavity growth; much smaller voids are formed in the Kr{sup 15+} ion only irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occurs, but long-range Kr diffusion in SiC is not observed up to 1600 °C.

  5. The annealing behavior of hydrogen implanted into Al-Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Ogura, Masahiko; Yamaji, Norisuke; Imai, Makoto; Itoh, Akio; Imanishi, Nobutsugu [Kyoto Univ. (Japan). Faculty of Engineering

    1997-03-01

    We have studied effects of not only defects but also an added elements on trap-sites of hydrogen in metals. For the purpose, we observed depth profiles and thermal behaviors of hydrogen implanted into Al-1.5at.%Si alloy samples in an implantation-temperature range of liquid nitrogen temperature (LNT) to 373K at different doses. The results were compared with those for pure aluminum samples. It was found that hydrogen is trapped as molecules in grain boundaries of Al/Si. (author)

  6. Determination of phosphorus distribution in the region of a SiO2-Si interface by substoichiometric analysis

    International Nuclear Information System (INIS)

    Shigematsu, T.; Yonezawa, H.

    1994-01-01

    A simplified method for the substoichiometric analysis of phosphorus has been developed and applied to determine the concentration distribution of phosphorus in the region of a SiO 2 -Si interface in order to explain why phosphorus is lost from the ion-implanted silicon surface throughout the oxidation and oxide removal processes. It is revealed that phosphorus piles up on the SiO 2 side at the interface by the thermal oxidation of silicon surface and is removed with the oxide by wet etching and with the resulting silicon by RCA cleaning. This results in a total loss of ion-implanted phosphorus of 3.5%. (author) 11 refs.; 2 figs.; 3 tabs

  7. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  8. Lattice sites and stability of implanted Er in FZ and CZ Si

    CERN Document Server

    Wahl, U; Langouche, G; Vantomme, A

    1998-01-01

    We report on the lattice location of $^{167}$Er in Si measured by conversion electron emission channeling. In both FZ and CZ Si, a high fraction of Er (>65%) occupies near-tetrahedral interstitial (T) sites directly following 60 keV room temperature implantation at doses of 6 $\\times 10^{12}$ cm$^{-2}$. For higher doses, the as-implanted near-T fractions of Er visible by emission channeling are smaller, due to the beginning of amorphization. Following the recovery of implantation damage at 600°C, more than 70% of Er is found on near-T sites in both FZ and CZ Si. In FZ Si, Er exhibits a remarkable thermal stability and only prolonged annealing for several hours reduces the near-T fraction. On the other hand, annealing of CZ Si at 900°C for more than 10 minutes results in the majority of Er probes in sites of very low symmetry or disordered surroundings.

  9. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  10. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  11. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  12. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  13. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  14. Binding of copper and nickel to cavities in silicon formed by helium ion implantation

    International Nuclear Information System (INIS)

    Myers, S.M.; Follstaedt, D.M.; Bishop, D.M.

    1993-01-01

    Cavities formed in Si by He ion implantation and annealing are shown to be strong traps for Cu and Ni impurities. Experiments utilizing ion-beam analysis and transmission electron microscopy indicate that Cu is trapped at the internal surfaces of cavities up to ∼1 monolayer coverage with a binding energy of 2.2±0.2 eV relative to solution. This is greater than the heat of solution from the precipitated Cu 3 Si phase, determined to be 1.7 eV in agreement with earlier work. Copper at cavity-wall sites is reversibly replaced by H during heating in H 2 gas, indicating the relative stability of the two surface terminations. Initial results for Ni impurities indicate that trapping at cavities is again energetically preferred to silicide formation. The saturation coverage of Ni on the internal surfaces, however, is an order of magnitude smaller for Ni than Cu, consistent with published studies of external-surface adsorption. These results suggest that cavity trapping may getter metallic impurities in Si more effectively than methods based on silicide precipitation

  15. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  16. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  17. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  18. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  19. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  20. Effects of recoil-implanted oxygen on depth profiles of defects and annealing processes in P{sup +}-implanted Si studied using monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kitano, Tomohisa; Watanabe, Masahito; Kawano, Takao; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Mikado, Tomohisa

    1996-04-01

    Effects of oxygen atoms recoiled from SiO{sub 2} films on depth profiles of defects and annealing processes in P{sup +}-implanted Si were studied using monoenergetic positron beams. For an epitaxial Si specimen, the depth profile of defects was found to be shifted toward the surface by recoil implantation of oxygen atoms. This was attributed to the formation of vacancy-oxygen complexes and a resultant decrease in the diffusion length of vacancy-type defects. The recoiled oxygen atoms stabilized amorphous regions introduced by P{sup +}-implantation, and the annealing of these regions was observed after rapid thermal annealing (RTA) at 700degC. For a Czochralski-grown Si specimen fabricated by through-oxide implantation, the recoiled oxygen atoms introduced interstitial-type defects upon RTA below the SiO{sub 2}/Si interface, and such defects were dissociated by annealing at 1000degC. (author)