WorldWideScience

Sample records for sequential ion-beam sputtering

  1. Studies on ion scattering and sputtering processes relevant to ion beam sputter deposition of multicomponent thin films

    International Nuclear Information System (INIS)

    Auciello, O.; Ameen, M.S.; Kingon, A.I.

    1989-01-01

    Results from computer simulation and experiments on ion scattering and sputtering processes in ion beam sputter deposition of high Tc superconducting and ferroelectric thin films are presented. It is demonstrated that scattering of neutralized ions from the targets can result in undesirable erosion of, and inert gas incorporation in, the growing films, depending on the ion/target atom ass ratio and ion beam angle of incidence/target/substrate geometry. The studies indicate that sputtering Kr + or Xe + ions is preferable to the most commonly used Ar + ions, since the undesirable phenomena mentioned above are minimized for the first two ions. These results are used to determine optimum sputter deposition geometry and ion beam parameters for growing multicomponent oxide thin films by ion beam sputter-deposition. 10 refs., 5 figs

  2. Influence of ion beam and geometrical parameters on properties of Si thin films grown by Ar ion beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Bundesmann, Carsten; Feder, Rene; Neumann, Horst [Leibniz-Institut fuer Oberflaechenmodifizierung e.V., Leipzig (Germany)

    2012-07-01

    Ion beam sputtering (IBS) offers, in contrast to other physical vapour deposition techniques, such as magnetron sputtering or electron beam evaporation, the opportunity to change the properties of the layer forming particles (sputtered and scattered particles) by varying ion beam parameters (ion species, ion energy) and geometrical parameters (ion incidence angle, emission angle). Consequently, these effects can be utilized to tailor thin film properties [1]. The goal is to study systematically the correlations between the primary and secondary parameters and, at last, the effects on the properties of Si thin films, such as optical properties, stress, surface topography and composition. First experimental results are presented for Ar-ion sputtering of Si.

  3. Preliminary results on adhesion improvement using Ion Beam Sputtering Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-05-15

    Sputtering is an established technique for depositing films with smooth surfaces and interfaces and good thick control. Ejection of articles from a condensed matter due to impingement of high energy particles, termed as sputtering was observed as early as in 1852, however, it is only recently that the complex process of sputtering system. Coating adhesion and environmental stability of the ion beam sputtering deposition coatings performed very well. High-energy high-current ion beam thin film synthesis of adhesion problems can be solved by using. Enhancement of adhesion in thin film synthesis, using high energy and high current ion beam, of mobile phones, car parts and other possible applications in the related industry Alternative technology of wet chrome plating, considering environment and unit cost, for car parts and esthetic improvement on surface of domestic appliances.

  4. Preliminary results on adhesion improvement using Ion Beam Sputtering Deposition

    International Nuclear Information System (INIS)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang

    2013-01-01

    Sputtering is an established technique for depositing films with smooth surfaces and interfaces and good thick control. Ejection of articles from a condensed matter due to impingement of high energy particles, termed as sputtering was observed as early as in 1852, however, it is only recently that the complex process of sputtering system. Coating adhesion and environmental stability of the ion beam sputtering deposition coatings performed very well. High-energy high-current ion beam thin film synthesis of adhesion problems can be solved by using. Enhancement of adhesion in thin film synthesis, using high energy and high current ion beam, of mobile phones, car parts and other possible applications in the related industry Alternative technology of wet chrome plating, considering environment and unit cost, for car parts and esthetic improvement on surface of domestic appliances

  5. Improving depth resolutions in positron beam spectroscopy by concurrent ion-beam sputtering

    Science.gov (United States)

    John, Marco; Dalla, Ayham; Ibrahim, Alaa M.; Anwand, Wolfgang; Wagner, Andreas; Böttger, Roman; Krause-Rehberg, Reinhard

    2018-05-01

    The depth resolution of mono-energetic positron annihilation spectroscopy using a positron beam is shown to improve by concurrently removing the sample surface layer during positron beam spectroscopy. During ion-beam sputtering with argon ions, Doppler-broadening spectroscopy is performed with energies ranging from 3 keV to 5 keV allowing for high-resolution defect studies just below the sputtered surface. With this technique, significantly improved depth resolutions could be obtained even at larger depths when compared to standard positron beam experiments which suffer from extended positron implantation profiles at higher positron energies. Our results show that it is possible to investigate layered structures with a thickness of about 4 microns with significantly improved depth resolution. We demonstrated that a purposely generated ion-beam induced defect profile in a silicon sample could be resolved employing the new technique. A depth resolution of less than 100 nm could be reached.

  6. Towards a magnetic field separation in Ion Beam Sputtering processes

    Energy Technology Data Exchange (ETDEWEB)

    Malobabic, Sina, E-mail: s.malobabic@lzh.de [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Jupé, Marco [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Kadhkoda, Puja [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Ristau, Detlev [Laser Zentrum Hannover e.V., Hollerithallee 8, 30419 Hannover (Germany); Quest: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany)

    2015-10-01

    Defects embedded in coatings due to particle contamination are considered as a primary factor limiting the quality of optical coatings in Ion Beam Sputtering. An approach combining the conventional Ion Beam Sputtering process with a magnetic separator in order to remove these particles from film growth is presented. The separator provides a bent axial magnetic field that guides the material flux towards the substrate positioned at the exit of the separator. Since there is no line of sight between target and substrate, the separator prevents that the particles generated in the target area can reach the substrate. In this context, optical components were manufactured that reveal a particle density three times lower than optical components which were deposited using a conventional Ion Beam Sputtering process. - Highlights: • We use bent magnetic fields to guide and separate the sputtered deposition material. • No line of sight between substrate and target prevents thin films from particles. • The transport efficiency of binary and ternary oxides is investigated. • The defect statistics of manufactured dielectric ternary multilayers are evaluated. • The phase separation leads to a drastically reduction of particle contamination.

  7. Ion beam sputter implantation method

    International Nuclear Information System (INIS)

    King, W.J.

    1978-01-01

    By means of ion beam atomizing or sputtering an integrally composed coating, the composition of which continuously changes from 100% of the substrate to 100% of the coating, can be surfaced on a substrate (e.g. molten quartz on plastic lenses). In order to do this in the facility there is directed a primary beam of accelerated noble gas ions on a target from the group of the following materials: SiO 2 , Al 2 O 3 , Corning Glass 7070, Corning Glass 7740 or borosilicate glass. The particles leaving the target are directed on the substrate by means of an acceleration potential of up to 10 KV. There may, however, be coated also metal layers (Ni, Co) on a mylar film resulting in a semireflecting metal film. (RW) [de

  8. Development of ion beam sputtering techniques for actinide target preparation

    International Nuclear Information System (INIS)

    Aaron, W.S.; Zevenbergen, L.A.; Adair, H.L.

    1985-01-01

    Ion beam sputtering is a routine method for the preparation of thin films used as targets because it allows the use of minimum quantity of starting material, and losses are much lower than most other vacuum deposition techniques. Work is underway in the Isotope Research Materials Laboratory (IRML) at ORNL to develop the techniques that will make the preparation of actinide targets up to 100 μg/cm 2 by ion beam sputtering a routinely available service from IRML. The preparation of the actinide material in a form suitable for sputtering is a key to this technique, as is designing a sputtering system that allows the flexibility required for custom-ordered target production. At present, development work is being conducted on low-activity in a bench-top system. The system will then be installed in a hood or glove box approved for radioactive materials handling where processing of radium, actinium, and plutonium isotopes among others will be performed. (orig.)

  9. Development of ion beam sputtering techniques for actinide target preparation

    Science.gov (United States)

    Aaron, W. S.; Zevenbergen, L. A.; Adair, H. L.

    1985-06-01

    Ion beam sputtering is a routine method for the preparation of thin films used as targets because it allows the use of a minimum quantity of starting material, and losses are much lower than most other vacuum deposition techniques. Work is underway in the Isotope Research Materials Laboratory (IRML) at ORNL to develop the techniques that will make the preparation of actinide targets up to 100 μg/cm 2 by ion beam sputtering a routinely available service from IRML. The preparation of the actinide material in a form suitable for sputtering is a key to this technique, as is designing a sputtering system that allows the flexibility required for custom-ordered target production. At present, development work is being conducted on low-activity actinides in a bench-top system. The system will then be installed in a hood or glove box approved for radioactive materials handling where processing of radium, actinium, and plutonium isotopes among others will be performed.

  10. Systematic investigations of low energy Ar ion beam sputtering of Si and Ag

    Energy Technology Data Exchange (ETDEWEB)

    Feder, R., E-mail: rene.feder@iom-leipzig.de [Leibniz-Institut für Oberflächenmodifizierung, Permoserstraße 15, 04318 Leipzig (Germany); Frost, F.; Neumann, H.; Bundesmann, C.; Rauschenbach, B. [Leibniz-Institut für Oberflächenmodifizierung, Permoserstraße 15, 04318 Leipzig (Germany)

    2013-12-15

    Ion beam sputter deposition (IBD) delivers some intrinsic features influencing the growing film properties, because ion properties and geometrical process conditions generate different energy and spatial distributions of the sputtered and scattered particles. Even though IBD has been used for decades, the full capabilities are not investigated systematically and specifically used yet. Therefore, a systematic and comprehensive analysis of the correlation between the properties of the ion beam, the generated secondary particles and backscattered ions and the deposited films needs to be done. A vacuum deposition chamber has been set up which allows ion beam sputtering of different targets under variation of geometrical parameters (ion incidence angle, position of substrates and analytics in respect to the target) and of ion beam parameters (ion species, ion energy) to perform a systematic and comprehensive analysis of the correlation between the properties of the ion beam, the properties of the sputtered and scattered particles, and the properties of the deposited films. A set of samples was prepared and characterized with respect to selected film properties, such as thickness and surface topography. The experiments indicate a systematic influence of the deposition parameters on the film properties as hypothesized before. Because of this influence, the energy distribution of secondary particles was measured using an energy-selective mass spectrometer. Among others, experiments revealed a high-energetic maximum for backscattered primary ions, which shifts with increasing emission angle to higher energies. Experimental data are compared with Monte Carlo simulations done with the well-known Transport and Range of Ions in Matter, Sputtering version (TRIM.SP) code [J.P. Biersack, W. Eckstein, Appl. Phys. A: Mater. Sci. Process. 34 (1984) 73]. The thicknesses of the films are in good agreement with those calculated from simulated particle fluxes. For the positions of the

  11. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  12. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  13. Sputtering of silicon and glass substrates with polyatomic molecular ion beams generated from ionic liquids

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Mitsuaki, E-mail: m-takeuchi@kuee.kyoto-u.ac.jp; Hoshide, Yuki; Ryuto, Hiromichi; Takaoka, Gikan H. [Photonics and Electronics Science and Engineering Center, Kyoto University, Kyotodaigaku-Katsura, Nishikyo-ku, Kyoto 615-8510 (Japan)

    2016-03-15

    The effect of irradiating 1-ethyl-3-methylimidazolium positive (EMIM{sup +}) or dicyanamide negative (DCA{sup –}) ion beams using an ionic liquid ion source was characterized concerning its sputtering properties for single crystalline Si(100) and nonalkaline borosilicate glass substrates. The irradiation of the DCA{sup –} ion beam onto the Si substrate at an acceleration voltage of 4 and 6 kV exhibited detectable sputtered depths greater than a couple of nanometers with an ion fluence of only 1 × 10{sup 15} ions/cm{sup 2}, while the EMIM{sup +} ion beam produced the same depths with an ion fluence 5 × 10{sup 15} ions/cm{sup 2}. The irradiation of a 4 kV DCA{sup –} ion beam at a fluence of 1 × 10{sup 16} ions/cm{sup 2} also yields large etching depths in Si substrates, corresponding to a sputtering yield of Si/DCA{sup – }= 10, and exhibits a smoothed surface roughness of 0.05 nm. The interaction between DCA{sup –} and Si likely causes a chemical reaction that relates to the high sputtering yield and forms an amorphous C-N capping layer that results in the smooth surface. Moreover, sputtering damage by the DCA{sup –} irradiation, which was estimated by Rutherford backscattering spectroscopy with the channeling technique, was minimal compared to Ar{sup +} irradiation at the same condition. In contrast, the glass substrates exhibited no apparent change in surface roughnesses when sputtered by the DCA{sup –} irradiation compared to the unirradiated glass substrates.

  14. Computer simulation of scattered ion and sputtered species effects in ion beam sputter-deposition of high temperature superconducting thin films

    International Nuclear Information System (INIS)

    Krauss, A.R.; Auciello, O.

    1992-01-01

    Ion beam sputter-deposition is a technique currently used by many groups to produce single and multicomponent thin films. This technique provides several advantages over other deposition methods, which include the capability for yielding higher film density, accurate stoichiometry control, and smooth surfaces. However, the relatively high kinetic energies associated with ion beam sputtering also lead to difficulties if the process is not properly controlled. Computer simulations have been performed to determine net deposition rates, as well as the secondary erosion, lattice damage, and gas implantation in the films, associated with primary ions scattered from elemental Y, Ba and Cu targets used to produce high temperature superconducting Y-Ba-Cu-O films. The simulations were performed using the TRIM code for different ion masses and kinetic energies, and different deposition geometries. Results are presented for primary beams of Ar + , Kr + and Xe + incident on Ba and Cu targets at 0 degrees and 45 degrees with respect to the surface normal, with the substrate positioned at 0 degrees and 45 degrees. The calculations indicate that the target composition, mass and kinetic energy of the primary beam, angle of incidence on the target, and position and orientation of the substrate affect the film damage and trapped primary beam gas by up to 5 orders of magnitude

  15. Differential ion beam sputtering of segregated phases in aluminum casting alloys

    International Nuclear Information System (INIS)

    Nguyen, Chuong L.; Wirtz, Tom; Fleming, Yves; Metson, James B.

    2013-01-01

    Highlights: ► Novel combination of SIMS and SPM for accurate 3D chemical mapping. ► Different removal rates of metallurgical phases by ion beam. ► Faster oxidation rate of silicon vs. aluminum at room temperature in vacuum. - Abstract: Differential sputtering of materials is an important phenomenon in materials science with many implications. One of the practical applications of this phenomenon is the modification of the interface between a substrate and coating during sputter coating of materials. Aluminum casting alloys, as common materials in many applications, are suitable candidates to investigate this phenomenon due to their phase separated microstructures. Changes at the sample surface under ion bombardment can be characterized by a range of complimentary techniques. The novel SIMS–SPM instrument used here enables a thorough investigation into the evolution of topography and composition caused by ion beam sputtering. For the alloy examined in this work, the aluminum regions are removed faster than the silicon particles. The faster oxidation rate of silicon compared to aluminum in the exposed surface can also be deduced from this study.

  16. Nanopatterning of swinging substrates by ion-beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, Sun Mi; Kim, J.-S., E-mail: jskim@sm.ac.kr [Department of Physics, Sookmyung Women' s University, Seoul 140-742 (Korea, Republic of)

    2016-05-28

    Graphite substrates are azimuthally swung during ion-beam sputtering (IBS) at a polar angle θ = 78° from the surface normal. The swinging of the substrate not only causes quasi-two-dimensional mass transport but also makes various sputter effects from the different incident angles to work together. Through variation of the swing angle, both the transport and sputtering effects synergistically produce a series of salient patterns, such as asymmetric wall-like structures, which can grow to several tens of nanometers and exhibit a re-entrant orientational change with the increased swing angle. Thus, the present work demonstrates that dynamic variables such as the swing angle, which have been little utilized, offer an additional parameter space that can be exploited to diversify the sputtered patterns, thereby expanding the applicability of an IBS as well as the comprehension of the IBS nano patterning mechanism.

  17. Nanopatterning of swinging substrates by ion-beam sputtering

    International Nuclear Information System (INIS)

    Yoon, Sun Mi; Kim, J.-S.

    2016-01-01

    Graphite substrates are azimuthally swung during ion-beam sputtering (IBS) at a polar angle θ = 78° from the surface normal. The swinging of the substrate not only causes quasi-two-dimensional mass transport but also makes various sputter effects from the different incident angles to work together. Through variation of the swing angle, both the transport and sputtering effects synergistically produce a series of salient patterns, such as asymmetric wall-like structures, which can grow to several tens of nanometers and exhibit a re-entrant orientational change with the increased swing angle. Thus, the present work demonstrates that dynamic variables such as the swing angle, which have been little utilized, offer an additional parameter space that can be exploited to diversify the sputtered patterns, thereby expanding the applicability of an IBS as well as the comprehension of the IBS nano patterning mechanism.

  18. Technology and applications of broad-beam ion sources used in sputtering. Part II. Applications

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Kaufman, H.R.

    1982-01-01

    The developments in broad-beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion-beam sputter deposition and the emerging technique of ion-assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion-activated chemical etching is efficient, self-limiting compound layers can be grown, and the physical properties of vapor-deposited films can be modified. In each of these areas, broad ion beam technology provides a link between other large area plasma processes and surface analytical techniques using ion beams

  19. Effects of ion sputtering on semiconductor surfaces

    International Nuclear Information System (INIS)

    McGuire, G.E.

    1978-01-01

    Ion beam sputtering has been combined with Auger spectroscopy to study the effects of ion beams on semiconductor surfaces. Observations on the mass dependence of ion selective sputtering of two component systems are presented. The effects of ion implantation are explained in terms of atomic dilution. Experimental data are presented that illustrate the super-position of selective sputtering and implantation effects on the surface composition. Sample reduction from electron and ion beam interaction is illustrated. Apparent sample changes which one might observe from the effects of residual gas contamination and electric fields are also discussed. (Auth.)

  20. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  1. Nanofabrication by ion-beam sputtering fundamentals and applications

    CERN Document Server

    Som, Tapobrata

    2012-01-01

    Considerable attention has been paid to ion beam sputtering as an effective way to fabricate self-organized nano-patterns on various substrates. The significance of this method for patterning surfaces is that the technique is fast, simple, and less expensive. The possibility to create patterns on very large areas at once makes it even more attractive. This book reviews various fascinating results, understand the underlying physics of ion induced pattern formation, to highlight the potential applications of the patterned surfaces, and to explore the patterning behavior by different irradiation

  2. Gold removal rate by ion sputtering as a function of ion-beam voltage and raster size using Auger electron spectroscopy. Final report

    International Nuclear Information System (INIS)

    Boehning, C.W.

    1983-01-01

    Gold removal rate was measured as a function of ion beam voltage and raster size using Auger electron spectroscopy (AES). Three different gold thicknesses were developed as standards. Two sputter rate calibration curves were generated by which gold sputter rate could be determined for variations in ion beam voltage or raster size

  3. Study on the Deposition Rate Depending on Substrate Position by Using Ion Beam Sputtering Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yonggi; Kim, Bomsok; Lee, Jaesang [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Ion beams have been used for over thirty years to modify materials in manufacturing of integrated circuits, and improving the corrosion properties of surfaces. Recently, the requirements for ion beam processes are becoming especially challenging in the following areas : ultra shallow junction formation for LSI fabrication, low damage high rate ion beam sputtering and smoothing, high quality functional surface treatment for electrical and optical properties. Ion beam sputtering is an attractive technology for the deposition of thin film coatings onto a broad variety of polymer, Si-wafer, lightweight substrates. Demand for the decoration metal is increasing. In addition, lightweight of parts is important, because of energy issues in the industries. Although a lot of researches have been done with conventional PVD methods for the deposition of metal or ceramic films on the surface of the polymer, there are still adhesion problems.

  4. Characterization of copper thin films prepared by metal self-ion beam sputter deposition

    International Nuclear Information System (INIS)

    Gotoh, Yasuhito; Amioka, Takao; Tsuji, Hiroshi; Ishikawa, Junzo

    1994-01-01

    New deposition technique, 'metal-ion beam self-sputtering' method has been developed. Using metal ions which is the same element with the target material, no contamination with noble gas atoms, which are often used in the conventional sputtering, will occur. In this paper, fundamental measurement of the film purity is reported. As a result of PIXE measurements, it was clarified that only slight amount of iron is incorporated in the films. (author)

  5. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  6. Growth and surface morphology of ion-beam sputtered Ti-Ni thin films

    International Nuclear Information System (INIS)

    Rao, Ambati Pulla; Sunandana, C.S.

    2008-01-01

    Titanium-nickel thin films have been deposited on float glass substrates by ion beam sputtering in 100% pure argon atmosphere. Sputtering is predominant at energy region of incident ions, 1000 eV to 100 keV. The as-deposited films were investigated by X-ray photoelectron spectroscopy (XPS) and atomic force microscope (AFM). In this paper we attempted to study the surface morphology and elemental composition through AFM and XPS, respectively. Core level as well as valence band spectra of ion-beam sputtered Ti-Ni thin films at various Ar gas rates (5, 7 and 12 sccm) show that the thin film deposited at 3 sccm possess two distinct peaks at binding energies 458.55 eV and 464.36 eV mainly due to TiO 2 . Upon increasing Ar rate oxidation of Ti-Ni is reduced and the Ti-2p peaks begin approaching those of pure elemental Ti. Here Ti-2p peaks are observed at binding energy positions of 454.7 eV and 460.5 eV. AFM results show that the average grain size and roughness decrease, upon increasing Ar gas rate, from 2.90 μm to 0.096 μm and from 16.285 nm to 1.169 nm, respectively

  7. Superconducting oxide thin films by ion beam sputtering

    International Nuclear Information System (INIS)

    Kobrin, P.H.; DeNatale, J.F.; Housley, R.M.; Flintoff, J.F.; Harker, A.B.

    1987-01-01

    Superconducting thin films of ternary copper oxides from the Y-Ba-Cu-O and La-Sr-Cu-O systems have been deposited by ion beam sputtering of ceramic targets. Crystallographic orientation of the polycrystalline films has been shown to vary with substrate identity, deposition temperature and annealing temperature. The onset of the superconductive transition occurs near 90K in the Y-Ba-Cu-O system. Fe impurities of < 0.2% have been found to inhibit the superconducting transition, probably by migrating to the grain boundaries

  8. Surfactant Sputtering: Theory of a new method of surface nanostructuring by ion beams

    International Nuclear Information System (INIS)

    Kree, R.; Yasseri, T.; Hartmann, A.K.

    2009-01-01

    We present a new Monte Carlo model and a new continuum theory of surface pattern formation due to 'surfactant sputtering', i.e. erosion by ion beam sputtering including a submonolayer coverage of additional, co-sputtered surfactant atoms. This setup, which has been realized in recent experiments in a controlled way leads to a number of interesting possibilities to modify pattern forming processing conditions. We will present three simple scenarios, which illustrate some potential applications of the method. In all three cases, simple Bradley-Harper type ripples appear in the absence of surfactant, whereas new, interesting structures emerge during surfactant sputtering.

  9. ITO/InP solar cells: A comparison of devices fabricated by ion beam and RF sputtering of the ITO

    Science.gov (United States)

    Coutts, T. J.

    1987-01-01

    This work was performed with the view of elucidating the behavior of indium tin oxide/indium phosphide (ITO/InP) solar cells prepared by RF and ion beam sputtering. It was found that using RF sputter deposition of the ITO always leads to more efficient devices than ion beam sputter deposition. An important aspect of the former technique is the exposure of the single crystal p-InP substrates to a very low plasma power prior to deposition. Substrates treated in this manner have also been used for ion beam deposition of ITO. In this case the cells behave very similarly to the RF deposited cells, thus suggesting that the lower power plasma exposure (LPPE) is the crucial process step.

  10. Production of rare-earth atomic negative ion beams in a cesium-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Davis, V.T.; Covington, A.M.; Duvvuri, S.S.; Kraus, R.G.; Emmons, E.D.; Kvale, T.J.; Thompson, J.S.

    2007-01-01

    The desire to study negative ion structure and negative ion-photon interactions has spurred the development of ion sources for use in research and industry. The many different types of negative ion sources available today differ in their characteristics and abilities to produce anions of various species. Thus the importance of choosing the correct type of negative ion source for a particular research or industrial application is clear. In this study, the results of an investigation on the production of beams composed of negatively-charged rare-earth ions from a cylindrical-cathode-geometry, cesium-sputter-type negative ion source are presented. Beams of atomic anions have been observed for most of the first-row rare-earth elements, with typical currents ranging from hundreds of picoamps to several nanoamps

  11. Multilayered nanostructured coverings generated by a method of ion beam sputtering in vacuum

    International Nuclear Information System (INIS)

    Il'yushenko, A.F.; Andreev, M.A.; Markova, L.V.; Lisovskaya, Yu. O.

    2013-01-01

    Technological process of the formation of multilayered coverings by ion -beam sputtering is developed. At research of samples by method of AFM it is established, that the heating of a substrate leads to formation of rather large grains up to 100 nanometers in size, consisting of dispersed subgrains in the size 10-25 nanometers. The obtained results allow to say that in the course of formation of coverings interphase borders of section in one layer and section border between coat layers are formed. The use of a method of Electron Backscatter Diffraction Analysis (EBSD) has helped to confirm that the at ion-beam sputtering, ultrafine diamonds remain their diamond-like structure when migrating to the surface of the coating. It is found that with increasing number of monolayers coating microhardness increases. However, this relationship is described by a nonlinear and exponential model. (authors)

  12. Ion beam texturing

    Science.gov (United States)

    Hudson, W. R.

    1977-01-01

    A microscopic surface texture was created by sputter-etching a surface while simultaneously sputter-depositing a lower sputter yield material onto the surface. A xenon ion-beam source was used to perform the texturing process on samples as large as 3-cm diameter. Textured surfaces have been characterized with SEM photomicrographs for a large number of materials including Cu, Al, Si, Ti, Ni, Fe, stainless steel, Au, and Ag. A number of texturing parameters are studied including the variation of texture with ion-beam powder, surface temperature, and the rate of texture growth with sputter etching time.

  13. Pattern evolution during ion beam sputtering; reductionistic view

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J.-H.; Kim, J.-S., E-mail: jskim@sm.ac.kr

    2016-09-15

    The development of the ripple pattern during the ion beam sputtering (IBS) is expounded via the evolution of its constituent ripples. For that purpose, we perform numerical simulation of the ripple evolution that is based on Bradley–Harper model and its non-linear extension. The ripples are found to evolve via various well-defined processes such as ripening, averaging, bifurcation and their combinations, depending on their neighboring ripples. Those information on the growth kinetics of each ripple allow the detailed description of the pattern development in real space that the instability argument and the diffraction study both made in k-space cannot provide.

  14. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  15. Ion beam sputtering of Ti: Influence of process parameters on angular and energy distribution of sputtered and backscattered particles

    Energy Technology Data Exchange (ETDEWEB)

    Lautenschläger, T. [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany); Feder, R., E-mail: thomas.lautenschlaeger@iom-leipzig.de [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany); Neumann, H. [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany); Rice, C.; Schubert, M. [Department of Electrical and Computer Engineering and Center for Nanohybrid Functional Materials, University of Nebraska-Lincoln, Lincoln, Nebraska 68588-0511 (United States); Bundesmann, C. [Leibniz-Institute of Surface Modification, 04318 Leipzig (Germany)

    2016-10-15

    Highlights: • Ion beam sputter deposition under systematic variation of process parameters. • Angular and energy distribution of secondary particles. • Interaction between incorporated and impinging process gas. • Measured data compared with simulations. - Abstract: In the present study, the influence of ion energy and geometrical parameters onto the angular and energy distribution of secondary particles for sputtering a Ti target with Ar ions is investigated. The angular distribution of the particle flux of the sputtered Ti atoms was determined by the collection method, i.e. by growing Ti films and measuring their thickness. The formal description of the particle flux can be realized by dividing it into an isotropic and an anisotropic part. The experimental data show that increasing the ion energy or decreasing the ion incidence angle lead to an increase of the isotropic part, which is in good agreement with basic sputtering theory. The energy distribution of the secondary ions was measured using an energy-selective mass spectrometer. The energy distribution of the sputtered target ions shows a maximum at an energy between 10 eV and 20 eV followed by a decay proportional to E{sup −n}, which is in principle in accordance with Thompson’s theory, followed by a high energetic tail. When the sum of incidence angle and emission angle is increased, the high-energetic tail expands to higher energies and an additional peak due to direct sputtering events may occur. In the case of backscattered primary Ar ions, a maximum at an energy between 5 eV and 10 eV appears and, depending on the scattering geometry, an additional broad peak at a higher energy due to direct scattering events is observed. The center energy of the additional structure shifts systematically to higher energies with decreasing scattering angle or increasing ion energy. The experimental results are compared to calculations based on simple elastic two-particle-interaction theory and to

  16. Ion-beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R. [Argonne National Lab., IL (United States)

    1993-01-01

    This compilation of figures and diagrams reviews processes for depositing diamond/diamond-like carbon films. Processes addressed are chemical vapor deposition (HFCVD, PACVD, etc.), plasma vapor deposition (plasma sputtering, ion beam sputtering, evaporation, etc.), low-energy ion implantation, and hybrid processes (biased sputtering, IBAD, biased HFCVD, etc.). The tribological performance of coatings produced by different means is discussed.

  17. Development of ion beam sputtering technology for mold and die

    International Nuclear Information System (INIS)

    Lee, Jaehyung; Park, J.; Lee, J.; Jil, J.; Yang, D.; Noh, Y.; You, B.; You, J.

    2003-06-01

    Ion beam sputtering technique, one of the surface modification techniques, is to reduce surface roughness of materials with selective detaching atoms and micro particles from the surface by bombarding energetic ions of a few to a few tens keV onto the materials surfaces. This technique can be applied for the surfaces that need to have sub micrometer surface roughness, and it has already been used by companies and/or Institute over the world. Although this is relatively high cost process, it has been widely demanded in the industries with developing the eco-friend equipment due to its high quality of products. In the domestic industry, it has been pointed out that the mechanical polishing technique for molds and dies is relatively expensive and does not produce the required surface roughness. Therefore, in this R and D, techniques obtained from the ion source and the ion beam irradiation techniques developed for the proton accelerator has been applied to polish the surface of molds and dies to solve the above-mentioned problems that take place during mechanical polishing. In case that ion beam polishing technique is used, we expect not only producing the high quality polished surfaces but also producing the economically valuable end-products. In this R and D project, we are aiming at establishing ion beam techniques for industrialization as well as mass production of low cost products with developing the economical instrumentation techniques. Also, as a result of this R and D it is expected that importing of precise molds and dies may be reduced and technical competitiveness will be enhanced

  18. Orientation-dependent ion beam sputtering at normal incidence conditions in FeSiAl alloy

    International Nuclear Information System (INIS)

    Batic, Barbara Setina; Jenko, Monika

    2010-01-01

    The authors have performed Ar+ broad ion beam sputtering of a polycrystalline Fe-Si-Al alloy at normal incidence at energies varying from 6 to 10 keV. Sputtering results in the formation of etch pits, which can be classified in three shapes: triangular, rectangular, and square. As each grain of individual orientation exhibits a certain type of pattern, the etch pits were correlated with the crystal orientations by electron backscattered diffraction technique.

  19. Laser damage resistance of hafnia thin films deposited by electron beam deposition, reactive low voltage ion plating, and dual ion beam sputtering

    International Nuclear Information System (INIS)

    Gallais, Laurent; Capoulade, Jeremie; Natoli, Jean-Yves; Commandre, Mireille; Cathelinaud, Michel; Koc, Cian; Lequime, Michel

    2008-01-01

    A comparative study is made of the laser damage resistance of hafnia coatings deposited on fused silica substrates with different technologies: electron beam deposition (from Hf or HfO2 starting material), reactive low voltage ion plating, and dual ion beam sputtering.The laser damage thresholds of these coatings are determined at 1064 and 355 nm using a nanosecond pulsed YAG laser and a one-on-one test procedure. The results are associated with a complete characterization of the samples: refractive index n measured by spectrophotometry, extinction coefficient k measured by photothermal deflection, and roughness measured by atomic force microscopy

  20. Room-Temperature Growth of SiC Thin Films by Dual-Ion-Beam Sputtering Deposition

    Directory of Open Access Journals (Sweden)

    C. G. Jin

    2008-01-01

    Full Text Available Silicon carbide (SiC films were prepared by single and dual-ion-beamsputtering deposition at room temperature. An assisted Ar+ ion beam (ion energy Ei = 150 eV was directed to bombard the substrate surface to be helpful for forming SiC films. The microstructure and optical properties of nonirradicated and assisted ion-beam irradicated films have been characterized by transmission electron microscopy (TEM, scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR, and Raman spectra. TEM result shows that the films are amorphous. The films exposed to a low-energy assisted ion-beam irradicated during sputtering from a-SiC target have exhibited smoother and compacter surface topography than which deposited with nonirradicated. The ion-beam irradicated improves the adhesion between film and substrate and releases the stress between film and substrate. With assisted ion-beam irradicated, the density of the Si–C bond in the film has increased. At the same time, the excess C atoms or the size of the sp2 bonded clusters reduces, and the a-Si phase decreases. These results indicate that the composition of the film is mainly Si–C bond.

  1. Dwell time dependent morphological transition and sputtering yield of ion sputtered Sn

    International Nuclear Information System (INIS)

    Qian, H X; Zeng, X R; Zhou, W

    2010-01-01

    Self-organized nano-scale patterns may appear on a wide variety of materials irradiated with an ion beam. Good manipulation of these structures is important for application in nanostructure fabrication. In this paper, dwell time has been demonstrated to be able to control the ripple formation and sputtering yield on Sn surface. Ripples with a wavelength of 1.7 μm were observed for a dwell time in the range 3-20 μs, whereas much finer ripples with a wavelength of 540 nm and a different orientation were observed for a shorter dwell time in the range 0.1-2 μs. The sputtering yield increases with dwell time significantly. The results provide a new basis for further steps in the theoretical description of morphology evolution during ion beam sputtering.

  2. Effect of argon ion beam voltages on the microstructure of aluminum nitride films prepared at room temperature by a dual ion beam sputtering system

    International Nuclear Information System (INIS)

    Chen, H.-Y.; Han Sheng; Cheng, C.-H.; Shih, H.C.

    2004-01-01

    Aluminum nitride (AlN) films were successfully deposited at room temperature onto p-type (1 0 0) silicon wafers by manipulating argon ion beam voltages in a dual ion beam sputtering (DIBS). X-ray diffraction spectra showed that aluminum nitride films could be synthesized above 800 V. The (0 0 2) orientation was dominant at 800 V, above which the orientation was random. The atomic force microscope (AFM) images displayed a relatively smooth surface with the root-mean-square roughness of 2-3 nm, where this roughness decreased with argon ion beam voltage. The Al 2p 3/2 and N 1s spectra indicated that both the aluminum-aluminum bond and aluminum-nitrogen bond appeared at 600 V, above which only the aluminum-nitrogen bond was detected. Moreover, the atomic concentration in aluminum nitride films was concentrated in aluminum-rich phases in all cases. Nevertheless, the aluminum concentration markedly increased with argon ion beam voltages below 1000 V, above which the concentration decreased slightly. The correlation between the microstructure of aluminum nitride films and argon ion beam voltages is also discussed

  3. Prototype inverted sputter source for negative heavy ions

    International Nuclear Information System (INIS)

    Minehara, Eisuke; Kobayashi, Chiaki; Kikuchi, Shiroh

    1977-10-01

    A sputter source from which negative heavy ion beam is extracted through a tungsten wire and disc ionizer was built and tested. An alkali metal surface ionization gun with the ionizer is described, and also performance of the surface ionization gun and of the sputter source for negative heavy ions using the gun is reported. The gun was tested for three alkali metals, i.e. sodium, potassium and cesium. Total potassium beam current of 1-2mA was obtained at entrance aperture of the magnet. Sputtering materials and gases for producing negative heavy ions are carbon, copper, aluminium, molybdenum, oxygen and air. With carbon and leakage air, the beam intensities analyzed are: 2-5μA (at Faraday cup) and 4.6-11μA (at exit slit) for C - , 3-5μA (at Faraday cup) and 6.8-11μA (at exit slit) for 2C - , and 11-15μA (at Faraday cup) and 25-34μA (at exit slit) for O - . Total beam current at the entrance aperture was 200-400μA. (auth.)

  4. Recent advancements in sputter-type heavy negative ion sources

    International Nuclear Information System (INIS)

    Alton, G.D.

    1989-01-01

    Significant advancement have been made in sputter-type negative ion sources which utilize direct surface ionization, or a plasma to form the positive ion beam used to effect sputtering of samples containing the material of interest. Typically, such sources can be used to generate usable beam intensities of a few μA to several mA from all chemically active elements, depending on the particular source and the electron affinity of the element in question. The presentation will include an introduction to the fundamental processes underlying negative ion formation by sputtering from a low work function surface and several sources will be described which reflect the progress made in this technology. 21 refs., 9 figs., 1 tab

  5. XPS investigation of monatomic and cluster argon ion sputtering of tantalum pentoxide

    Energy Technology Data Exchange (ETDEWEB)

    Simpson, Robin, E-mail: r.simpson@surrey.ac.uk [The Surface Analysis Laboratory, Department of Mechanical Engineering Sciences, University of Surrey (United Kingdom); Thermo Scientific, East Grinstead (United Kingdom); White, Richard G. [Thermo Scientific, East Grinstead (United Kingdom); Watts, John F.; Baker, Mark A. [The Surface Analysis Laboratory, Department of Mechanical Engineering Sciences, University of Surrey (United Kingdom)

    2017-05-31

    Highlights: • Ion beam induced oxide reduction from monatomic and gas cluster ion beam exposure are compared. • Lower relative level of preferential sputtering is shown in gas cluster ion beam depth profiling. • A lack of “steady state” is observed in gas cluster ion beam depth profiles of tantalum pentoxide. • Possible mechanisms behind the observed results, including temperature effects are proposed. - Abstract: In recent years, gas cluster ion beams (GCIB) have become the cutting edge of ion beam technology to sputter etch organic materials in surface analysis. However, little is currently known on the ability of argon cluster ions (Ar{sub n}{sup +}) to etch metal oxides and other technologically important inorganic compounds and no depth profiles have previously been reported. In this work, XPS depth profiles through a certified (European standard BCR-261T) 30 nm thick Ta{sub 2}O{sub 5} layer grown on Ta foil using monatomic Ar{sup +} and Ar{sub 1000}{sup +} cluster ions have been performed at different incident energies. The preferential sputtering of oxygen induced using 6 keV Ar{sub 1000}{sup +} ions is lower relative to 3 keV and 500 eV Ar{sup +} ions. Ar{sup +} ions exhibit a steady state O/Ta ratio through the bulk oxide but Ar{sub 1000}{sup +} ions show a gradual decrease in the O/Ta ratio as a function of depth. The depth resolution and etch rate is substantially better for the monatomic beam compared to the cluster beam. Higher O concentrations are observed when the underlying Ta bulk metal is sputtered for the Ar{sub 1000}{sup +} profiles compared to the Ar{sup +} profiles.

  6. Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging

    Energy Technology Data Exchange (ETDEWEB)

    Ziani, A. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Centre National d’Etudes Spatiales (CNES), 18 Avenue E. Belin, 31401 Toulouse (France); Delmotte, F., E-mail: Franck.Delmotte@InstitutOptique.fr [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Le Paven-Thivet, C. [Institut d' Electronique et de Télécommunications de Rennes (IETR) UMR-CNRS 6164, Université de Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex France (France); Meltchakov, E.; Jérome, A. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Roulliay, M. [Institut des Sciences Moléculaires d’Orsay UMR 8214, Univ Paris Sud, 91405 Orsay France (France); Bridou, F. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Gasc, K. [Centre National d’Etudes Spatiales (CNES), 18 Avenue E. Belin, 31401 Toulouse (France)

    2014-02-03

    In this paper, we report on the design, synthesis and characterization of extreme ultraviolet interferential mirrors for solar imaging applications in the spectral range 17 nm–34 nm. This research is carried out in the context of the preparation of the European Space Agency Solar Orbiter mission. The purpose of this study consists in optimizing the deposition of Al-based multilayers by ion beam sputtering according to several parameters such as the ion beam current and the sputtering angle. After optimization of Al thin films, several kinds of Al-based multilayer mirrors have been compared. We have deposited and characterized bi-material and also tri-material periodic multilayers: aluminum/molybdenum [Al/Mo], aluminum/molybdenum/boron carbide [Al/Mo/B{sub 4}C] and aluminum/molybdenum/silicon carbide [Al/Mo/SiC]. Best experimental results have been obtained on Al/Mo/SiC samples: we have measured reflectivity up to 48% at 17.3 nm and 27.5% at 28.2 nm on a synchrotron radiation source. - Highlights: • Design and synthesis of extreme ultraviolet interferential mirrors. • Optimization of aluminum thin films by adjusting several deposition parameters. • Comparison of results obtained with different types of Al-based multilayer mirrors. • Reflectivity up to 48% at 17.3 nm on a synchrotron radiation source.

  7. Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging

    International Nuclear Information System (INIS)

    Ziani, A.; Delmotte, F.; Le Paven-Thivet, C.; Meltchakov, E.; Jérome, A.; Roulliay, M.; Bridou, F.; Gasc, K.

    2014-01-01

    In this paper, we report on the design, synthesis and characterization of extreme ultraviolet interferential mirrors for solar imaging applications in the spectral range 17 nm–34 nm. This research is carried out in the context of the preparation of the European Space Agency Solar Orbiter mission. The purpose of this study consists in optimizing the deposition of Al-based multilayers by ion beam sputtering according to several parameters such as the ion beam current and the sputtering angle. After optimization of Al thin films, several kinds of Al-based multilayer mirrors have been compared. We have deposited and characterized bi-material and also tri-material periodic multilayers: aluminum/molybdenum [Al/Mo], aluminum/molybdenum/boron carbide [Al/Mo/B 4 C] and aluminum/molybdenum/silicon carbide [Al/Mo/SiC]. Best experimental results have been obtained on Al/Mo/SiC samples: we have measured reflectivity up to 48% at 17.3 nm and 27.5% at 28.2 nm on a synchrotron radiation source. - Highlights: • Design and synthesis of extreme ultraviolet interferential mirrors. • Optimization of aluminum thin films by adjusting several deposition parameters. • Comparison of results obtained with different types of Al-based multilayer mirrors. • Reflectivity up to 48% at 17.3 nm on a synchrotron radiation source

  8. Ion beams in materials processing and analysis

    CERN Document Server

    Schmidt, Bernd

    2012-01-01

    This book covers ion beam application in modern materials research, offering the basics of ion beam physics and technology and a detailed account of the physics of ion-solid interactions for ion implantation, ion beam synthesis, sputtering and nano-patterning.

  9. Magnetic and structural properties of ion beam sputtered Fe–Zr–Nb–B–Cu thin films

    International Nuclear Information System (INIS)

    Modak, S.S.; Kane, S.N.; Gupta, A.; Mazaleyrat, F.; LoBue, M.; Coisson, M.; Celegato, F.; Tiberto, P.; Vinai, F.

    2012-01-01

    Magnetic and structural properties of Fe–Zr–Nb–B–Cu thin films, prepared by ion beam sputtering on silicon substrates by using a target made up of amorphous ribbons of nominal composition Fe 84 Zr 3.5 Nb 3.5 B 8 Cu 1 , are reported. As-deposited thin film samples exhibit an in-plane uniaxial anisotropy, which can be ascribed to the preparation technique and the coupling of quenched-in internal stresses. Structural measurements indicate no significant variation of the grain size with thickness and with the annealing temperature. Increase in surface irregularities with annealing temperature and oxidation results in aggregates that would act as pinning centers, affecting the magnetic properties leading to magnetic hardening of the specimens. The role of the magnetic anisotropy is thoroughly discussed with the help of magnetic and ferromagnetic resonance measurements. - Highlights: ►Ion beam sputtered Fe–Zr–Nb–B–Cu thin films of different thickness are prepared. ►Films exhibit in-plane uniaxial anisotropy, which reduces with thermal treatments. ►Increased surface roughness leads to wall pinning, increasing the coercive field.

  10. Production of intensive negative lithium beam with caesium sputter-type ion source

    Science.gov (United States)

    Lobanov, Nikolai R.

    2018-01-01

    Compounds of lithium oxide, hydroxide and carbonate, mixed with silver, were prepared for use as a cathode in caesium-sputter ion source. The intention was to determine the procedure which would produce the highest intensity negative lithium beams over extended period and with maximum stability. The chemical composition and properties of the samples were analysed using mass-spectrometry, optical microscopy, Scanning Electron Microscopy (SEM), Energy Dispersive X-ray Analyses (EDX) and Raman spectroscopy. These analyses showed that the chemical transformations with components resulted from pressing, storage and bake out were qualitatively in agreement with expectations. Intensive negative lithium ion beams >1 μA were delivered using cathodes fabricated from materials with multicomponent chemical composition when the following conditions were met: (i) use of components with moderate enthalpy of formation; (ii) low moisture content at final stage of cathode production and (iii) small concentration of water molecules in hydrate phase in the cathode mixture.

  11. The rf-power dependences of the deposition rate, the hardness and the corrosion-resistance of the chromium nitride film deposited by using a dual ion beam sputtering system

    International Nuclear Information System (INIS)

    Lim, Jongmin; Lee, Chongmu

    2006-01-01

    The hexavalent chromium used in chromium plating is so toxic that it is very hazardous to human body and possibly causes cancer in humans. Therefore, it is indispensable to develop an alternative deposition technique. Dependences of the deposition rate, the phases, the hardness, the surface roughness and the corrosion-resistance of CrN x deposited on the high speed steel substrate by using a dual ion beam sputtering system on the rf-power were investigated to see the feasibility of sputtering as an alternative technique for chromium plating. The dual ion beam sputtering system used in this study was designed in such a way as the primary argon ion beam and the secondary nitrogen ion beam are injected toward the target and the substrate, respectively so that the chromium atoms at the chromium target surface may not nearly react with nitrogen atoms. The hardness and the surface roughness were measured by a micro-Vicker's hardness tester and an atomic force microscope (AFM), respectively. X-ray diffraction analyses were performed to identify phases in the films. The deposition rate of CrN x depends more strongly upon the rf-power for argon ion beam than that for nitrogen ion beam. The hardness of the CrN x film is highest when the volume percent of the Cr 2 N phase in the film is highest. Amorphous films are obtained when the rf-power for nitrogen ion beam is much higher than that for argon ion beam. The CrN x film deposited by using the sputtering technique under the optimal condition provides corrosion-resistance comparable to that of the electroplated chromium

  12. Lead-silicate glass surface sputtered by an argon cluster ion beam investigated by XPS

    Czech Academy of Sciences Publication Activity Database

    Zemek, Josef; Jiříček, Petr; Houdková, Jana; Jurek, Karel; Gedeon, O.

    2017-01-01

    Roč. 469, Aug (2017), s. 1-6 ISSN 0022-3093 R&D Projects: GA MŠk LM2015088; GA ČR(CZ) GA15-12580S Institutional support: RVO:68378271 Keywords : lead-silicate glass * XPS * BO * NBO * Argon duster ion beam sputtering * X-ray irradiation Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 2.124, year: 2016

  13. Improving the growth of Ge/Si islands by modulating the spacing between screen and accelerator grids in ion beam sputtering deposition system

    International Nuclear Information System (INIS)

    Yang, Jie; Zhao, Bo; Wang, Chong; Qiu, Feng; Wang, Rongfei; Yang, Yu

    2016-01-01

    Highlights: • Ge islands were prepared by ion beam sputtering with different grid-to-grid gaps. • Ge islands with larger sizes and low density are observed in 1-mm-spaced samples. • The island growth was determined by sputter energy and the quality of Si buffer. • The crystalline volume fraction of buffer must be higher than 72% to grow islands. - Abstract: Ge islands were fabricated on Si buffer layer by ion beam sputtering deposition with a spacing between the screen and accelerator grids of either 1 mm or 2 mm. The Si buffer layer exhibits mixed-phase microcrystallinity for samples grown with 1 mm spacing and crystallinity for those with 2 mm spacing. Ge islands are larger and less dense than those grown on the crystalline buffer because of the selective growth mechanism on the microcrystalline buffer. Moreover, the nucleation site of Ge islands formed on the crystalline Si buffer is random. Ge islands grown at different grid-to-grid gaps are characterized by two key factors, namely, divergence half angle of ion beam and crystallinity of buffer layer. High grid-to-grid spacing results in small divergence half angle, thereby enhancing the sputtering energy and redistribution of sputtered atoms. The crystalline volume fraction of the microcrystalline Si buffer was obtained based on the integrated intensity ratio of Raman peaks. The islands show decreased density with decreasing crystalline volume fraction and are difficult to observe at crystalline volume fractions lower than 72%.

  14. Improving the growth of Ge/Si islands by modulating the spacing between screen and accelerator grids in ion beam sputtering deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jie; Zhao, Bo [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China); Wang, Chong, E-mail: cwang@mail.sitp.ac.cn [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China); Qiu, Feng; Wang, Rongfei [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China); Yang, Yu, E-mail: yuyang@ynu.edu.cn [Institute of Optoelectronic Information Materials, School of Materials Science and Engineering, Yunnan University, Kunming 650091 (China); Yunnan Key Laboratory for Micro/Nano Materials and Technology, Yunnan University, Kunming 650091 (China)

    2016-11-15

    Highlights: • Ge islands were prepared by ion beam sputtering with different grid-to-grid gaps. • Ge islands with larger sizes and low density are observed in 1-mm-spaced samples. • The island growth was determined by sputter energy and the quality of Si buffer. • The crystalline volume fraction of buffer must be higher than 72% to grow islands. - Abstract: Ge islands were fabricated on Si buffer layer by ion beam sputtering deposition with a spacing between the screen and accelerator grids of either 1 mm or 2 mm. The Si buffer layer exhibits mixed-phase microcrystallinity for samples grown with 1 mm spacing and crystallinity for those with 2 mm spacing. Ge islands are larger and less dense than those grown on the crystalline buffer because of the selective growth mechanism on the microcrystalline buffer. Moreover, the nucleation site of Ge islands formed on the crystalline Si buffer is random. Ge islands grown at different grid-to-grid gaps are characterized by two key factors, namely, divergence half angle of ion beam and crystallinity of buffer layer. High grid-to-grid spacing results in small divergence half angle, thereby enhancing the sputtering energy and redistribution of sputtered atoms. The crystalline volume fraction of the microcrystalline Si buffer was obtained based on the integrated intensity ratio of Raman peaks. The islands show decreased density with decreasing crystalline volume fraction and are difficult to observe at crystalline volume fractions lower than 72%.

  15. Simulating discrete models of pattern formation by ion beam sputtering

    International Nuclear Information System (INIS)

    Hartmann, Alexander K; Kree, Reiner; Yasseri, Taha

    2009-01-01

    A class of simple, (2+1)-dimensional, discrete models is reviewed, which allow us to study the evolution of surface patterns on solid substrates during ion beam sputtering (IBS). The models are based on the same assumptions about the erosion process as the existing continuum theories. Several distinct physical mechanisms of surface diffusion are added, which allow us to study the interplay of erosion-driven and diffusion-driven pattern formation. We present results from our own work on evolution scenarios of ripple patterns, especially for longer timescales, where nonlinear effects become important. Furthermore we review kinetic phase diagrams, both with and without sample rotation, which depict the systematic dependence of surface patterns on the shape of energy depositing collision cascades after ion impact. Finally, we discuss some results from more recent work on surface diffusion with Ehrlich-Schwoebel barriers as the driving force for pattern formation during IBS and on Monte Carlo simulations of IBS with codeposition of surfactant atoms.

  16. In situ MeV ion beam analysis of ceramic surfaces modified by 100-400 keV ion irradiation

    International Nuclear Information System (INIS)

    Weber, W.J.; Yu, N.; Sickafus, K.E.

    1995-05-01

    This paper describes use of the in situ ion beam analysis facility developed at Los Alamos National Laboratory for the study of irradiation effects in ceramic materials. In this facility, an analytical beamline of 3 MV tandem accelerator and an irradiation bean-dine of 200 kV ion implanter are connected at 60 degrees to a common target chamber. This facility provides a fast, efficient, and quantitative measurement tool to monitor changes of composition and crystallinity of materials irradiated by 100-400 keV ions, through sequential measurement of backscattering events of MeV ions combined with ion channeling techniques. We will describe the details of the in situ ion beam analysis and ion irradiation and discuss some of the important issues and their solutions associated with the in situ experiment. These issues include (1) the selection of axial ion channeling direction for the measurement of radiation damage; (2) surface charging and charge collection for data acquisition; (3) surface sputtering during ion irradiation; (4) the effects of MeV analytical beam on the materials; and (5) the sample heating effect on ion beam analysis

  17. C60 ion sputtering of layered organic materials

    International Nuclear Information System (INIS)

    Shard, Alexander G.; Green, Felicia M.; Gilmore, Ian S.

    2008-01-01

    Two different organic materials, Irganox1010 and Irganox3114, were vacuum deposited as alternating layers. The layers of Irganox3114 were thin (∼2.5 nm) in comparison to the Irganox1010 (∼55 or ∼90 nm); we call these 'organic delta layers'. Both materials are shown to have identical sputtering yields and the alternating layers may be used to determine some of the important metrological parameters for cluster ion beam depth profiling of organic materials. The sputtering yield for C 60 ions is shown to diminish with ion dose. Comparison with atomic force microscopy data from films of pure Irganox1010, demonstrates that the depth resolution is limited by the development of topography. Secondary ion intensities are a well-behaved function of sputtering yield and may be employed to obtain useful analytical information. Organic delta layers are shown to be valuable reference materials for comparing the capabilities of different cluster ion sources and experimental arrangements for the depth profiling of organic materials.

  18. Ion-induced sputtering

    International Nuclear Information System (INIS)

    Yamamura, Yasumichi; Shimizu, Ryuichi; Shimizu, Hazime; Ito, Noriaki.

    1983-01-01

    The research on ion-induced sputtering has been continued for a long time, since a hundred or more years ago. However, it was only in 1969 by Sigmund that the sputtering phenomena were theoretically arranged into the present form. The reason why the importance of sputtering phenomena have been given a new look recently is the application over wide range. This paper is a review centering around the mechanism of causing sputtering and its characteristics. Sputtering is such a phenomenon that the atoms in the vicinity of a solid surface are emitted into vacuum by receiving a part of ion energy, or in other words, it is a kind of irradiation damage in the vicinity of a solid surface. In this meaning, it can be considered that the sputtering based on the ions located on the clean surface of a single element metal is simple, and has already been basically understood. On the contrary, the phenomena can not be considered to be fully understood in the case of alloys and compounds, because these surface conditions under irradiation are not always clear due to segregation and others. In the paper, the physical of sputtering, single element sputtering, the sputtering in alloys and compounds, and the behaviour of emitted particles are explained. Finally, some recent topics of the sputtering measurement by laser resonant excitation, the sputtering by electron excitation, chemical sputtering, and the sputtering in nuclear fusion reactors are described. (Wakatsuki, Y.)

  19. Developments in broad-beam, ion-source technology and applications

    International Nuclear Information System (INIS)

    Kaufman, H.R.; Harper, J.M.E.; Cuomo, J.J.

    1982-01-01

    Recent advances in broad-beam, ion-source technology are summarized, including low-energy ion optics, improved extraction grid fabrication, a compact ion-source design and a gridless ion-source design. Recent applications have emphasized concepts such as stress modification of vapor deposited films, very low energy ion beams to minimize the physical sputtering portion in reactive etching, and the use of multiple sources and targets to sputter deposit alloys and compounds. A comprehensive critical review by the same authors appears concurrently, describing in detail the developments in broad-beam, ion-source technology 1 and the applications of these sources. 2

  20. Specific features of fullerene-bearing thin film growth using ion beam vacuum sputtering of fullerene mixtures with B, Fe, Se, Gd and Na

    International Nuclear Information System (INIS)

    Semenov, A.P.; Semenova, I.A.; Bulina, N.V.; Lopatin, V.A.; Karmanov, N.S.; Churilov, G.N.

    2005-01-01

    A new approach to the growth of films containing fullerenes and doping elements is described. It is suggested that a cluster mechanism of the target sputtering by accelerated ions makes possible the deposition of fullerenes on a substrate with a certain probability for dopant atoms being introduced into the cavities of fullerene molecules and a higher probability of the doping element introduction between fullerene molecules. The proposed method has been experimentally implemented by using an Ar ion beam to sputter C 60 /C 70 fullerene mixtures, synthesized in a plasmachemical reactor at a pressure of 10 5 Pa and containing a doping element, i.e. Fe, Na, B, Gd or Se. Micron-thick films containing C 60 and C 70 fullerenes and the corresponding dopant element, i.e. Fe, Na, B, Gd or Se, were grown from dopant-containing fullerene mixtures by ion beam sputtering in a vacuum of ∼10 -2 Pa [ru

  1. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  2. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Czech Academy of Sciences Publication Activity Database

    Horák, Pavel; Bejšovec, Václav; Vacík, Jiří; Lavrentiev, Vasyl; Vrňata, M.; Kormunda, M.; Daniš, S.

    2016-01-01

    Roč. 389, DEC (2016), s. 751-759 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(CZ) LM2011019 Institutional support: RVO:61389005 Keywords : Copper oxide * ion beam sputtering * Van der Pauw * nuclear reaction analysis * gas sensing Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.387, year: 2016

  3. Low temperature mechanical dissipation of an ion-beam sputtered silica film

    International Nuclear Information System (INIS)

    Martin, I W; Craig, K; Bassiri, R; Hough, J; Robie, R; Rowan, S; Nawrodt, R; Schwarz, C; Harry, G; Penn, S; Reid, S

    2014-01-01

    Thermal noise arising from mechanical dissipation in oxide mirror coatings is an important limit to the sensitivity of future gravitational wave detectors, optical atomic clocks and other precision measurement systems. Here, we present measurements of the temperature dependence of the mechanical dissipation of an ion-beam sputtered silica film between 10 and 300 K. A dissipation peak was observed at 20 K and the low temperature dissipation was found to have significantly different characteristics than observed for bulk silica and silica films deposited by alternative techniques. These results are important for better understanding the underlying mechanisms of mechanical dissipation, and thus thermal noise, in the most commonly-used reflective coatings for precision measurements. (paper)

  4. Cornell electron beam ion source

    International Nuclear Information System (INIS)

    Kostroun, V.O.; Ghanbari, E.; Beebe, E.N.; Janson, S.W.

    1981-01-01

    An electron beam ion source (EBIS) for the production of low energy, multiply charged ion beams to be used in atomic physics experiments has been designed and constructed. An external high perveance electron gun is used to launch the electron beam into a conventional solenoid. Novel features of the design include a distributed sputter ion pump to create the ultrahigh vacuum environment in the ionization region of the source and microprocessor control of the axial trap voltage supplies

  5. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  6. Ion beam sputter coatings for laser technology

    Science.gov (United States)

    Ristau, Detlev; Gross, Tobias

    2005-09-01

    The initial motivation for the development of Ion Beam Sputtering (IBS) processes was the need for optical coatings with extremely low optical scatter losses for laser gyros. Especially, backscattering of the gyro-mirrors couples the directional modes in the ring resonator leading to the lock in effect which limits the sensitivity of the gyro. Accordingly, the first patent on IBS was approved for an aircraft company (Litton) in 1978. In the course of the rapid development of the IBS-concept during the last two decades, an extremely high optical quality could be achieved for laser coatings in the VIS- and NIR-spectral region. For example, high reflecting coatings with total optical losses below 1 ppm were demonstrated for specific precision measurement applications with the Nd:YAG-laser operating at 1.064 μm. Even though the high quality level of IBS-coatings had been confirmed in many applications, the process has not found its way into the production environment of most optical companies. Major restrictions are the relatively low rate of the deposition process and the poor lateral homogeneity of the coatings, which are related to the output characteristics of the currently available ion sources. In the present contribution, the basic principles of IBS will be discussed in the context of the demands of modern laser technology. Besides selected examples for special applications of IBS, aspects will be presented for approaches towards rapid manufacturing of coatings and the production of rugate filters on the basis of IBS-techniques.

  7. Study of the ion sputter-machining, 1

    International Nuclear Information System (INIS)

    Miyamoto, Iwao; Taniguchi, Norio

    1979-01-01

    A lattice disordering of the surface of single crystal silicon due to ion bombardment of Ar + was investigated by the high energy electron diffraction method, with the incident angle of 1.7 0 and 2.8 0 . By this measuring system, the degree of disorders of the sputter-machined surface layer of Si single crystal in the depth of 50 A and 30 A has been determined, under the working conditions of the ion energy ranging from 0.2 keV to 1.5 keV and the incident angle of ion ranging from 0 0 to 75 0 . Moreover, the recovery of lattice disorder of sputter-machined surface layer of Si single crystal by means of the isochronal thermal annealing has been also confirmed by the same method. From the above experiments, the following conclusions are obtained. (1) The layers of sputter-machined surface of Si single crystal workpiece are highly disordered like amorphous, under the working conditions of ion energy ranging from 0.2 keV to 1.5 keV for the vertical ion incident angle. (2) Under the working conditions of ion incident angle larger than 60 0 , using the ion beam with a lower energy under 300 eV, the surface of the workpiece is not disordered. Therefore, a sputter-machined surface of Si single crystal with highly ordered structure can be obtained under this working condition. (3) The recovery of disorder of sputter-machined surface is completed by the heat-treatment of workpiece under isochronal annealing for 1 hour at 800 0 C. However, it is not clear whether this recovery of lattice point or the dispersion of interstitially located argon atoms from the surface to the outside. (author)

  8. Fundamental properties of secondary negative ion emission by sputtering

    International Nuclear Information System (INIS)

    Shimizu, Toshiki; Tsuji, Hiroshi; Ishikawa, Junzo

    1989-01-01

    The report describes some results obtained from preliminary experiments on secondary negative ion emission from a cesiated surface by Xe-ion beam sputtering, which give the production probability. A measuring system is constructed for secondary negative ion emission. The system consists of a microwave ion source with a lens, a sputtering target holder with a heater, a cesium oven, a limiting aperture with a substrate for deposition, a negative-ion extractor and lens, and a ExB type mass separator. Observations are made on the dependence of negative ion current on cesium supply, dependence of negative ion current on target temperature, and negative ion production probability. The cesium supply and the target temperature are found to strongly influence the negative ion emission. By controlling these factors, the optimum condition for secondary negative ion emission is achieved with a minimum surface work function. The production probability of the negative ion is found to be very high, about 20% for carbon. Therefore, the secondary negative ion emission is considered a useful and highly efficient method to obtain high current ion beams. The constant in the Rasser's theoretical equation is experimentally determined to be 4.1 x 10 -4 eV sec/m. (N.K.)

  9. Secondary ion formation during electronic and nuclear sputtering of germanium

    Science.gov (United States)

    Breuer, L.; Ernst, P.; Herder, M.; Meinerzhagen, F.; Bender, M.; Severin, D.; Wucher, A.

    2018-06-01

    Using a time-of-flight mass spectrometer attached to the UNILAC beamline located at the GSI Helmholtz Centre for Heavy Ion Research, we investigate the formation of secondary ions sputtered from a germanium surface under irradiation by swift heavy ions (SHI) such as 5 MeV/u Au by simultaneously recording the mass spectra of the ejected secondary ions and their neutral counterparts. In these experiments, the sputtered neutral material is post-ionized via single photon absorption from a pulsed, intensive VUV laser. After post-ionization, the instrument cannot distinguish between secondary ions and post-ionized neutrals, so that both signals can be directly compared in order to investigate the ionization probability of different sputtered species. In order to facilitate an in-situ comparison with typical nuclear sputtering conditions, the system is also equipped with a conventional rare gas ion source delivering a 5 keV argon ion beam. For a dynamically sputter cleaned surface, it is found that the ionization probability of Ge atoms and Gen clusters ejected under electronic sputtering conditions is by more than an order of magnitude higher than that measured for keV sputtered particles. In addition, the mass spectra obtained under SHI irradiation show prominent signals of GenOm clusters, which are predominantly detected as positive or negative secondary ions. From the m-distribution for a given Ge nuclearity n, one can deduce that the sputtered material must originate from a germanium oxide matrix with approximate GeO stoichiometry, probably due to residual native oxide patches even at the dynamically cleaned surface. The results clearly demonstrate a fundamental difference between the ejection and ionization mechanisms in both cases, which is interpreted in terms of corresponding model calculations.

  10. Dual-beam focused ion beam/electron microscopy processing and metrology of redeposition during ion-surface 3D interactions, from micromachining to self-organized picostructures.

    Science.gov (United States)

    Moberlychan, Warren J

    2009-06-03

    Focused ion beam (FIB) tools have become a mainstay for processing and metrology of small structures. In order to expand the understanding of an ion impinging a surface (Sigmund sputtering theory) to our processing of small structures, the significance of 3D boundary conditions must be realized. We consider ion erosion for patterning/lithography, and optimize yields using the angle of incidence and chemical enhancement, but we find that the critical 3D parameters are aspect ratio and redeposition. We consider focused ion beam sputtering for micromachining small holes through membranes, but we find that the critical 3D considerations are implantation and redeposition. We consider ion beam self-assembly of nanostructures, but we find that control of the redeposition by ion and/or electron beams enables the growth of nanostructures and picostructures.

  11. Topography of InP surface bombarded by O2+ ion beam

    International Nuclear Information System (INIS)

    Sun Zhaoqi

    1997-01-01

    The topography of InP surface bombarded by O 2 + ion beam was investigated. Rippled topographies were observed for bombarded samples, and the data show that the ripple formation starts from a sputtering depth of about 0.4 μm. The wavelength and the disorder of the ripples both increase as the sputtering depth increases. The wavelength of the ripples appears to be sputtering depth dependent rather than sputtering rate dependent. It is confirmed that the ion-beam-induced surface rippling can be effectively suppressed by sample rotation during bombardment

  12. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  13. Sequential sputtered Co-HfO{sub 2} granular films

    Energy Technology Data Exchange (ETDEWEB)

    Chadha, M.; Ng, V.

    2017-03-15

    A systematic study of magnetic, magneto-transport and micro-structural properties of Co-HfO{sub 2} granular films fabricated by sequential sputtering is presented. We demonstrate reduction in ferromagnetic-oxide formation by using HfO{sub 2} as the insulting matrix. Microstructure evaluation of the films showed that the film structure consisted of discrete hcp-Co grains embedded in HfO{sub 2} matrix. Films with varying compositions were prepared and their macroscopic properties were studied. We correlate the variation in these properties to the variation in film microstructure. Our study shows that Co-HfO{sub 2} films with reduced cobalt oxide and varying properties can be prepared using sequential sputtering technique. - Highlights: • Co-HfO{sub 2} granular films were prepared using sequential sputtering. • A reduction in ferromagnetic-oxide formation is observed. • Co-HfO{sub 2} films display superparamagnetism and tunnelling magneto-resistance. • Varying macroscopic properties were achieved by changing film composition. • Applications can be found in moderate MR sensors and high –frequency RF devices.

  14. Particle beam experiments for the analysis of reactive sputtering processes in metals and polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Corbella, Carles; Grosse-Kreul, Simon; Kreiter, Oliver; Arcos, Teresa de los; Benedikt, Jan; Keudell, Achim von [RD Plasmas with Complex Interactions, Ruhr-Universität Bochum, Universitätsstr. 150, 44780 Bochum (Germany)

    2013-10-15

    A beam experiment is presented to study heterogeneous reactions relevant to plasma-surface interactions in reactive sputtering applications. Atom and ion sources are focused onto the sample to expose it to quantified beams of oxygen, nitrogen, hydrogen, noble gas ions, and metal vapor. The heterogeneous surface processes are monitored in situ by means of a quartz crystal microbalance and Fourier transform infrared spectroscopy. Two examples illustrate the capabilities of the particle beam setup: oxidation and nitriding of aluminum as a model of target poisoning during reactive magnetron sputtering, and plasma pre-treatment of polymers (PET, PP)

  15. Accurate argon cluster-ion sputter yields: Measured yields and effect of the sputter threshold in practical depth-profiling by x-ray photoelectron spectroscopy and secondary ion mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Cumpson, Peter J.; Portoles, Jose F.; Barlow, Anders J.; Sano, Naoko [National EPSRC XPS User' s Service (NEXUS), School of Mechanical and Systems Engineering, Newcastle University, Newcastle upon Tyne, NE1 7RU (United Kingdom)

    2013-09-28

    Argon Gas Cluster-Ion Beam sources are likely to become widely used on x-ray photoelectron spectroscopy and secondary ion mass spectrometry instruments in the next few years. At typical energies used for sputter depth profiling the average argon atom in the cluster has a kinetic energy comparable with the sputter threshold, meaning that for the first time in practical surface analysis a quantitative model of sputter yields near threshold is needed. We develop a simple equation based on a very simple model. Though greatly simplified it is likely to have realistic limiting behaviour and can be made useful for estimating sputter yields by fitting its three parameters to experimental data. We measure argon cluster-ion sputter yield using a quartz crystal microbalance close to the sputter threshold, for silicon dioxide, poly(methyl methacrylate), and polystyrene and (along with data for gold from the existing literature) perform least-squares fits of our new sputter yield equation to this data. The equation performs well, with smaller residuals than for earlier empirical models, but more importantly it is very easy to use in the design and quantification of sputter depth-profiling experiments.

  16. Tool steel ion beam assisted nitrocarburization

    International Nuclear Information System (INIS)

    Zagonel, L.F.; Alvarez, F.

    2007-01-01

    The nitrocarburization of the AISI-H13 tool steel by ion beam assisted deposition is reported. In this technique, a carbon film is continuously deposited over the sample by the ion beam sputtering of a carbon target while a second ion source is used to bombard the sample with low energy nitrogen ions. The results show that the presence of carbon has an important impact on the crystalline and microstructural properties of the material without modification of the case depth

  17. Angular Distributions of Sputtered Atoms from Semiconductor Targets at Grazing Ion Beam Incidence Angles

    International Nuclear Information System (INIS)

    Sekowski, M.; Burenkov, A.; Martinez-Limia, A.; Hernandez-Mangas, J.; Ryssel, H.

    2008-01-01

    Angular distributions of ion sputtered germanium and silicon atoms are investigated within this work. Experiments are performed for the case of grazing ion incidence angles, where the resulting angular distributions are asymmetrical with respect to the polar angle of the sputtered atoms. The performed experiments are compared to Monte-Carlo simulations from different programs. We show here an improved model for the angular distribution, which has an additional dependence of the ion incidence angle.

  18. Combined sputtering yield and surface topography development studies on Si

    International Nuclear Information System (INIS)

    Carter, G.; Nobes, M.J.; Lewis, G.W.; Whitton, J.L.

    1981-01-01

    The sputtering yield-incidence angle function has been measured for 8 keV Ar + ions incident on Si by direct scanning electron microscope observation of the depths of sputtered craters on substrate boundaries. This function displays a maximum sputtering yield at an angle thetasub(p) approximately equal to 40 0 to the surface normal. The sequential ion fluence dependence of features developed beneath local surface contaminant was then studied, quasi dynamically, in the same on-line ion source-S.E.M. system. During erosion of the contaminant a steeply elevated pillar of Si forms, which then transforms to a cone, again of high elevation angle >>thetasub(p). This cone is gradually eroded into the surrounding surface with no special significance associated with orientations of angle thetasub(p). Pedal depressions surrounding the pillar-cone system are also noted. The reasons for these observations and their relevance to ion beam surface channel etching are discussed. (Auth.)

  19. Determining the sputter yields of molybdenum in low-index crystal planes via electron backscattered diffraction, focused ion beam and atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Huang, H.S., E-mail: 160184@mail.csc.com.tw [New Materials Research and Development Department, China Steel Corporation, 1 Chung Kang Road, Hsiao Kang, Kaohsiung 812, Taiwan, ROC (China); Chiu, C.H.; Hong, I.T.; Tung, H.C. [New Materials Research and Development Department, China Steel Corporation, 1 Chung Kang Road, Hsiao Kang, Kaohsiung 812, Taiwan, ROC (China); Chien, F.S.-S. [Department of Physics, Tunghai University, 1727, Sec. 4, Xitun Dist., Taiwan Boulevard, Taichung 407, Taiwan, ROC (China)

    2013-09-15

    Previous literature has used several monocrystalline sputtering targets with various crystalline planes, respectively, to investigate the variations of the sputter yield of materials in different crystalline orientations. This study presents a method to measure the sputtered yields of Mo for the three low-index planes (100), (110), and (111), through using an easily made polycrystalline target. The procedure was firstly to use electron backscattered diffraction to identify the grain positions of the three crystalline planes, and then use a focused ion beam to perform the micro-milling of each identified grain, and finally the sputter yields were calculated from the removed volumes, which were measured by atomic force microscope. Experimental results showed that the sputter yield of the primary orientations for Mo varied as Y{sub (110)} > Y{sub (100)} > Y{sub (111)}, coincidental with the ranking of their planar atomic packing densities. The concept of transparency of ion in the crystalline substance was applied to elucidate these results. In addition, the result of (110) orientation exhibiting higher sputter yield is helpful for us to develop a Mo target with a higher deposition rate for use in industry. By changing the deformation process from straight rolling to cross rolling, the (110) texture intensity of the Mo target was significantly improved, and thus enhanced the deposition rate. - Highlights: • We used EBSD, FIB and AFM to measure the sputter yields of Mo in low-index planes. • The sputter yield of the primary orientations for Mo varied as Y{sub (110)} > Y{sub (100)} > Y{sub (111)}. • The transparency of ion was used to elucidate the differences in the sputter yield. • We improved the sputter rate of polycrystalline Mo target by adjusting its texture.

  20. A compact CMA spectrometer with axially integrated hybrid electron-ion gun for ISS, AES and sputter depth profile analysis

    International Nuclear Information System (INIS)

    Gisler, E.; Bas, E.B.

    1986-01-01

    Until now, the combined application of electrons and ions in surface analysis required two separate sources for electrons and ions with different incidence angles. The newly developed hybrid electron-ion gun, however, allows bombardment of the same sample area both with noble gas ions and with electrons coming from the same direction. By integrating such a hybrid gun axially in a cylindrical mirror energy analyser (CMA) a sensitive compact single flange spectrometer obtains for ion scattering spectroscopy (ISS), Auger electron spectroscopy (AES), and sputtering all within normal beam incidence. This concept makes accurate beam centering very easy. Additionally, the bombardment from the same direction both for sputtering and for surface analysis brings advantages in depth profiling. The scattering angle for ISS has a constant value of about 138 0 . The hybrid gun delivers typically an electron beam current of -20μA at 3keV for AES, and an ion beam current of +40 nA and +1.2μA at 2 keV for ISS and sputtering respectively. The switching time between ISS, AES, and sputtering mode is about 0.1 s. So this system is best suited for automatically controlled depth profile analysis. The design and operation of this new system will be described and some applications will be discussed. (author)

  1. Monte Carlo simulations of secondary electron emission due to ion beam milling

    Energy Technology Data Exchange (ETDEWEB)

    Mahady, Kyle [Univ. of Tennessee, Knoxville, TN (United States); Tan, Shida [Intel Corp., Santa Clara, CA (United States); Greenzweig, Yuval [Intel Israel Ltd., Haifa (Israel); Livengood, Richard [Intel Corp., Santa Clara, CA (United States); Raveh, Amir [Intel Israel Ltd., Haifa (Israel); Fowlkes, Jason D. [Univ. of Tennessee, Knoxville, TN (United States); Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Rack, Philip [Univ. of Tennessee, Knoxville, TN (United States); Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2017-07-01

    We present a Monte Carlo simulation study of secondary electron emission resulting from focused ion beam milling of a copper target. The basis of this study is a simulation code which simulates ion induced excitation and emission of secondary electrons, in addition to simulating focused ion beam sputtering and milling. This combination of features permits the simulation of the interaction between secondary electron emission, and the evolving target geometry as the ion beam sputters material. Previous ion induced SE Monte Carlo simulation methods have been restricted to predefined target geometries, while the dynamic target in the presented simulations makes this study relevant to image formation in ion microscopy, and chemically assisted ion beam etching, where the relationship between sputtering, and its effects on secondary electron emission, is important. We focus on a copper target, and validate our simulation against experimental data for a range of: noble gas ions, ion energies, ion/substrate angles and the energy distribution of the secondary electrons. We then provide a detailed account of the emission of secondary electrons resulting from ion beam milling; we quantify both the evolution of the yield as high aspect ratio valleys are milled, as well as the emission of electrons within these valleys that do not escape the target, but which are important to the secondary electron contribution to chemically assisted ion induced etching.

  2. Study of Sb/SnO{sub 2} bi-layer films prepared by ion beam sputtering deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chun-Min [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Huang, Chun-Chieh [Department of Electrical Engineering, Cheng Shiu University, No. 840, Chengcing Road, Niaosong Township, Kaohsiung 833, Taiwan, ROC (China); Kuo, Jui-Chao [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Huang, Jow-Lay, E-mail: jlh888@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    In the present work, bi-layer thin films of Sb/SnO{sub 2} were produced on unheated glass substrates using ion beam sputtering (IBS) technique without post annealing treatment. The thickness of Sb layers was varied from 2 to 10 nm and the Sb layers were deposited on SnO{sub 2} layers having thicknesses of 40 nm to 115 nm. The effect of thickness was studied on the morphological, electrical and optical properties. The Sb/SnO{sub 2} bi-layer resulted in lowering the electrical resistivity as well as reducing the optical transmittance. However, the optical and electrical properties of the bi-layer films were mainly influenced by the thickness of Sb layers due to progressive transfer in structures from aggregate to continuous films. The bi-layer films show the electrical resistivity of 1.4 × 10{sup −3} Ω cm and an optical transmittance of 26% for Sb film having 10 nm thickness. - Highlights: • Bi-layer Sb/SnO{sub 2} structures were synthesized by ion beam sputtering (IBS) technique. • The 6 nm-thick Sb film is a transition region in this study. • The conductivity of the bi-layer films is increased as Sb thickness increases. • The transmittance of the bi-layer films is decreased as Sb thickness increases.

  3. A high-intensity plasma-sputter heavy negative ion source

    International Nuclear Information System (INIS)

    Alton, G.D.; Mori, Y.; Takagi, A.; Ueno, A.; Fukumoto, S.

    1989-01-01

    A multicusp magnetic field plasma surface ion source, normally used for H/sup /minus//ion beam formation, has been modified for the generation of high-intensity, pulsed, heavy negative ion beams suitable for a variety of uses. To date, the source has been utilized to produce mA intensity pulsed beams of more than 24 species. A brief description of the source, and basic pulsed-mode operational data, (e.g., intensity versus cesium oven temperature, sputter probe voltage, and discharge pressure), are given. In addition, illustrative examples of intensity versus time and the mass distributions of ion beams extracted from a number of samples along with emittance data, are also presented. Preliminary results obtained during dc operation of the source under low discharge power conditions suggest that sources of this type may also be used to produce high-intensity (mA) dc beams. The results of these investigations are given, as well, and the technical issues that must be addressed for this mode of operation are discussed. 15 refs., 10 figs., 2 tabs

  4. Nickel oxide films by thermal annealing of ion-beam-sputtered Ni: Structure and electro-optical properties

    Czech Academy of Sciences Publication Activity Database

    Horák, Pavel; Remeš, Zdeněk; Bejšovec, Václav; Vacík, Jiří; Daniš, S.; Kormunda, M.

    2017-01-01

    Roč. 640, č. 10 (2017), s. 52-59 ISSN 0040-6090 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR(CZ) GA14-05053S; GA MŠk LM2015056 Institutional support: RVO:61389005 ; RVO:68378271 Keywords : NiO * ion beam sputtering * thermal annealing * nuclear analytical methods * optical properties Subject RIV: JK - Corrosion ; Surface Treatment of Materials; BM - Solid Matter Physics ; Magnetism (FZU-D) OBOR OECD: Coating and films; Condensed matter physics (including formerly solid state physics, supercond.) (FZU-D) Impact factor: 1.879, year: 2016

  5. Monte Carlo simulations of silicon sputtering by argon ions and an approach for comparison with molecular dynamic results

    Energy Technology Data Exchange (ETDEWEB)

    Feder, Rene; Frost, Frank; Mayr, Stefan G.; Neumann, Horst; Bundesmann, Carsten [Leibniz-Institut fuer Oberflaechenmodifizierung e.V., Leipzig (Germany)

    2012-07-01

    Ion beam sputter processes deliver some intrinsic features influencing the growing film properties. Utilisation of these features needs to know how primary ion properties and geometrical process conditions influence the energy and spatial distribution of the sputtered and scattered particles. Beside complex experiments simulations are helpful to explain the correlation between primary parameters and thin film properties. The paper presents first results of two simulation codes with completely different approaches: Monte Carlo (MC) calculations with help of the well known TRIM.SP code and Molecular Dynamics calculations with an in-house developed code. First results of both simulation principles are compared for Argon ion bombardment on a Silicon target. Furthermore, a special experimental setup is outlined for validation of modelling. The setup allows the variation of ion beam parameters (ion species, ion energy, ion incidence angle on the target) and the measurement of the properties of sputtered and scattered particles.

  6. Metal negative ion beam extraction from a radio frequency ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kanda, S.; Yamada, N.; Kasuya, T.; Romero, C. F. P.; Wada, M.

    2015-04-08

    A metal ion source of magnetron magnetic field geometry has been designed and operated with a Cu hollow target. Radio frequency power at 13.56 MHz is directly supplied to the hollow target to maintain plasma discharge and induce self-bias to the target for sputtering. The extraction of positive and negative Cu ion beams have been tested. The ion beam current ratio of Cu{sup +} to Ar{sup +} has reached up to 140% when Ar was used as the discharge support gas. Cu{sup −} ion beam was observed at 50 W RF discharge power and at a higher Ar gas pressure in the ion source. Improvement of poor RF power matching and suppression of electron current is indispensable for a stable Cu{sup −} ion beam production from the source.

  7. Sputtering on cobalt with noble gas ions

    International Nuclear Information System (INIS)

    Sarholt-Kristensen, L.; Johansen, A.; Johnson, E.

    1983-01-01

    Single crystals of cobalt have been bombarded with 80 keV Ar + ions and with 80 keV and 200 keV Xe + ions in the [0001] direction of the hcp phase and the [111] direction of the fcc phase. The sputtering yield has been measured as function of target temperature (20 0 C-500 0 C), showing a reduction in sputtering yield for 80 keV Ar + ions and 200 keV Xe + ions, when the crystal structure changes from hcp to fcc. In contrast to this, bombardment with 80 keV Xe + ions results in an increase in sputtering yield as the phase transition is passed. Sputtering yields for [111] nickel are in agreement with the sputtering yields for fcc cobalt indicating normal behaviour of the fcc cobalt phase. The higher sputtering yield of [0001] cobalt for certain combinations of ion mass and energy may then be ascribed to disorder induced partly by martensitic phase transformation, partly by radiation damage. (orig.)

  8. Ion-beam texturing of uniaxially textured Ni films

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2005-01-01

    The formation of biaxial texture in uniaxially textured Ni thin films via Ar-ion irradiation is reported. The ion-beam irradiation was not simultaneous with deposition. Instead, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux, which differs from conventional ion-beam-assisted deposition. The uniaxial texture is established via a nonion beam process, with the in-plane texture imposed on the uniaxial film via ion beam bombardment. Within this sequential ion beam texturing method, grain alignment is driven by selective etching and grain overgrowth

  9. Sputtering of carbon using hydrogen ion beams with energies of 60–800 eV

    Energy Technology Data Exchange (ETDEWEB)

    Sidorov, Dmitry S., E-mail: dmitrisidoroff@rambler.ru [Nizhny Novgorod State University, 23 Gagarina Avenue, Nizhny Novgorod, Nizhny Novgorod Region 603950 (Russian Federation); Chkhalo, Nikolay I., E-mail: chkhalo@ipm.sci-nnov.ru [Institute for Physics of Microstructures RAS, Academicheskaya Str. 7, Afonino, Nizhny Novgorod Region, Kstovsky District, Kstovo Region 603087 (Russian Federation); Mikhailenko, Mikhail S.; Pestov, Alexey E.; Polkovnikov, Vladimir N. [Institute for Physics of Microstructures RAS, Academicheskaya Str. 7, Afonino, Nizhny Novgorod Region, Kstovsky District, Kstovo Region 603087 (Russian Federation)

    2016-11-15

    This article presents the result of a study on the sputtering of carbon films by low-energy hydrogen ions. In particular, the etching rate and surface roughness were measured. The range of energies where the sputtering switches from pure chemical to a combination of chemical and physical mechanisms was determined. It is shown that Sigmund’s theory for ion etching does not work well for fields of energy less than 150 eV and that it accurately describes the dependence of a sputtering coefficient on ion energy for energies greater than 300 eV. A strong smoothing effect for the surface of carbon film was also found. This result is interesting in itself and for its significance for the manufacture of super-smooth surfaces for X-ray applications.

  10. A beam profile monitor for heavy ion beams at high impact energies

    International Nuclear Information System (INIS)

    Hausmann, A.; Stiebing, K.E.; Bethge, K.; Froehlich, O.; Koehler, E.; Mueller, A.; Rueschmann, G.

    1994-01-01

    A beam profile monitor for heavy ion beams has been developed for the use in experiments at the Heavy Ion Synchrotron SIS at Gesellschaft fuer Schwerionenforschung Darmstadt (GSI). Four thin scintillation fibres are mounted on one wheel and scan the ion beam sequentially in two linearly independent directions. They are read out via one single photomultiplier common to all four fibres into one time spectrum, which provides all information about beam position, beam extension, time structure and lateral homogeneity of the beam. The system operates in a wide dynamic range of beam intensities. ((orig.))

  11. Growth stress buildup in ion beam sputtered Mo thin films and comparative study of stress relaxation upon thermal annealing or ion irradiation

    International Nuclear Information System (INIS)

    Debelle, A.; Abadias, G.; Michel, A.; Jaouen, C.; Pelosin, V.

    2007-01-01

    In an effort to address the understanding of the origin of growth stress in thin films deposited under very energetic conditions, the authors investigated the stress state and microstructure of Mo thin films grown by ion beam sputtering (IBS) as well as the stress relaxation processes taking place during subsequent thermal annealing or ion irradiation. Different sets of samples were grown by varying the IBS deposition parameters, namely, the energy E 0 and the flux j of the primary ion beam, the target-to-sputtering gas mass ratio M 1 /M 2 as well as film thickness. The strain-stress state was determined by x-ray diffraction using the sin 2 ψ method and data analyzed using an original stress model which enabled them to correlate information at macroscopic (in terms of stress) and microscopic (in terms of defect concentration) levels. Results indicate that these refractory metallic thin films are characterized by a high compressive growth stress (-2.6 to -3.8 GPa), resulting from the creation of a large concentration (up to ∼1.4%) of point or cluster defects, due to the atomic peening mechanism. The M 1 /M 2 mass ratio enables tuning efficiently the mean deposited energy of the condensing atoms; thus, it appears to be the more relevant deposition parameter that allows modifying both the microstructure and the stress level in a significant way. The growth stress comes out to be highly unstable. It can be easily relaxed either by postgrowth thermal annealing or ion irradiation in the hundred keV range at very low dose [<0.1 dpa (displacement per atom)]. It is shown that thermal annealing induces deleterious effects such as oxidation of the film surface, decrease of the film density, and in some cases adhesion loss at the film/substrate interface, while ion irradiation allows controlling the stress level without generating any macroscopic damage

  12. Ion beam profiling from the interaction with a freestanding 2D layer

    Directory of Open Access Journals (Sweden)

    Ivan Shorubalko

    2017-03-01

    Full Text Available Recent years have seen a great potential of the focused ion beam (FIB technology for the nanometer-scale patterning of a freestanding two-dimensional (2D layer. Experimentally determined sputtering yields of the perforation process can be quantitatively explained using the binary collision theory. The main peculiarity of the interaction between the ion beams and the suspended 2D material lies in the absence of collision cascades, featured by no interaction volume. Thus, the patterning resolution is directly set by the beam diameters. Here, we demonstrate pattern resolution beyond the beam size and precise profiling of the focused ion beams. We find out that FIB exposure time of individual pixels can influence the resultant pore diameter. In return, the pore dimension as a function of the exposure dose brings out the ion beam profiles. Using this method of determining an ion-beam point spread function, we verify a Gaussian profile of focused gallium ion beams. Graphene sputtering yield is extracted from the normalization of the measured Gaussian profiles, given a total beam current. Interestingly, profiling of unbeknown helium ion beams in this way results in asymmetry of the profile. Even triangular beam shapes are observed at certain helium FIB conditions, possibly attributable to the trimer nature of the beam source. Our method of profiling ion beams with 2D-layer perforation provides more information on ion beam profiles than the conventional sharp-edge scan method does.

  13. Capability of focused Ar ion beam sputtering for combinatorial synthesis of metal films

    International Nuclear Information System (INIS)

    Nagata, T.; Haemori, M.; Chikyow, T.

    2009-01-01

    The authors examined the use of focused Ar ion beam sputtering (FAIS) for combinatorial synthesis. A Langmuir probe revealed that the electron temperature and density for FAIS of metal film deposition was lower than that of other major combinatorial thin film growth techniques such as pulsed laser deposition. Combining FAIS with the combinatorial method allowed the compositional fraction of the Pt-Ru binary alloy to be systematically controlled. Pt-Ru alloy metal film grew epitaxially on ZnO substrates, and crystal structures changed from the Pt phase (cubic structure) to the Ru phase (hexagonal structure) in the Pt-Ru alloy phase diagram. The alloy film has a smooth surface, with the Ru phase, in particular, showing a clear step-and-terrace structure. The combination of FAIS and the combinatorial method has major potential for the fabrication of high quality composition-spread metal film.

  14. Capability of focused Ar ion beam sputtering for combinatorial synthesis of metal films

    Energy Technology Data Exchange (ETDEWEB)

    Nagata, T.; Haemori, M.; Chikyow, T. [Advanced Electric Materials Center, National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2009-05-15

    The authors examined the use of focused Ar ion beam sputtering (FAIS) for combinatorial synthesis. A Langmuir probe revealed that the electron temperature and density for FAIS of metal film deposition was lower than that of other major combinatorial thin film growth techniques such as pulsed laser deposition. Combining FAIS with the combinatorial method allowed the compositional fraction of the Pt-Ru binary alloy to be systematically controlled. Pt-Ru alloy metal film grew epitaxially on ZnO substrates, and crystal structures changed from the Pt phase (cubic structure) to the Ru phase (hexagonal structure) in the Pt-Ru alloy phase diagram. The alloy film has a smooth surface, with the Ru phase, in particular, showing a clear step-and-terrace structure. The combination of FAIS and the combinatorial method has major potential for the fabrication of high quality composition-spread metal film.

  15. Control of surface ripple amplitude in ion beam sputtered polycrystalline cobalt films

    Energy Technology Data Exchange (ETDEWEB)

    Colino, Jose M., E-mail: josemiguel.colino@uclm.es [Institute of Nanoscience, Nanotechnology and Molecular Materials, University of Castilla-La Mancha, Campus de la Fabrica de Armas, Toledo 45071 (Spain); Arranz, Miguel A. [Facultad de Ciencias Quimicas, University of Castilla-La Mancha, Ciudad Real 13071 (Spain)

    2011-02-15

    We have grown both polycrystalline and partially textured cobalt films by magnetron sputter deposition in the range of thickness (50-200 nm). Kinetic roughening of the growing film leads to a controlled rms surface roughness values (1-6 nm) increasing with the as-grown film thickness. Ion erosion of a low energy 1 keV Ar+ beam at glancing incidence (80{sup o}) on the cobalt film changes the surface morphology to a ripple pattern of nanometric wavelength. The wavelength evolution at relatively low fluency is strongly dependent on the initial surface topography (a wavelength selection mechanism hereby confirmed in polycrystalline rough surfaces and based on the shadowing instability). At sufficiently large fluency, the ripple wavelength steadily increases on a coarsening regime and does not recall the virgin surface morphology. Remarkably, the use of a rough virgin surface makes the ripple amplitude in the final pattern can be controllably increased without affecting the ripple wavelength.

  16. Chemical sputtering of graphite by H+ ions

    International Nuclear Information System (INIS)

    Busharov, N.P.; Gorbatov, E.A.; Gusev, V.M.; Guseva, M.I.; Martynenko, Y.V.

    1976-01-01

    In a study of the sputtering coefficient S for the sputtering of graphite by 10-keV H + ions as a function of the graphite temperature during the bombardment, it is found that at T> or =750degreeC the coefficient S is independent of the target temperature and has an anomalously high value, S=0.085 atom/ion. The high rate of sputtering of graphite by atomic hydrogen ions is shown to be due to chemical sputtering of the graphite, resulting primarily in the formation of CH 4 molecules. At T=1100degreeC, S falls off by a factor of about 3. A model for the chemical sputtering of graphite is proposed

  17. Stress, microstructure and evolution under ion irradiation in thin films grown by ion beam sputtering: modelling and application to interfacial effects in metallic multilayers

    International Nuclear Information System (INIS)

    Debelle, A.

    2006-09-01

    We have investigated the formation of the interfacial chemical mixing in Mo/Ni multilayers, and particularly the influence of ballistic effects during the growth. For this purpose, hetero-epitaxial b.c.c./f.c.c. Mo(110)/Ni(111) multilayers were grown by two deposition methods: thermal evaporation and direct ion beam sputtering. As a preliminary, an accurate description of the stress state in pure sputtered Mo thin films was required. Microstructural and stress state analyses were essentially carried out by X-ray diffraction, and ion irradiation was used as a powerful tool to control the stress level. We showed that thermal evaporated thin films exhibit a weak tensile growth stress (∼ 0.6 GPa) that can be accounted for by the grain boundary relaxation model, whereas sputtered thin films develop large compressive growth stress (- 2 to - 4 GPa). This latter results from the bombardment of the growing film by the energetic particles involved during the sputtering process (atomic peening phenomenon), which induces the formation of defects in the layers, generating volume distortions. We thus developed a stress model that includes a hydrostatic stress component to account for these volume strains. This model allowed us to determine the 'unstressed and free of defects lattice parameter' a 0 , solely linked to chemical effects. For epitaxial Mo layers, it was possible to separate coherency stress from growth stress due to their distinct kinetic evolution during ion irradiation. Therefore, the stress analysis enabled us to determine the a 0 values in Mo sub-layers of Mo/Ni superlattices. A tendency to the formation of an interfacial alloy is observed independently of the growth conditions, which suggests that thermodynamic forces favour the exchange mechanism. However, the extent of the intermixing effect is clearly enhanced by ballistic effects. (author)

  18. The effect of FR enhancement in reactive ion beam sputtered Bi, Gd, Al-substituted iron- garnets: Bi2O3 nanocomposite films

    OpenAIRE

    Berzhansky, V.; Shaposhnikov, A.; Karavainikov, A.; Prokopov, A.; Mikhailova, T.; Lukienko, I.; Kharchenko, Yu.; Miloslavskaya, O.; Kharchenko, N.

    2012-01-01

    The effect of considerable Faraday rotation (FR) and figure of merit (Q) enhancement in Bi, Gd, Al-substituted iron garnets: Bi2O3 nano-composite films produced by separate reactive ion beam sputtered Bi:YIG and Bi2O3 films was found. It reached threefold enhancement of the FR and twofold of the Q one on GGG substrates.

  19. Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.

    Science.gov (United States)

    Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y

    2015-03-13

    The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.

  20. Fundamental limits to imaging resolution for focused ion beams

    International Nuclear Information System (INIS)

    Orloff, J.; Swanson, L.W.; Utlaut, M.

    1996-01-01

    This article investigates the limitations on the formation of focused ion beam images from secondary electrons. We use the notion of the information content of an image to account for the effects of resolution, contrast, and signal-to-noise ratio and show that there is a competition between the rate at which small features are sputtered away by the primary beam and the rate of collection of secondary electrons. We find that for small features, sputtering is the limit to imaging resolution, and that for extended small features (e.g., layered structures), rearrangement, redeposition, and differential sputtering rates may limit the resolution in some cases. copyright 1996 American Vacuum Society

  1. Forschungszentrum Rossendorf, Institute of Ion Beam Physics and Materials Research. Annual report 2004

    International Nuclear Information System (INIS)

    Borany, J. von; Heera, V.; Helm, M.; Jaeger, H.U.; Moeller, W.

    2005-01-01

    The following topics are dealt with: Silicon based electrically driven microcavity LED, ultraviolet electroluminescence from a Gd-implanted Si-metal-oxide-semiconductor device, semiconductor quantum-cascade lasers, ion beam synthesis and morphology of semiconductor memories, ion implantation, films, sputtering, ion-beam induced destabilization of nanoparticles. (HSI)

  2. Forschungszentrum Rossendorf, Institute of Ion Beam Physics and Materials Research. Annual report 2004

    Energy Technology Data Exchange (ETDEWEB)

    Borany, J. von; Heera, V.; Helm, M.; Jaeger, H.U.; Moeller, W. (eds.)

    2005-07-01

    The following topics are dealt with: Silicon based electrically driven microcavity LED, ultraviolet electroluminescence from a Gd-implanted Si-metal-oxide-semiconductor device, semiconductor quantum-cascade lasers, ion beam synthesis and morphology of semiconductor memories, ion implantation, films, sputtering, ion-beam induced destabilization of nanoparticles. (HSI)

  3. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  4. The influence of beam divergence on ion-beam induced surface patterns

    International Nuclear Information System (INIS)

    Kree, R.; Yasseri, T.; Hartmann, A.K.

    2009-01-01

    We present a continuum theory and a Monte Carlo model of self-organized surface pattern formation by ion-beam sputtering including effects of beam profiles. Recently, it has turned out that such secondary ion-beam parameters may have a strong influence on the types of emerging patterns. We first discuss several cases, for which beam profiles lead to random parameters in the theory of pattern formation. Subsequently we study the evolution of the averaged height profile in continuum theory and find that the typical Bradley-Harper scenario of dependence of ripple patterns on the angle of incidence can be changed qualitatively. Beam profiles are implemented in Monte Carlo simulations, where we find generic effects on pattern formation. Finally, we demonstrate that realistic beam profiles, taken from experiments, may lead to qualitative changes of surface patterns.

  5. Development of an ion-beam sputtering system for depositing thin films and multilayers of alloys and compounds

    International Nuclear Information System (INIS)

    Gupta, Mukul; Gupta, Ajay; Phase, D.M.; Chaudhari, S.M.; Dasannacharya, B.A.

    2002-01-01

    An ion-beam sputtering (IBS) system has been designed and developed for preparing thin films and multilayers of various elements, alloys and compounds. The ion source used is a 3 cm diameter, hot-cathode Kaufman type 1.5 kV ion source. The system has been successfully tested with the deposition of various materials, and the deposition parameters were optimised for achieving good quality of thin films and multilayers. A systematic illustration of the versatility of the system to produce a variety of structures is done by depositing thin film of pure iron, an alloy film of Fe-Zr, a compound thin film of FeN, a multilayer of Fe-Ag and an isotopic multilayer of 57 FeZr/FeZr. Microstructural measurements on these films using X-ray and neutron reflectivity, atomic force microscopy (AFM), and X-ray diffraction are presented and discussed to reveal the quality of the microstructures obtained with the system. It is found that in general, the surface roughnesses of the film deposited by IBS are significantly smaller as compared to those for films deposited by e-beam evaporation. Further, the grain size of the IBS crystalline films is significantly refined as compared to the films deposited by e-beam evaporation. Grain refinement may be one of the reasons for reduced surface roughness. In the case of amorphous films, the roughness of the films does not increase appreciably beyond that of the substrate even after depositing thicknesses of several hundred angstroms

  6. Spectral artefacts post sputter-etching and how to cope with them - A case study of XPS on nitride-based coatings using monoatomic and cluster ion beams

    Science.gov (United States)

    Lewin, Erik; Counsell, Jonathan; Patscheider, Jörg

    2018-06-01

    The issue of artefacts due to sputter-etching has been investigated for a group of AlN-based thin film materials with varying thermodynamical stability. Stability of the materials was controlled by alloying AlN with the group 14 elements Si, Ge or Sn in two different concentrations. The coatings were sputter-etched with monoatomic Ar+ with energies between 0.2 and 4.0 keV to study the sensitivity of the materials for sputter damage. The use of Arn+ clusters to remove an oxidised surface layer was also evaluated for a selected sample. The spectra were compared to pristine spectra obtained after in-vacuo sample transfer from the synthesis chamber to the analysis instrument. It was found that the all samples were affected by high energy (4 keV) Ar+ ions to varying degrees. The determining factors for the amount of observed damage were found to be the materials' enthalpy of formation, where a threshold value seems to exist at approximately -1.25 eV/atom (∼-120 kJ/mol atoms). For each sample, the observed amount of damage was found to have a linear dependence to the energy deposited by the ion beam per volume removed material. Despite the occurrence of sputter-damage in all samples, etching settings that result in almost artefact-free spectral data were found; using either very low energy (i.e. 200 eV) monoatomic ions, or an appropriate combination of ion cluster size and energy. The present study underlines that analysis post sputter-etching must be carried out with an awareness of possible sputter-induced artefacts.

  7. Investigation of the depth profile of ion beam induced nanopatterns on Si with simultaneous metal incorporation

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, Behnam; Arezki, Bahia; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Siegen (Germany); Cornejo, Marina; Frost, Frank [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2011-07-01

    Ion beam sputtering of semiconductor surfaces can modify the surface and produce a diversity of surface topographies such as periodic ripples or dot structures depended on sputtering parameters. Well ordered nanostructured surfaces have widely technological applications. Recent experiments have shown that the incorporation of metallic impurity atoms during the sputtering process plays a crucial role in pattern formation on the surfaces. These findings offer a new degree of freedom to control pattern formation. In this contribution we report on surface patterning due to Kr ion beam erosion on silicon surfaces with simultaneous Fe and Cr incorporation. We used X-ray reflectivity (XRR) to determine the depth profiles of metal ions as function of ion beam divergence angles and the mean incidence angle of the ions with respect to the surface normal. Depth profiles are correlated with degree of pattern formation determined by AFM. We show that the mean penetration depth and concentration of metal ions depends on the divergence angle of Kr beam provided by Kaufman source which supports the assumption that metal ions are created due to parasitic interaction of the Kr beam with the steel plate lining. The evaluated depth profile by XRR is in good agreement with SIMS and RBS results.

  8. Ion sources for initial use at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    The Holifield Radioactive Ion Beam Facility (HRIBF) now under construction at the Oak Ridge National Laboratory will use the 25-MV tandem accelerator for the acceleration of radioactive ion beams to energies appropriate for research in nuclear physics; negative ion beams are, therefore, required for injection into the tandem accelerator. Because charge exchange is an efficient means for converting initially positive ion beams to negative ion beams, both positive and negative ion sources are viable options for use at the facility; the choice of the type of ion source will depend on the overall efficiency for generating the radioactive species of interest. A high-temperature version of the CERN-ISOLDE positive ion source has been selected and a modified version of the source designed and fabricated for initial use at the HRIBF because of its low emittance, relatively high ionization efficiencies and species versatility, and because it has been engineered for remote installation, removal and servicing as required for safe handling in a high-radiation-level ISOL facility. Prototype plasma-sputter negative ion sources and negative surfaceionization sources are also under design consideration for generating negative radioactive ion beams from high electron-affinity elements. A brief review of the HRIBF will be presented, followed by a detailed description of the design features, operational characteristics, ionization efficiencies, and beam qualities (emittances) of these sources

  9. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  10. Pattern formation on Ge by low energy ion beam erosion

    International Nuclear Information System (INIS)

    Teichmann, Marc; Lorbeer, Jan; Frost, Frank; Rauschenbach, Bernd; Ziberi, Bashkim

    2013-01-01

    Modification of nanoscale surface topography is inherent to low-energy ion beam erosion processes and is one of the most important fields of nanotechnology. In this report a comprehensive study of surface smoothing and self-organized pattern formation on Ge(100) by using different noble gases ion beam erosion is presented. The investigations focus on low ion energies (⩽ 2000 eV) and include the entire range of ion incidence angles. It is found that for ions (Ne, Ar) with masses lower than the mass of the Ge target atoms, no pattern formation occurs and surface smoothing is observed for all angles of ion incidence. In contrast, for erosion with higher mass ions (Kr, Xe), ripple formation starts at incidence angles of about 65° depending on ion energy. At smaller incident angles surface smoothing occurs again. Investigations of the surface dynamics for specific ion incidence angles by changing the ion fluence over two orders of magnitude gives a clear evidence for coarsening and faceting of the surface pattern. Both observations indicate that gradient-dependent sputtering and reflection of primary ions play crucial role in the pattern evolution, just at the lowest accessible fluences. The results are discussed in relation to recently proposed redistributive or stress-induced models for pattern formation. In addition, it is argued that a large angular variation of the sputter yield and reflected primary ions can significantly contribute to pattern formation and evolution as nonlinear and non-local processes as supported by simulation of sputtering and ion reflection. (paper)

  11. Optical and tribomechanical stability of optically variable interference security devices prepared by dual ion beam sputtering.

    Science.gov (United States)

    Çetinörgü-Goldenberg, Eda; Baloukas, Bill; Zabeida, Oleg; Klemberg-Sapieha, Jolanta; Martinu, Ludvik

    2011-07-01

    Optical security devices applied to banknotes and other documents are exposed to different types of harsh environments involving the cycling of temperature, humidity, chemical agents, and tribomechanical intrusion. In the present work, we study the stability of optically variable devices, namely metameric interference filters, prepared by dual ion beam sputtering onto polycarbonate and glass substrates. Specifically, we assess the color difference as well as the changes in the mechanical properties and integrity of all-dielectric and metal-dielectric systems due to exposure to bleach, detergent and acetone agents, and heat and humidity. The results underline a significant role of the substrate material, of the interfaces, and of the nature and microstructure of the deposited films in long term stability under everyday application conditions.

  12. Characterization of ion beam induced nanostructures

    International Nuclear Information System (INIS)

    Ghatak, J.; Satpati, B.; Umananda, M.; Kabiraj, D.; Som, T.; Dev, B.N.; Akimoto, K.; Ito, K.; Emoto, T.; Satyam, P.V.

    2006-01-01

    Tailoring of nanostructures with energetic ion beams has become an active area of research leading to the fundamental understanding of ion-solid interactions at nanoscale regime and with possible applications in the near future. Rutherford backscattering spectrometry (RBS), high resolution transmission electron microscopy (HRTEM) and asymmetric X-ray Bragg-rocking curve experimental methods have been used to characterize ion-induced effects in nanostructures. The possibility of surface and sub-surface/interface alloying at nano-scale regime, ion-beam induced embedding, crater formation, sputtering yield variations for systems with isolated nanoislands, semi-continuous and continuous films of noble metals (Au, Ag) deposited on single crystalline silicon will be reviewed. MeV-ion induced changes in specified Au-nanoislands on silicon substrate are tracked as a function of ion fluence using ex situ TEM. Strain induced in the bulk silicon substrate surface due to 1.5 MeV Au 2+ and C 2+ ion beam irradiation is determined by using HRTEM and asymmetric Bragg X-ray rocking curve methods. Preliminary results on 1.5 MeV Au 2+ ion-induced effects in nanoislands of Co deposited on silicon substrate will be discussed

  13. A Multi-Sample Cs-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Ball, J.A.; Bao, Y.; Cui, B.; Reed, C.A.; Williams, C.

    1998-01-01

    A multi-sample Cs sputter negative-ion source, equipped with a conical-geometry, W-surface-ionizer has been designed and fabricated that permits sample changes without disruption of on-line accelerator operation. Sample changing is effected by actuating an electro-pneumatic control system located at ground potential that drives an air-motor-driven sample-indexing-system mounted at high voltage; this arrangement avoids complications associated with indexing mechanisms that rely on electronic power-supplies located at high potential. In-beam targets are identified by LED indicator lights derived from a fiber-optic, Gray-code target-position sensor. Aspects of the overall source design and details of the indexing mechanism along with operational parameters, ion optics. intensities, and typical emittances for a variety of negative-ion species will be presented in this report

  14. A Multi-Sample Cs-Sputter Negative Ion Source

    Energy Technology Data Exchange (ETDEWEB)

    Alton, G.D.; Ball, J.A.; Bao, Y.; Cui, B.; Reed, C.A.; Williams, C.

    1998-10-05

    A multi-sample Cs sputter negative-ion source, equipped with a conical-geometry, W-surface-ionizer has been designed and fabricated that permits sample changes without disruption of on-line accelerator operation. Sample changing is effected by actuating an electro-pneumatic control system located at ground potential that drives an air-motor-driven sample-indexing-system mounted at high voltage; this arrangement avoids complications associated with indexing mechanisms that rely on electronic power-supplies located at high potential. In-beam targets are identified by LED indicator lights derived from a fiber-optic, Gray-code target-position sensor. Aspects of the overall source design and details of the indexing mechanism along with operational parameters, ion optics. intensities, and typical emittances for a variety of negative-ion species will be presented in this report.

  15. Measurement of the force on microparticles in a beam of energetic ions and neutral atoms

    International Nuclear Information System (INIS)

    Trottenberg, Thomas; Schneider, Viktor; Kersten, Holger

    2010-01-01

    The force on microparticles in an energetic ion beam is investigated experimentally. Hollow glass microspheres are injected into the vertically upward directed beam and their trajectories are recorded with a charge-coupled device camera. The net force on the particles is determined by means of the measured vertical acceleration. The resulting beam pressures are compared with Faraday cup measurements of the ion current density and calorimetric measurements of the beam power density. Due to the neutral gas background, the beam consists, besides the ions, of energetic neutral atoms produced by charge-exchange collisions. It is found that the measured composition of the drag force by an ion and a neutral atom component agrees with a beam model that takes charge-exchange collisions into account. Special attention is paid to the momentum contribution from sputtered atoms, which is shown to be negligible in this experiment, but should become measurable in case of materials with high sputtering yields.

  16. Surface engineering with ion beams: from self-organized nanostructures to ultra-smooth surfaces

    International Nuclear Information System (INIS)

    Frost, F.; Ziberi, B.; Schindler, A.; Rauschenbach, B.

    2008-01-01

    Low-energy ion-beam sputtering, i.e. the removal of atoms from a surface due to the impact of energetic ions or atoms, is an inherent part of numerous surface processing techniques. Besides the actual removal of material, this surface erosion process often results in a pronounced alteration of the surface topography. Under certain conditions, sputtering results in the formation of well-ordered patterns. This self-organized pattern formation is related to a surface instability between curvature-dependent sputtering that roughens the surface and smoothing by different surface relaxation mechanisms. If the evolution of surface topography is dominated by relaxation mechanisms, surface smoothing can occur. In this presentation the current status of self-organized pattern formation and surface smoothing by low-energy ion-beam erosion of Si and Ge is summarized. In detail it will be shown that a multitude of patterns as well as ultra-smooth surfaces can develop, particularly on Si surfaces. Additionally, the most important experimental parameters that control these processes are discussed. Finally, examples are given for the application of low-energy ion beams as a novel approach for passive optical device engineering for many advanced optical applications. (orig.)

  17. An experiment on the dynamics of ion implantation and sputtering of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B. [Plasma Science and Fusion Center, MIT, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States)

    2014-02-15

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  18. An experiment on the dynamics of ion implantation and sputtering of surfaces

    International Nuclear Information System (INIS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-01-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface

  19. Specific power reduction of an ion source due to heating and cathode sputtering of electrodes

    International Nuclear Information System (INIS)

    Hamilton, G.U.; Semashko, N.N.

    The potentialities and limitations of the water-cooled ion-optical system of the ion source designed for continuous operation of the high-power neutral beam injector are determined. The following problems are analyzed: thermal expansion and deformation of electrodes, electrode sputtering as a result of bombardment, and heat transfer to turbulent flow of water

  20. Highly ordered nanopatterns on Ge and Si surfaces by ion beam sputtering

    International Nuclear Information System (INIS)

    Ziberi, B; Cornejo, M; Frost, F; Rauschenbach, B

    2009-01-01

    The bombardment of surfaces with low-energy ion beams leads to material erosion and can be accompanied by changes in the topography. Under certain conditions this surface erosion can result in well-ordered nanostructures. Here an overview of the pattern formation on Si and Ge surfaces under low-energy ion beam erosion at room temperature will be given. In particular, the formation of ripple and dot patterns, and the influence of different process parameters on their formation, ordering, shape and type will be discussed. Furthermore, the internal ion beam parameters inherent to broad beam ion sources are considered as an additional degree of freedom for controlling the pattern formation process. In this context: (i) formation of ripples at near-normal ion incidence, (ii) formation of dots at oblique ion incidence without sample rotation, (iii) transition between patterns, (iv) formation of ripples with different orientations and (v) long range ordered dot patterns will be presented and discussed.

  1. Second order nonlinear optical properties of zinc oxide films deposited by low temperature dual ion beam sputtering

    International Nuclear Information System (INIS)

    Larciprete, M.C.; Passeri, D.; Michelotti, F.; Paoloni, S.; Sibilia, C.; Bertolotti, M.; Belardini, A.; Sarto, F.; Somma, F.; Lo Mastro, S.

    2005-01-01

    We investigated second order optical nonlinearity of zinc oxide thin films, grown on glass substrates by the dual ion beam sputtering technique under different deposition conditions. Linear optical characterization of the films was carried out by spectrophotometric optical transmittance and reflectance measurements, giving the complex refractive index dispersion. Resistivity of the films was determined using the four-point probe sheet resistance method. Second harmonic generation measurements were performed by means of the Maker fringes technique where the fundamental beam was originated by nanosecond laser at λ=1064 nm. We found a relatively high nonlinear optical response, and evidence of a dependence of the nonlinear coefficient on the deposition parameters for each sample. Moreover, the crystalline properties of the films were investigated by x-ray diffraction measurements and correlation with second order nonlinearity were analyzed. Finally, we investigated the influence of the oxygen flow rate during the deposition process on both the second order nonlinearity and the structural properties of the samples

  2. Structuring of silicon with low energy focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    The defect production in silicon induced by focused ion beam irradiation as a function of energy and projectile mass has been investigated and compared to the measured sputter yield. The aim was to find optimal beam parameters for the structuring of semiconductors with a minimum amount of defects produced per removed atom. (author) 2 figs., 2 refs.

  3. Ion Beams: A Powerful Tool for Making New Functional Materials

    International Nuclear Information System (INIS)

    Dev, B. N.

    2010-01-01

    It is well known that ion beams play an important role in semiconductor industry, which utilizes ion implantation and irradiation for materials modification. Ion sputtering technique is used to fabricate multifunctional coatings and multilayers. Using ion implantation, there is a continued effort for fabrication of quantum bit structures for future quantum computers. Availability of focused ion beams (FIBs) has widened the applications of ion beams and nanostructured functional materials are being fabricated using FIBs. Various quantum structures can be fabricated using FIB. Ferromagnetism can either be induced or destroyed in special layered structures using ion irradiation. The magnetic exchange bias phenomenon is of tremendous utility in magnetic recording. Issues of lateral diffusion in nanoscale doping of semiconductors by FIB and an example of exchange bias enhancement by ion irradiation are discussed.

  4. METI/NEDO Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Matsuo, Jiro; Toyoda, Noriaki

    2003-01-01

    Since the initial study of gas cluster ion beams (GCIB) was started in the Ion Beam Engineering Experimental Laboratory of Kyoto University, more than 15 years have passed. Some of the results of that study have already been applied for industrial use. Unique characteristics of gas cluster ion bombardment have been found to offer potential for various other industrial applications. The impact of an accelerated cluster ion upon a target surface imparts very high energy densities into the impact area and produces non-linear effects that are not associated with the impacts of atomic ions. Among prospective applications for these effects are included shallow ion implantation, high rate sputtering, surface cleaning and smoothing, and low temperature thin film formation

  5. Molecular dynamics and experimental studies on deposition mechanisms of ion beam sputtering

    International Nuclear Information System (INIS)

    Fang, T.-H.; Chang, W.-J.; Lin, C.-M.; Lien, W.-C.

    2008-01-01

    Molecular dynamics (MD) simulation and experimental methods are used to study the deposition mechanism of ionic beam sputtering (IBS), including the effects of incident energy, incident angle and deposition temperature on the growth process of nickel nanofilms. According to the simulation, the results showed that increasing the temperature of substrate decreases the surface roughness, average grain size and density. Increasing the incident angle increases the surface roughness and the average grain size of thin film, while decreasing its density. In addition, increasing the incident energy decreases the surface roughness and the average grain size of thin film, while increasing its density. For the cases of simulation, with the substrate temperature of 500 K, normal incident angle and 14.6 x 10 -17 J are appropriate, in order to obtain a smoother surface, a small grain size and a higher density of thin film. From the experimental results, the surface roughness of thin film deposited on the substrates of Si(1 0 0) and indium tin oxide (ITO) decreases with the increasing sputtering power, while the thickness of thin film shows an approximately linear increase with the increase of sputtering power

  6. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  7. Estimation of Sputtering Damages on a Magnetron H- Ion Source Induced by Cs+ and H+ Ions

    CERN Document Server

    Pereira, H; Alessi, J; Kalvas, t

    2013-01-01

    An H− ion source is being developed for CERN’s Linac4 accelerator. A beam current requirement of 80 mA and a reliability above 99% during 1 year with 3 month uninterrupted operation periods are mandatory. To design a low-maintenance long life-time source, it is important to investigate and understand the wear mechanisms. A cesiated plasma discharge ion source, such as the BNL magnetron source, is a good candidate for the Linac4 ion source. However, in the magnetron source operated at BNL, the removal of material from the molybdenum cathode and the stainless steel anode cover plate surfaces is visible after extended operation periods. The observed sputtering traces are shown to result from cesium vapors and hydrogen gas ionized in the extraction region and subsequently accelerated by the extraction field. This paper presents a quantitative estimate of the ionization of cesium and hydrogen by the electron and H− beams in the extraction region of BNL’s magnetron ion source. The respective contributions o...

  8. Means for obtaining a metal ion beam from a heavy-ion cyclotron source

    Science.gov (United States)

    Hudson, E.D.; Mallory, M.L.

    1975-08-01

    A description is given of a modification to a cyclotron ion source used in producing a high intensity metal ion beam. A small amount of an inert support gas maintains the usual plasma arc, except that it is necessary for the support gas to have a heavy mass, e.g., xenon or krypton as opposed to neon. A plate, fabricated from the metal (or anything that can be sputtered) to be ionized, is mounted on the back wall of the ion source arc chamber and is bombarded by returning energetic low-charged gas ions that fail to cross the initial accelerating gap between the ion source and the accelerating electrode. Some of the atoms that are dislodged from the plate by the returning gas ions become ionized and are extracted as a useful beam of heavy ions. (auth)

  9. Structural and corrosion characterization of hydroxyapatite/zirconium nitride-coated AZ91 magnesium alloy by ion beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kiahosseini, Seyed Rahim, E-mail: rkiahoseyni@yahoo.com [Young Researchers and Elite Club, Damghan Branch, Islamic Azad University, Damghan (Iran, Islamic Republic of); Afshar, Abdollah [Department of Material Science and Engineering, Sharif University of Technology, Tehran (Iran, Islamic Republic of); Mojtahedzadeh Larijani, Majid [Radiation Applications Research School, Nuclear Science and Technology Research Institute, Tehran (Iran, Islamic Republic of); Yousefpour, Mardali [Faculty of Materials and Metallurgical Engineering, Semnan University, Semnan, 35131-19111 (Iran, Islamic Republic of)

    2017-04-15

    Highlights: • The thickness of HA coatings increase by ion beam sputtering time. • The residual strain in HA structure decrease by deposition time increment. • Crystallite size of HA coatings increase by deposition time increment. • The best corrosion resistance occurs at intermediate deposition time. - Abstract: The adhesion of hydroxyapatite (HA) as a coating for the AZ91 magnesium alloy substrate can be improved by using the sputtering method and an intermediate layer, such as ZrN. In this study, HA coatings were applied on ZrN intermediate layers at a temperature of 300 °C for 180, 240, 300, 360, and 420 min by ion beam sputtering. A profilometer device was used to study the HA coating thickness, which changed from 2 μm for the 180-min deposition to 4.7 μm for 420-min deposition. The grazing incidence X-ray diffraction analysis method and the Williamson–Hall analysis were used for structural investigation. As the deposition time increased, the crystalline size increased from 50 nm to 690 nm. However, given sufficient time for stress relief on the coating structure, the lattice strain values were close to zero. Energy-dispersive X-ray spectroscopy results showed that the Ca/P ratio ranged from 1.73 to 1.81. The external indentation method was used to evaluate the coating adhesion to the substrate. The slope of curve for applied force changes versus the radius of cracks in the coating (dP/dr) varied in the range of 0.2–0.07 by the deposition time, indicating that the adhesion increased with the increase in coating thickness. The potentiodynamic polarization technique was used to study the corrosion behavior. With increasing deposition time, the corrosion potential of samples did not show a significant change, and the corrosion potential of all samples (coated and uncoated substrates) was more positive than approximately 55 mV. When the deposition time increased to 360 min, the corrosion current density decreased from 5.5 μA/cm{sup 2} to 0.33

  10. Sputtering of Au induced by single Xe ion impacts

    International Nuclear Information System (INIS)

    Birtcher, R. C.; Donnelly, S. E.

    1999-01-01

    Sputtering of Au thin films has been determined for Xe ions with energies between 50 and 600 keV. In-situ transmission electron microscopy was used to observe sputtered Au during deposition on a carbon foil near the specimen. Total reflection and transmission sputtering yields for a 62 nm thick Au thin film were determined by ex-situ measurement of the total amount of Au on the carbon foils. In situ observations show that individual Xe ions eject Au nanoparticles as large as 7 nm in diameter with an average diameter of approximately 3 nm. Particle emission correlates with crater formation due to single ion impacts. Nanoparticle emission contributes significantly to the total sputtering yield for Xe ions in this energy range in either reflection or transmission geometry

  11. Physics of ion sputtering

    International Nuclear Information System (INIS)

    Robinson, M.T.

    1984-04-01

    The ejection of atoms by the ion bombardment of solids is discussed in terms of linear collision cascade theory. A simple argument describes the energies of the ejecta, but elaborate models are required to obtain accurate sputtering yields and related quantities. These include transport theoretical models based on linearized Boltzmann equations, computer simulation models based on the binary collision approximation, and classical many-body dynamical models. The role of each kind of model is discussed. Several aspects of sputtering are illustrated by results from the simulation code MARLOWE. 20 references, 6 figures

  12. Quartz crystal microbalance-based system for high-sensitivity differential sputter yield measurements

    International Nuclear Information System (INIS)

    Rubin, B.; Topper, J. L.; Farnell, C. C.; Yalin, A. P.

    2009-01-01

    We present a quartz crystal microbalance-based system for high sensitivity differential sputter yield measurements of different target materials due to ion bombardment. The differential sputter yields can be integrated to find total yields. Possible ion beam conditions include ion energies in the range of 30-350 eV and incidence angles of 0 deg. - 70 deg. from normal. A four-grid ion optics system is used to achieve a collimated ion beam at low energy (<100 eV) and a two-grid ion optics is used for higher energies (up to 750 eV). A complementary weight loss approach is also used to measure total sputter yields. Validation experiments are presented that confirm high sensitivity and accuracy of sputter yield measurements.

  13. Sputtering mechanisms of polycrystalline platinum by low energy ions

    International Nuclear Information System (INIS)

    Chernysh, V.S.; Eckstein, W.; Haidarov, A.A.; Kulikauskas, V.S.; Mashkova, E.S.; Molchanov, V.A.

    1999-01-01

    The results of an experimental study and a computer simulation with the TRIM.SP code of the angular distributions of atoms sputtered from polycrystalline platinum under 1.5-9 keV He + bombardment at the normal ion incidence are presented. It has been found that angular distributions of sputtered atoms are overcosine and that their shape is practically independent of the bombarding ion species and ion energy. Good agreement between experimental results and computer simulation data was found. Computer simulations of the partial angular distributions of Pt atoms ejected due to various sputtering mechanisms for He and Ar bombardments were performed. The role of different mechanisms in the formation of angular distributions of sputtered atoms has been analyzed

  14. Sputtering effect of low-energy ions on biological target: The analysis of sputtering product of urea and capsaicin

    International Nuclear Information System (INIS)

    Zhang, Lili; Xu, Xue; Wu, Yuejin

    2013-01-01

    Sputtering is a process whereby atoms are ejected from a solid target material due to bombardment of the target by energetic particles. Recent years, ion implantation was successfully applied to biological research based on the fragments sputtering and form open paths in cell structure caused by ion sputtering. In this study, we focused on urea and chilli pepper pericarp samples implanted with N + and Ar + ions. To investigate the sputtering effect, we designed a collecting unit containing a disk sample and a glass pipe. The urea content and capsaicin content recovered from glass pipes were adopted to represent the sputtering product. The result of urea showed that the sputtering effect is positively correlated with the ion energy and dose, also affected by the ion type. The result of capsaicin was different from that of urea at 20 keV and possibly due to biological complex composition and structure. Therefore the sputtering yield depended on both the parameters of incident ions and the state of target materials. The sputtering yield of urea was also simulated by computational method achieved through the TRIM program. The trajectories of primary and recoiled atoms were calculated on the basis of the binary collision approximation using Monte Carlo method. The experimental results were much higher than the calculated results. The possible explanation is that in the physical model the target were assumed as a disordered lattice and independent atoms, which is much less complicated than that of the biological models

  15. Fabrication of highly oriented β-FeSi2 by ion beam sputter deposition

    International Nuclear Information System (INIS)

    Nakanoya, Takamitsu; Sasase, Masato; Yamamoto, Hiroyuki; Saito, Takeru; Hojou, Kiichi

    2002-01-01

    We have prepared the 'environmentally friendly' semiconductor, β-FeSi 2 thin films by ion beam sputter deposition method. The temperature of Si (100) substrate during the deposition and total amount of deposited Fe have been changed in order to find the optimum condition of the film formation. The crystallinity and surface morphology of the formed silicides were analyzed by X-ray diffraction (XRD) and scanning electron microscope (SEM), respectively. It is understood that the domain of the epitaxially grown β-FeSi 2 increases with the substrate temperature up to 700degC at the fixed amount of deposited Fe (33 nm) by XRD spectra. On the other hand, α-FeSi 2 is appeared and increased with the temperature above 700degC. Granulation of the surface is also observed by SEM images at this temperature region. At the fixed temperature condition (700degC), formation of α phase, which is obtained at the higher temperature compared with β phase, is observed for the fewer deposited samples. These results suggest the possibility of the epitaxially grown β-FeSi 2 formation at the lower (< 700degC) temperature region. (author)

  16. Modification of bamboo surface by irradiation of ion beams

    International Nuclear Information System (INIS)

    Wada, M.; Nishigaito, S.; Flauta, R.; Kasuya, T.

    2003-01-01

    When beams of hydrogen ions, He + and Ar + were irradiated onto bamboo surface, gas release of hydrogen, water, carbon monoxide and carbon dioxide were enhanced. Time evolution of the gas emission showed two peaks corresponding to release of adsorbed gas from the surface by sputtering, and thermal desorption caused by the beam heating. The difference in etched depths between parenchyma lignin and vascular bundles was measured by bombarding bamboo surface with the ion beams in the direction parallel to the vascular bundles. For He + and Ar + , parenchyma lignin was etched more rapidly than vascular bundles, but the difference in etched depth decreased at a larger dose. In the case of hydrogen ion bombardment, vascular bundles were etched faster than parenchyma lignin and the difference in etched depth increased almost in proportion to the dose. The wettability of outer surface of bamboo was improved most effectively by irradiation of a hydrogen ion beam

  17. Production of a radioactive 18F ion beam for nuclear reaction studies

    Science.gov (United States)

    Roberts, A. D.; Nickles, R. J.; Paul, M.; Rehm, K. E.; Jiang, C. L.; Blumenthal, D. J.; Gehring, J.; Henderson, D.; Nolen, J.; Pardo, R. C.; Schiffer, J. P.; Segel, R. E.

    1995-12-01

    A two-stage method for generating a radioactive 18F ion beam has been developed. 18F is produced with a medical cyclotron by 11 MeV proton activation of [ 18O]water, then chemically processed off-line for use in a tandem accelerator ion source. Azeotropic distillation reduces the 18O component by 10 5, with a resulting 18O to 18F beam ratio of about 10 3. The average 18F - beam intensity per synthesis is 1 ppA over 120 min from a cesium vapor, sputter negative ion source (SNICS), with a peak intensity of 4.5 ppA.

  18. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  19. Nanoscale pattern formation at surfaces under ion-beam sputtering: A perspective from continuum models

    International Nuclear Information System (INIS)

    Cuerno, Rodolfo; Castro, Mario; Munoz-Garcia, Javier; Gago, Raul; Vazquez, Luis

    2011-01-01

    Although reports on surface nanostructuring of solid targets by low to medium energy ion irradiation date back to the 1960s, only with the advent of high resolution tools for surface/interface characterization has the high potential of this procedure been recognized as a method for efficient production of surface patterns. Such morphologies are made up of periodic arrangements of nanometric sized features, like ripples and dots, with interest for technological applications due to their electronic, magnetic, and optical properties. Thus, roughly for the last ten years large efforts have been directed towards harnessing this nanofabrication technique. However, and particularly in view of recent experimental developments, we can say that the basic mechanisms controlling these pattern formation processes remain poorly understood. The lack of nanostructuring at low angles of incidence on some pure monoelemental targets, the role of impurities in the surface dynamics and other recent observations are challenging the classic view on the phenomenon as the mere interplay between the curvature dependence of the sputtering yield and surface diffusion. We review the main attempts at a theoretical (continuum) description of these systems, with emphasis on recent developments. Strong hints already exist that the nature of the morphological instability has to be rethought as originating in the material flow that is induced by the ion beam.

  20. Multi-jump magnetic switching in ion-beam sputtered amorphous Co20Fe60B20 thin films

    International Nuclear Information System (INIS)

    Raju, M.; Chaudhary, Sujeet; Pandya, D. K.

    2013-01-01

    Unconventional multi-jump magnetization reversal and significant in-plane uniaxial magnetic anisotropy (UMA) in the ion-beam sputtered amorphous Co 20 Fe 60 B 20 (5–75 nm) thin films grown on Si/amorphous SiO 2 are reported. While such multi-jump behavior is observed in CoFeB(10 nm) film when the magnetic field is applied at 10°–20° away from the easy-axis, the same is observed in CoFeB(12.5 nm) film when the magnetic field is 45°–55° away from easy-axis. Unlike the previous reports of multi-jump switching in epitaxial films, their observance in the present case of amorphous CoFeB is remarkable. This multi-jump switching is found to disappear when the films are crystallized by annealing at 420 °C. The deposition geometry and the energy of the sputtered species appear to intrinsically induce a kind of bond orientation anisotropy in the films, which leads to the UMA in the as-grown amorphous CoFeB films. Exploitation of such multi-jump switching in amorphous CoFeB thin films could be of technological significance because of their applications in spintronic devices

  1. The influence of sequence of precursor films on CZTSe thin films prepared by ion-beam sputtering deposition

    Science.gov (United States)

    Zhao, Jun; Liang, Guangxing; Zeng, Yang; Fan, Ping; Hu, Juguang; Luo, Jingting; Zhang, Dongping

    2017-02-01

    The CuZnSn (CZT) precursor thin films are grown by ion-beam sputtering Cu, Zn, Sn targets with different orders and then sputtering Se target to fabricate Cu2ZnSnSe4 (CZTSe) absorber thin films on molybdenum substrates. They are annealed in the same vacuum chamber at 400 °C. The characterization methods of CZTSe thin films include X-ray diffraction (XRD), energy dispersive spectroscopy (EDS), scanning electron microscopy (SEM), and X-ray photoelectron spectra (XPS) in order to study the crystallographic properties, composition, surface morphology, electrical properties and so on. The results display that the CZTSe thin films got the strongest diffraction peak intensity and were with good crystalline quality and its morphology appeared smooth and compact with a sequence of Cu/Zn/Sn/Se, which reveals that the expected states for CZTSe are Cu1+, Zn2+, Sn4+, Se2+. With the good crystalline quality and close to ideal stoichiometric ratio the resistivity of the CZTSe film with the sequence of Cu/Zn/Sn/Se is lower, whose optical band gap is about 1.50 eV. Project supported by the National Natural Science Foundation of China (No. 61404086), the Basical Research Program of Shenzhen (Nos. JCYJ20150324140036866, JCYJ20150324141711581), and the Natural Science Foundation of SZU (No. 2014017).

  2. Dependence of sputtering coefficient on ion dose

    International Nuclear Information System (INIS)

    Colligon, J.S.; Patel, M.H.

    1977-01-01

    The sputtering coefficient of polycrystalline gold bombarded by 10-40 keV Ar + ions had been measured as a function of total ion dose and shown to exhibit oscillations in magnitude between 30 and 100%. Possible experimental errors which would give rise to such an oscillation have been considered, but it is apparent that these factors are unable to explain the measurements. It is proposed that a change in the Sublimation Energy associated with either bulk damage or formation of surface topographical features arising during ion bombardment may be responsible for the observed variations in sputtering coefficient. (author)

  3. Focused-ion beam patterning of organolead trihalide perovskite for subwavelength grating nanophotonic applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-07-30

    The coherent amplified spontaneous emission and high photoluminescence quantum efficiency of organolead trihalide perovskite have led to research interest in this material for use in photonic devices. In this paper, the authors present a focused-ion beam patterning strategy for methylammonium lead tribromide (MAPbBr3) perovskite crystal for subwavelength grating nanophotonic applications. The essential parameters for milling, such as the number of scan passes, dwell time, ion dose, ion current, ion incident angle, and gas-assisted etching, were experimentally evaluated to determine the sputtering yield of the perovskite. Based on our patterning conditions, the authors observed that the sputtering yield ranged from 0.0302 to 0.0719 μm3/pC for the MAPbBr3 perovskite crystal. Using XeF2 for the focused-ion beam gas-assisted etching, the authors determined that the etching rate was reduced to between 0.40 and 0.97, depending on the ion dose, compared with milling with ions only. Using the optimized patterning parameters, the authors patterned binary and circular subwavelength grating reflectors on the MAPbBr3 perovskite crystal using the focused-ion beam technique. Based on the computed grating structure with around 97% reflectivity, all of the grating dimensions (period, duty cycle, and grating thickness) were patterned with nanoscale precision (>±3 nm), high contrast, and excellent uniformity. Our results provide a platform for utilizing the focused-ion beam technique for fast prototyping of photonic nanostructures or nanodevices on organolead trihalide perovskite.

  4. Effects of deposition and post-annealing conditions on electrical properties and thermal stability of TiAlN films by ion beam sputter deposition

    International Nuclear Information System (INIS)

    Lee, S.-Y.; Wang, S.-C.; Chen, J.-S.; Huang, J.-L.

    2006-01-01

    TiAlN films were deposited by ion beam sputter deposition (IBSD) using a Ti-Al (90/10) alloy target in a nitrogen atmosphere on thermal oxidized Si wafers. Effects of ion beam voltage, substrate temperature (T s ) and post-annealing conditions on electrical properties and oxidation resistance of TiAlN films were studied. According to the experimental results, the proper kinetic energy provided good crystallinity and a dense structure of the films. Because of their better crystallinity and predomination of (200) planes, TiAlN films deposited with 900 V at low T s (50 deg. C) have shown lower resistivity than those at high T s (250 deg. C). They also showed better oxidation resistance. If the beam voltage was too high, it caused some damage to the film surfaces, which caused poor oxidation resistance of films. When sufficient kinetic energy was provided by the beam voltage, the mobility of adatoms was too high due to their extra thermal energy, thus reducing the crystallinity and structure density of the films. A beam voltage of 900 V and a substrate temperature of 50 deg. C were the optimum deposition conditions used in this research. They provided good oxidation resistance and low electrical resistivity for IBSD TiAlN films

  5. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  6. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  7. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  8. Sputtering of nano-grains by energetic ions

    CERN Document Server

    Bringa, E M

    2002-01-01

    Sputtering from grains with a size of tens of nanometers is important in a number of astrophysical environments having a variety of plasma properties and can have applications in nano-technology. Since energy deposition by incident ions or electrons can create 'hot' regions in a small grain, thermal spike (TS) models have been applied to estimate the sputtering. The excitations produced by a fast ion are often assumed to form a 'hot' cylindrical track. In this paper we use molecular dynamics (MD) calculations to describe the energy transport and sputtering due to the creation of a 'hot' track in a grain with one quarter million atoms. We show the enhancement due to grain size and find that TS models work over a limited range of excitation densities. Discrepancies of several orders of magnitude are found when comparing our MD results for sputtering of small dust grains to those obtained by the astrophysical community using spike models.

  9. Molecular carbon nitride ion beams for enhanced corrosion resistance of stainless steel

    Science.gov (United States)

    Markwitz, A.; Kennedy, J.

    2017-10-01

    A novel approach is presented for molecular carbon nitride beams to coat stainless surfaces steel using conventional safe feeder gases and electrically conductive sputter targets for surface engineering with ion implantation technology. GNS Science's Penning type ion sources take advantage of the breaking up of ion species in the plasma to assemble novel combinations of ion species. To test this phenomenon for carbon nitride, mixtures of gases and sputter targets were used to probe for CN+ ions for simultaneous implantation into stainless steel. Results from mass analysed ion beams show that CN+ and a variety of other ion species such as CNH+ can be produced successfully. Preliminary measurements show that the corrosion resistance of stainless steel surfaces increased sharply when implanting CN+ at 30 keV compared to reference samples, which is interesting from an application point of view in which improved corrosion resistance, surface engineering and short processing time of stainless steel is required. The results are also interesting for novel research in carbon-based mesoporous materials for energy storage applications and as electrode materials for electrochemical capacitors, because of their high surface area, electrical conductivity, chemical stability and low cost.

  10. A one-dimensional ion beam figuring system for x-ray mirror fabrication

    International Nuclear Information System (INIS)

    Idir, Mourad; Huang, Lei; Bouet, Nathalie; Kaznatcheev, Konstantine; Vescovi, Matthew; Lauer, Ken; Conley, Ray; Rennie, Kent; Kahn, Jim; Nethery, Richard; Zhou, Lin

    2015-01-01

    We report on the development of a one-dimensional Ion Beam Figuring (IBF) system for x-ray mirror polishing. Ion beam figuring provides a highly deterministic method for the final precision figuring of optical components with advantages over conventional methods. The system is based on a state of the art sputtering deposition system outfitted with a gridded radio frequency inductive coupled plasma ion beam source equipped with ion optics and dedicated slit developed specifically for this application. The production of an IBF system able to produce an elongated removal function rather than circular is presented in this paper, where we describe in detail the technical aspect and present the first obtained results

  11. A one-dimensional ion beam figuring system for x-ray mirror fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Idir, Mourad, E-mail: midir@bnl.gov; Huang, Lei; Bouet, Nathalie; Kaznatcheev, Konstantine; Vescovi, Matthew; Lauer, Ken [NSLS-II, Brookhaven National Laboratory, P.O. Box 5000, Upton, New York 11973 (United States); Conley, Ray [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Rennie, Kent; Kahn, Jim; Nethery, Richard [Kaufman & Robinson, Inc., 1330 Blue Spruce Drive, Fort Collins, Colorado 80524 (United States); Zhou, Lin [College of Mechatronics and Automation, National University of Defense Technology, 109 Deya Road, Changsha, Hunan 410073 (China); Hu’nan Key Laboratory of Ultra-precision Machining Technology, Changsha, Hunan 410073 (China)

    2015-10-15

    We report on the development of a one-dimensional Ion Beam Figuring (IBF) system for x-ray mirror polishing. Ion beam figuring provides a highly deterministic method for the final precision figuring of optical components with advantages over conventional methods. The system is based on a state of the art sputtering deposition system outfitted with a gridded radio frequency inductive coupled plasma ion beam source equipped with ion optics and dedicated slit developed specifically for this application. The production of an IBF system able to produce an elongated removal function rather than circular is presented in this paper, where we describe in detail the technical aspect and present the first obtained results.

  12. Development of high-polarization Fe/Ge neutron polarizing supermirror: Possibility of fine-tuning of scattering length density in ion beam sputtering

    Science.gov (United States)

    Maruyama, R.; Yamazaki, D.; Akutsu, K.; Hanashima, T.; Miyata, N.; Aoki, H.; Takeda, M.; Soyama, K.

    2018-04-01

    The multilayer structure of Fe/Si and Fe/Ge systems fabricated by ion beam sputtering (IBS) was investigated using X-ray and polarized neutron reflectivity measurements and scanning transmission electron microscopy with energy-dispersive X-ray analysis. The obtained result revealed that the incorporation of sputtering gas particles (Ar) in the Ge layer gives rise to a marked reduction in the neutron scattering length density (SLD) and contributes to the SLD contrast between the Fe and Ge layers almost vanishing for spin-down neutrons. Bundesmann et al. (2015) have shown that the implantation of primary Ar ions backscattered at the target is responsible for the incorporation of Ar particles and that the fraction increases with increasing ion incidence angle and increasing polar emission angle. This leads to a possibility of fine-tuning of the SLD for the IBS, which is required to realize a high polarization efficiency of a neutron polarizing supermirror. Fe/Ge polarizing supermirror with m = 5 fabricated under the same condition showed a spin-up reflectivity of 0.70 at the critical momentum transfer. The polarization was higher than 0.985 for the qz range where the correction for the polarization inefficiencies of the beamline works properly. The result of the polarized neutron reflectivity measurement suggests that the "magnetically-dead" layers formed at both sides of the Fe layer, together with the SLD contrast, play a critical role in determining the polarization performance of a polarizing supermirror.

  13. Full three-dimensional simulation of focused ion beam micro/nanofabrication

    International Nuclear Information System (INIS)

    Kim, Heung-Bae; Hobler, Gerhard; Steiger, Andreas; Lugstein, Alois; Bertagnolli, Emmerich

    2007-01-01

    2D focused ion beam simulation is only capable of simulating the topography where the surface shape does not change along the third dimension, both in the final result and during processing. In this paper we show that a 3D topography forms under the beam even though the variation in the final result along the third direction is small. We present the code AMADEUS 3D (advanced modelling and design environment for sputter processes), which is capable of simulating the surface topography in 3D space including angle-dependent sputtering and redeposition. The surface is represented by a structured or unstructured grid, and the nodes are moved according to the calculated sputtering and redeposition fluxes. In addition, experiments have been performed on nanodot formation and box milling for a case where a 3D temporary topography forms. The excellent agreement validates the code and shows the completeness of the model

  14. Ion source with radiofrequency mass filter for sputtering purposes

    International Nuclear Information System (INIS)

    Sielanko, J.; Sowa, M.

    1990-01-01

    The Kaufman ion source with radiofrequency mass filter is described. The construction as well as operating characteristics of ion source are presented. The arrangement is suitable for range distribution measurements of implanted layers, where the sputtering rate has to be constant over the wide range of sputtering time. 4 figs., 17 refs. (author)

  15. Selection and design of ion sources for use at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.; Haynes, D.L.; Mills, G.D.; Olsen, D.K.

    1994-01-01

    The Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory will use the 25 MV tandem accelerator for the acceleration of radioactive ion beams to energies appropriate for research in nuclear physics; negative ion beams are, therefore, required for injection into the tandem accelerator. Because charge exchange is an efficient means for converting initially positive ion beams to negative ion beams, both positive and negative ion sources are viable options for use at the facility. The choice of the type of ion source will depend on the overall efficiency for generating the radioactive species of interest. Although direct-extraction negative ion sources are clearly desirable, the ion formation efficiencies are often too low for practical consideration; for this situation, positive ion sources, in combination with charge exchange, are the logical choice. The high-temperature version of the CERN-ISOLDE positive ion source has been selected and a modified version of the source designed and fabricated for initial use at the facility because of its low emittance, relatively high ionization efficiencies, and species versatility, and because it has been engineered for remote installation, removal, and servicing as required for safe handling in a high-radiation-level ISOL facility. The source will be primarily used to generate ion beams from elements with intermediate to low electron affinities. Prototype plasma-sputter negative ion sources and negative surface-ionization sources are under design consideration for generating radioactive ion beams from high-electron-affinity elements. The design features of these sources and expected efficiencies and beam qualities (emittances) will be described in this report

  16. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  17. Sputtering as a means of depth profiling

    International Nuclear Information System (INIS)

    Whitton, J.L.

    1978-01-01

    Probably the most common technique for determination of depth profiles by sputtering is that of secondary ion mass spectrometry. Many problems occur in the important step of converting the time (of sputtering) scale to a depth scale and these problems arise before the secondary ions are ejected. An attempt is made to present a comprehensive list of the effects that should be taken into consideration in the use of sputtering as a means of depth profiling. The various parameters liable to affect the depth profile measurements are listed in four sections: beam conditions; target conditions; experimental environment; and beam-target interactions. The effects are discussed and where interplay occurs, cross-reference is made and examples are provided where possible. (B.R.H.)

  18. An algorithm to calculate secondary sputtering by the reflection of ions in two dimensions

    International Nuclear Information System (INIS)

    Smith, R.; Tagg, M.A.

    1986-01-01

    A method for computing the secondary sputtering of ions reflected from two-dimensional surfaces is described. The surface contour is first approximated by a set of line segments and the displacement of these line segments under ion erosion is determined computationally, by summing the contributions of the primary and reflected fluxes. This method can be used as an alternative to the method of characteristics which is normally used to determine primary ion beam effects. Some simple examples are evaluated. These examples illustrate that primary surface erosion theory is not in itself sufficient to explain the topography which can exist on an ion-eroded surface, particularly close to steep-sided structures. (author)

  19. Sputtering induced surface composition changes in copper-palladium alloys

    International Nuclear Information System (INIS)

    Sundararaman, M.; Sharma, S.K.; Kumar, L.; Krishnan, R.

    1981-01-01

    It has been observed that, in general, surface composition is different from bulk composition in multicomponent materials as a result of ion beam sputtering. This compositional difference arises from factors like preferential sputtering, radiation induced concentration gradients and the knock-in effect. In the present work, changes in the surface composition of copper-palladium alloys, brought about by argon ion sputtering, have been studied using Auger electron spectroscopy. Argon ion energy has been varied from 500 eV to 5 keV. Enrichment of palladium has been observed in the sputter-altered layer. The palladium enrichment at the surface has been found to be higher for 500 eV argon ion sputtering compared with argon ion sputtering at higher energies. Above 500 eV, the surface composition has been observed to remain the same irrespective of the sputter ion energy for each alloy composition. The bulk composition ratio of palladium to copper has been found to be linearly related to the sputter altered surface composition ratio of palladium to copper. These results are discussed on the basis of recent theories of alloy sputtering. (orig.)

  20. Examination of fracture surfaces using focused ion beam milling

    International Nuclear Information System (INIS)

    Cairney, J.M.; Munroe, P.R.; Schneibel, J.H.

    2000-01-01

    Composite materials consisting of an iron aluminide matrix with composition approximately Fe-40at%Al, reinforced with a volume fraction of 40--70% ceramic particles (TiC, WC, TiB 2 or ZrB 2 ), are currently being developed. Focused ion beam milling is a relatively new tool to materials science. It uses a high resolution (<5nm), energetic beam of gallium ions to selectively sputter regions of a material, whilst also functioning as a scanning ion microscope. The milling accuracy is of the order of the beam size allowing very precise sectioning to be carried out. The focused ion beam can be used to prepare highly localized cross sections which reveal the internal sub-structure of materials, avoiding detrimental processes such as deformation, or closing of existing cracks by mechanical abrasion. An area is milled from the sample such that, upon tilting, the internal structure can be imaged. The focused ion beam therefore offers a unique opportunity to examine cross-sections of the fracture surfaces in FeAl-based composites. In the present study, the focused ion beam was used to obtain cross-sections of fracture surfaces in two composite materials, in order to examine the extent of interfacial debonding and matrix deformation, thus providing more information about the mode of fracture. These cross-sections were prepared at regions where significant debonding was observed

  1. Improvements for extending the time between maintenance periods for the Heidelberg ion beam therapy center (HIT) ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Winkelmann, Tim, E-mail: tim.winkelmann@med.uni-heidelberg.de; Cee, Rainer; Haberer, Thomas; Naas, Bernd; Peters, Andreas; Schreiner, Jochen [Heidelberger Ionenstrahl-Therapie Centrum (HIT), D -69120 Heidelberg (Germany)

    2014-02-15

    The clinical operation at the Heidelberg Ion Beam Therapy Center (HIT) started in November 2009; since then more than 1600 patients have been treated. In a 24/7 operation scheme two 14.5 GHz electron cyclotron resonance ion sources are routinely used to produce protons and carbon ions. The modification of the low energy beam transport line and the integration of a third ion source into the therapy facility will be shown. In the last year we implemented a new extraction system at all three sources to enhance the lifetime of extraction parts and reduce preventive and corrective maintenance. The new four-electrode-design provides electron suppression as well as lower beam emittance. Unwanted beam sputtering effects which typically lead to contamination of the insulator ceramics and subsequent high-voltage break-downs are minimized by the beam guidance of the new extraction system. By this measure the service interval can be increased significantly. As a side effect, the beam emittance can be reduced allowing a less challenging working point for the ion sources without reducing the effective beam performance. This paper gives also an outlook to further enhancements at the HIT ion source testbench.

  2. Pumping behavior of sputter ion pumps

    International Nuclear Information System (INIS)

    Chou, T.S.; McCafferty, D.

    The ultrahigh vacuum requirements of ISABELLE is obtained by distributed pumping stations. Each pumping station consists of 1000 l/s titanium sublimation pump for active gases (N 2 , H 2 , O 2 , CO, etc.), and a 20 l/s sputter ion pump for inert gases (methane, noble gases like He, etc.). The combination of the alarming production rate of methane from titanium sublimation pumps (TSP) and the decreasing pumping speed of sputter ion pumps (SIP) in the ultrahigh vacuum region (UHV) leads us to investigate this problem. In this paper, we first describe the essential physics and chemistry of the SIP in a very clean condition, followed by a discussion of our measuring techniques. Finally measured methane, argon and helium pumping speeds are presented for three different ion pumps in the range of 10 -6 to 10 -11 Torr. The virtues of the best pump are also discussed

  3. The electrochemical behavior and surface structure of titanium electrodes modified by ion beams

    International Nuclear Information System (INIS)

    Huang, G.F.; Xie, Z.; Huang, W.Q.; Yang, S.B.; Zhao, L.H.

    2004-01-01

    Industrial grade titanium modified by ion implantation and sputtering was used as electrodes. The effect of ion beam modification on the electrochemical behavior and surface structure of electrodes was investigated. Also discussed is the hydrogen evolution process of the electrode in acidic solution. Several ions such as Fe + , C + , W + , Ni + and others, were implanted into the electrode. The electrochemical tests were carried out in 1N H 2 SO 4 solution at 30±1 deg. C. The electrode potential was measured versus a saturate calomel electrode as a function of immersion time. The cathodic polarization curves were measured by the stable potential static method. The surface layer composition and the chemical state of the electrodes were also investigated by Auger electron spectrometer (AES) and X-ray photoelectron spectroscopy (XPS) technique. The results show that: (1) the stability of modified electrodes depends on the active elements introduced by ion implantation and sputtering deposition. (2) The hydrogen evolution activity of industrial grade titanium may be improved greatly by ion beam modification. (3) Ion beam modification changed the composition and the surface state of electrodes over a certain depth range and forms an activity layer having catalytic hydrogen evolution, which inhibited the absorption of hydrogen and formation of titanium hydride. Thus promoted hydrogen evolution and improved the hydrogen evolution catalytic activity in industrial grade titanium

  4. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  5. Heavy ion irradiation of crystalline water ice. Cosmic ray amorphisation cross-section and sputtering yield

    Science.gov (United States)

    Dartois, E.; Augé, B.; Boduch, P.; Brunetto, R.; Chabot, M.; Domaracka, A.; Ding, J. J.; Kamalou, O.; Lv, X. Y.; Rothard, H.; da Silveira, E. F.; Thomas, J. C.

    2015-04-01

    Context. Under cosmic irradiation, the interstellar water ice mantles evolve towards a compact amorphous state. Crystalline ice amorphisation was previously monitored mainly in the keV to hundreds of keV ion energies. Aims: We experimentally investigate heavy ion irradiation amorphisation of crystalline ice, at high energies closer to true cosmic rays, and explore the water-ice sputtering yield. Methods: We irradiated thin crystalline ice films with MeV to GeV swift ion beams, produced at the GANIL accelerator. The ice infrared spectral evolution as a function of fluence is monitored with in-situ infrared spectroscopy (induced amorphisation of the initial crystalline state into a compact amorphous phase). Results: The crystalline ice amorphisation cross-section is measured in the high electronic stopping-power range for different temperatures. At large fluence, the ice sputtering is measured on the infrared spectra, and the fitted sputtering-yield dependence, combined with previous measurements, is quadratic over three decades of electronic stopping power. Conclusions: The final state of cosmic ray irradiation for porous amorphous and crystalline ice, as monitored by infrared spectroscopy, is the same, but with a large difference in cross-section, hence in time scale in an astrophysical context. The cosmic ray water-ice sputtering rates compete with the UV photodesorption yields reported in the literature. The prevalence of direct cosmic ray sputtering over cosmic-ray induced photons photodesorption may be particularly true for ices strongly bonded to the ice mantles surfaces, such as hydrogen-bonded ice structures or more generally the so-called polar ices. Experiments performed at the Grand Accélérateur National d'Ions Lourds (GANIL) Caen, France. Part of this work has been financed by the French INSU-CNRS programme "Physique et Chimie du Milieu Interstellaire" (PCMI) and the ANR IGLIAS.

  6. Establishment of an ASEAN Ion Beam Analysis Centre for Material Characterizations at Chiang Mai University

    International Nuclear Information System (INIS)

    Kamwanna, T.; Junphong, P.; Yu, L.D.; Singkarat, S.; Intarasiri, S.; Suwannakachorn, D.

    2015-01-01

    A comprehensive ion beam analysis centre unique in the ASEAN (Association of Southeast Asian Nations) region has been established at Chiang Mai University, Thailand. The centre is equipped with a 1.7 MV Tandetron tandem accelerator and a 300 kV medium energy ion beam accelerator for ion beam analysis. The Tandetron accelerator employs two ion sources, a duoplasmatron ion source and a sputter ion source, capable of producing ion beams of both light species (hydrogen and helium) and heavy species. The beamline is currently able to perform ion beam analysis techniques, such as Rutherford backscattering spectrometry (RBS), RBS/channelling, elastic backscattering (EBS), particle induced x ray emission (PIXE) and ionoluminescence (IL) with the assistance of commercial and self-developed software. The medium energy ion accelerator features an ns pulsed beam so that time of flight (ToF) RBS analysis using medium energy ion beams is available for detailed analysis of materials. Ion beam analysis experiments and applications have been vigorously developed for the real time characterization of various materials. Examples are presented and qualities of the ion beam analysis techniques are discussed. (author)

  7. Sensitivity of ion-induced sputtering to the radial distribution of energy transfers: A molecular dynamics study

    International Nuclear Information System (INIS)

    Mookerjee, S.; Khan, S. A.; Roy, A.; Beuve, M.; Toulemonde, M.

    2008-01-01

    Using different models for the deposition of energy on the lattice and a classical molecular dynamics approach to the subsequent transport, we evaluate how the details of the energy deposition model influence sputtering yield from a Lennard-Jones target irradiated with a MeV/u ion beam. Two energy deposition models are considered: a uniform, instantaneous deposition into a cylinder of fixed radius around the projectile ion track, used in earlier molecular dynamics and fluid dynamics simulations of sputtering yields; and an energy deposition distributed in time and space based on the formalism developed in the thermal spike model. The dependence of the sputtering yield on the total energy deposited on the target atoms is very sensitive to the energy deposition model. To clarify the origin of this strong dependence, we explore the role of the radial expansion of the electronic system prior to the transfer of its energy to the lattice. The results imply that observables such as the sputtering yield may be used as signatures of the fast electron-lattice energy transfer in the electronic energy-loss regime, and indicate the need for more experimental and theoretical investigations of these processes

  8. Production of atomic negative ion beams of the Group IA elements

    International Nuclear Information System (INIS)

    Alton, G.D.; Mills, G.D.

    1988-01-01

    A method has been developed which enables the direct sputter generation of atomic negative ion beams of all members of the Group IA elements (Li, Na, K, Rb, and Cs). The method consists of the use of sputter samples formed by pressing mixtures of the carbonates of the Group IA elements and 10% (atomic) Cu, Ag, or other metal powder. The following intensities are typical of those observed from carbonate samples subjected to /approximately/3 KeV cesium ion bombardment: Li - : ≥0.5 μA; Na - : ≥0.5 μA; K - : ≥0.5 μA; Rb - : ≥0.5 μA; Cs - : ≥0.2 μA. 7 refs., 2 figs., 1 tab

  9. Stress in ion-beam assisted silicon dioxide and tantalum pentoxide thin films

    International Nuclear Information System (INIS)

    Sirotkina, Natalia

    2003-01-01

    Ta 2 O 5 and SiO 2 thin films, deposited at room temperature by ion-beam sputtering (IBS) and dual ion-beam sputtering (DIBS), and SiO 2 films, deposited by reactive e-beam evaporation and ion-assisted deposition, were studied. The energy (150-600 eV) and ion-to-atom arrival ratio (0.27-2.0) of assisting argon and oxygen ions were varied. Influence of deposition conditions (deposition system geometry, nature and amount of gas in the chamber, substrate cleaning and ion-assistance parameters) on films properties (stress, composition, refractive index n 500nm and extinction coefficient k 500nm ) was investigated. A scanning method, based on substrate curvature measurements by laser reflection and stress calculation using the Stoney equation, was employed. RBS showed that stoichiometric Ta 2 O 5 films contain impurities of Ar, Fe and Mo. Stoichiometric SiO 2 films also contain Ta impurity. Argon content increases with ion bombardment and, at maximum incorporation, argon bubbles are registered by TEM. XPS studies are complicated by surface contaminations and preferential sputtering. Evaporated SiO 2 films show +100 MPa stress (+ is tensile, - compressive). With 300 eV Ar + bombardment, stress changes to -200 MPa, n 500nm decreases (1.56-1.49) and k 500nm increases (1.4x10 -4 - 1.8x10 -3 ). Of all studied IBS conditions, stress in SiO 2 (-560 MPa) and Ta 2 O 5 (-350 MPa) films depends only on sputtering gas species and oxygen entry point into the chamber. With argon and oxygen bombardment stress in IBS SiO 2 films decreases to -380 MPa and below the stress measurement system resolution, respectively. While Ar + bombardment of Ta 2 O 5 films leads to increase in stress to -490 MPa, the effect of oxygen assistance depends on ion energy. The observed behaviour was related to the total recoil density. In DIBS SiO 2 and Ta 2 O 5 films n 500nm varies in the region of 1.5-1.59 and 2.13-2.20 and k 500nm is below 5.5x10 -3 and 8.5x10 -3 , respectively. The refractive index

  10. Realization of synaptic learning and memory functions in Y2O3 based memristive device fabricated by dual ion beam sputtering

    Science.gov (United States)

    Das, Mangal; Kumar, Amitesh; Singh, Rohit; Than Htay, Myo; Mukherjee, Shaibal

    2018-02-01

    Single synaptic device with inherent learning and memory functions is demonstrated based on a forming-free amorphous Y2O3 (yttria) memristor fabricated by dual ion beam sputtering system. Synaptic functions such as nonlinear transmission characteristics, long-term plasticity, short-term plasticity and ‘learning behavior (LB)’ are achieved using a single synaptic device based on cost-effective metal-insulator-semiconductor (MIS) structure. An ‘LB’ function is demonstrated, for the first time in the literature, for a yttria based memristor, which bears a resemblance to certain memory functions of biological systems. The realization of key synaptic functions in a cost-effective MIS structure would promote much cheaper synapse for artificial neural network.

  11. Angular distributions of particles sputtered from multicomponent targets with gas cluster ions

    Energy Technology Data Exchange (ETDEWEB)

    Ieshkin, A.E. [Faculty of Physics, Lomonosov Moscow State University, Leninskie Gory, Moscow 119991 (Russian Federation); Ermakov, Yu.A., E-mail: yuriermak@yandex.ru [Skobeltsyn Nuclear Physics Research Institute, Lomonosov Moscow State University, Leninskie Gory, Moscow 119991 (Russian Federation); Chernysh, V.S. [Faculty of Physics, Lomonosov Moscow State University, Leninskie Gory, Moscow 119991 (Russian Federation)

    2015-07-01

    The experimental angular distributions of atoms sputtered from polycrystalline W, Cd and Ni based alloys with 10 keV Ar cluster ions are presented. RBS was used to analyze a material deposited on a collector. It has been found that the mechanism of sputtering, connected with elastic properties of materials, has a significant influence on the angular distributions of sputtered components. The effect of non-stoichiometric sputtering at different emission angles has been found for the alloys under cluster ion bombardment. Substantial smoothing of the surface relief was observed for all targets irradiated with cluster ions.

  12. Estimates of Sputter Yields of Solar-Wind Heavy Ions of Lunar Regolith Materials

    Science.gov (United States)

    Barghouty, Abdulmasser F.; Adams, James H., Jr.

    2008-01-01

    At energies of approximately 1 keV/amu, solar-wind protons and heavy ions interact with the lunar surface materials via a number of microscopic interactions that include sputtering. Solar-wind induced sputtering is a main mechanism by which the composition of the topmost layers of the lunar surface can change, dynamically and preferentially. This work concentrates on sputtering induced by solar-wind heavy ions. Sputtering associated with slow (speeds the electrons speed in its first Bohr orbit) and highly charged ions are known to include both kinetic and potential sputtering. Potential sputtering enjoys some unique characteristics that makes it of special interest to lunar science and exploration. Unlike the yield from kinetic sputtering where simulation and approximation schemes exist, the yield from potential sputtering is not as easy to estimate. This work will present a preliminary numerical scheme designed to estimate potential sputtering yields from reactions relevant to this aspect of solar-wind lunar-surface coupling.

  13. Off-line production of a sup 7 Be radioactive ion beam

    CERN Document Server

    Gialanella, L; De Cesare, N; D'Onofrio, A; Romano, M; Campajola, L; Formicola, A; Fülöp, Z; Gyürky, G; Imbriani, G; Lubritto, C; Ordine, A; Roca, V; Rogalla, D; Rolfs, C; Russo, M; Sabbarese, C; Somorjai, E; Strieder, F; Terrasi, F; Trautvetter, H P

    2002-01-01

    A sup 7 Be ion beam of several particle pA at 8 MeV has been produced at the TTT3 tandem of the University 'Federico II' in Naples. The sup 7 Be nuclides were formed via the sup 7 Li(p,n) sup 7 Be reaction using a metallic Li target and an 11.4 MeV proton beam of 20 mu A intensity, delivered by the cyclotron in Debrecen. Methods of hot chemistry were used to extract the sup 7 Be nuclides from the Li matrix and to prepare the sup 7 Be cathodes for the ion sputter source of the tandem. Examples of sup 7 Be beam applications are given.

  14. Friction and wear measurements of sputtered MoS/sub x/ films amorphized by ion bombardment

    International Nuclear Information System (INIS)

    Mikkelsen, N.J.; Chevallier, J.; Soerensen, G.; Straede, C.A.

    1988-01-01

    The present study presents an experimental evidence for amorphization of rf sputtered MoS/sub x/ films by ion bombardment. Even at low doses (3 x 10 15 ions/cm 2 ) of 400 keV argon ions a complete amorphization was confirmed by x-ray diffraction analysis and transmission electron microscopy. As a result of the ion bombardment the film density increased 100% to almost the bulk value for MoS 2 . The friction coefficient for ion beam amorphized MoS/sub x/ was measured to be 0.04 in agreement with the values reported for crystalline films but disagreeing considerably with the friction coefficient of 0.4 previously reported for amorphous films

  15. Observation of self-sputtering in energetic condensation of metal ions

    International Nuclear Information System (INIS)

    Anders, Andre

    2004-01-01

    The condensation of energetic metal ions on a surface may cause self-sputtering even in the absence of substrate bias. Charge-state-averaged self-sputtering yields were determined for both zirconium and gold ions generated by a cathodic vacuum arc. Films were deposited on differently biased substrates exposed to streaming Zr and Au vacuum arc plasma. The self-sputtering yields for both metals were estimated to be about 0.05 in the absence of bias, and exceeding 0.5 when bias reached-50 V. These surprisingly high values can be reconciled with binary collision theory and molecular dynamics calculations taking high the kinetic and potential energy of vacuum arc ions into account

  16. Dependence of energy per molecule on sputtering yields with reactive gas cluster ions

    International Nuclear Information System (INIS)

    Toyoda, Noriaki; Yamada, Isao

    2010-01-01

    Gas cluster ions show dense energy deposition on a target surface, which result in the enhancement of chemical reactions. In reactive sputtering with gas cluster ions, the energy per atom or molecule plays an important role. In this study, the average cluster size (N, the number of atoms or molecules in a cluster ion) was controlled; thereby the dependences of the energy per molecule on the sputtering yields of carbon by CO 2 cluster ions and that of Si by SF 6 /Ar mixed gas cluster ions were investigated. Large CO 2 cluster ions with energy per molecule of 1 eV showed high reactive sputtering yield of an amorphous carbon film. However, these ions did not cause the formation of large craters on a graphite surface. It is possible to achieve very low damage etching by controlling the energy per molecule of reactive cluster ions. Further, in the case of SF 6 /Ar mixed cluster ions, it was found that reactive sputtering was enhanced when a small amount of SF 6 gas (∼10%) was mixed with Ar. The reactive sputtering yield of Si by one SF 6 molecule linearly increased with the energy per molecule.

  17. Self-sputtering during ion precipitation and its influence on niobium film properties

    International Nuclear Information System (INIS)

    Belevskij, V.P.; Gusev, I.V.

    1987-01-01

    Cathode sputtering of niobium films under conditions of vacuum condensation with simultaneous Nb + ion bombardment at energy of E n =(1.6...6.4)x10 -16 J is studied. Calculation of experimental values of the sputtering coefficient S Nb is performed with respect to the film thickness in the field of action of a niobium ion beam. Using the four-probe method in helium cryostats superconducting properties are studied and the film texture is determined by the X ray diffraction analysis. With the E n growth S Nb increases from 1.1 to 3.1. The best correspondence of the experimental dependence S Nb (E n ) is provided by the semiempirical formula of Yamamura Y. et al. The consequence of the S Nb increase is a sharp decrease of the effective condensation rate v eff . In case of niobium condensation at v eff m , where v m is the velocity of movement of a quasidiffusive boundary of impurities from the substrate according to the model of ion mixing developed by Carter G. and Armour D. suppresion of texture formation and deterioration of superconducting properties of superthin films (about 10 nm) is observed that results from ion mixing of the film and substrate materials on the interface. For such condensation conditions the substrate itself is the main source of impurities in the film

  18. Sputtering of solid nitrogen and oxygen by keV hydrogen ions

    DEFF Research Database (Denmark)

    Ellegaard, O.; Schou, Jørgen; Stenum, B.

    1994-01-01

    Electronic sputtering of solid nitrogen and oxygen by keV hydrogen ions has been studied at two low-temperature setups. The yield of the sputtered particles has been determined in the energy regime 4-10 keV for H+, H-2+ and H-3+ ions. The yield for oxygen is more than a factor of two larger than...... that for nitrogen. The energy distributions of the sputtered N2 and O2 molecules were measured for hydrogen ions in this energy regime as well. The yields from both solids turn out to depend on the sum of the stopping power of all atoms in the ion. The yield increases as a quadratic function of the stopping power...

  19. Study of magnetic properties and relaxation in amorphous Fe73.9Nb3.1Cu0.9Si13.2B8.9 thin films produced by ion beam sputtering

    International Nuclear Information System (INIS)

    Celegato, F.; Coiesson, M.; Magni, A.; Tiberto, P.; Vinai, F.; Kane, S. N.; Modak, S. S.; Gupta, A.; Sharma, P.

    2007-01-01

    Amorphous Fe 73.9 Nb 3.1 Cu 0.9 Si 13.2 B 8.9 thin films have been produced by ion beam sputtering with two different beam energies (500 and 1000 eV). Magnetic measurements indicate that the samples display a uniaxial magnetic anisotropy, especially for samples prepared with the lower beam energy. Magnetization relaxation has been measured on both films with an alternating gradient force magnetometer and magneto-optical Kerr effect. Magnetization relaxation occurs on time scales of tens of seconds and can be described with a single stretched exponential function. Relaxation intensity turns out to be higher when measured along the easy magnetization axis

  20. Simultaneous study of sputtering and secondary ion emission of binary Fe-based alloys

    International Nuclear Information System (INIS)

    Riadel, M.M.; Nenadovic, T.; Perovic, B.

    1976-01-01

    The sputtering and secondary ion emission of binary Fe-based alloys of simple phase diagrams have been studied simultaneously. A series FeNi and FeCr alloys in the concentration range of 0-100% have been bombarded by 4 keV Kr + ions in a secondary ion mass spectrometer. The composition of the secondary ions has been analysed and also a fraction of the sputtered material has been collected and analysed by electron microprobe. The surface topography of the etched samples has been studied by scanning electron microscope. The relative sputtering coefficients of the metals have been determined, and the preferential sputtering of the alloying component of lower S have been proved. The etching pictures of samples are in correlation with the sputtering rates. Also the degree of secondary ionization has been calculated from the simultaneously measured ion emission and sputtering data. α + shows the change in the concentration range of the melting point minimum. This fact emphasizes the connection between the physico-chemical properties of alloys and their secondary emission process. From the dependence of the emitted homo- and hetero-cluster ions, conclusions could be shown concerning the production mechanism of small metallic aggregates

  1. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  2. Sputtering of solid deuterium by He-ions

    DEFF Research Database (Denmark)

    Schou, Jørgen; Stenum, B.; Pedrys, R.

    2001-01-01

    Sputtering of solid deuterium by bombardment of 3He+ and 4He+ ions was studied. Some features are similar to hydrogen ion bombardment of solid deuterium, but for the He-ions a significant contribution of elastic processes to the total yield can be identified. The thin-film enhancement is more pro...... pronounced than that for hydrogen projectiles in the same energy range....

  3. Sputtering yields of carbon based materials under high particle flux with low energy

    Science.gov (United States)

    Nakamura, K.; Nagase, A.; Dairaku, M.; Akiba, M.; Araki, M.; Okumura, Y.

    1995-04-01

    A new ion source which can produce high particle flux beams at low energies has been developed. This paper presents preliminary results on the sputtering yield of the carbon fiber reinforced composites (CFCs) measured with the new ion source. The sputtering yields of 1D and 2D CFCs, which are candidate materials for the divertor armour tiles, have been measured by the weight loss method under the hydrogen and deuterium particle fluxes of 2 ˜ 7 × 10 20/m 2 s at 50 ˜ 150 eV. Preferential sputtering of the matrix was observed on CFCs which included the matrix of 40 ˜ 60 w%. The energy dependence of the sputtering yields was weak. The sputtering yields of CFCs normally irradiated with deuterium beam were from 0.073 to 0.095, and were around three times larger than those with hydrogen beam.

  4. Sputtering yields of carbon based materials under high particle flux with low energy

    International Nuclear Information System (INIS)

    Nakamura, K.; Nagase, A.; Dairaku, M.; Akiba, M.; Araki, M.; Okumura, Y.

    1995-01-01

    A new ion source which can produce high particle flux beams at low energies has been developed. This paper presents preliminary results on the sputtering yield of the carbon fiber reinforced composites (CFCs) measured with the new ion source. The sputtering yields of 1D and 2D CFCs, which are candidate materials for the divertor armour tiles, have been measured by the weight loss method under the hydrogen and deuterium particle fluxes of 2 similar 7x10 20 /m 2 s at 50 similar 150 eV. Preferential sputtering of the matrix was observed on CFCs which included the matrix of 40 similar 60 w%. The energy dependence of the sputtering yields was weak. The sputtering yields of CFCs normally irradiated with deuterium beam were from 0.073 to 0.095, and were around three times larger than those with hydrogen beam. ((orig.))

  5. Magnetospheric ion sputtering and water ice grain size at Europa

    Science.gov (United States)

    Cassidy, T. A.; Paranicas, C. P.; Shirley, J. H.; Dalton, J. B., III; Teolis, B. D.; Johnson, R. E.; Kamp, L.; Hendrix, A. R.

    2013-03-01

    We present the first calculation of Europa's sputtering (ion erosion) rate as a function of position on Europa's surface. We find a global sputtering rate of 2×1027 H2O s-1, some of which leaves the surface in the form of O2 and H2. The calculated O2 production rate is 1×1026 O2 s-1, H2 production is twice that value. The total sputtering rate (including all species) peaks at the trailing hemisphere apex and decreases to about 1/3rd of the peak value at the leading hemisphere apex. O2 and H2 sputtering, by contrast, is confined almost entirely to the trailing hemisphere. Most sputtering is done by energetic sulfur ions (100s of keV to MeV), but most of the O2 and H2 production is done by cold oxygen ions (temperature ∼ 100 eV, total energy ∼ 500 eV). As a part of the sputtering rate calculation we compared experimental sputtering yields with analytic estimates. We found that the experimental data are well approximated by the expressions of Famá et al. for ions with energies less than 100 keV (Famá, M., Shi, J., Baragiola, R.A., 2008. Sputtering of ice by low-energy ions. Surf. Sci. 602, 156-161), while the expressions from Johnson et al. fit the data best at higher energies (Johnson, R.E., Burger, M.H., Cassidy, T.A., Leblanc, F., Marconi, M., Smyth, W.H., 2009. Composition and Detection of Europa's Sputter-Induced Atmosphere, in: Pappalardo, R.T., McKinnon, W.B., Khurana, K.K. (Eds.), Europa. University of Arizona Press, Tucson.). We compare the calculated sputtering rate with estimates of water ice regolith grain size as estimated from Galileo Near-Infrared Mapping Spectrometer (NIMS) data, and find that they are strongly correlated as previously suggested by Clark et al. (Clark, R.N., Fanale, F.P., Zent, A.P., 1983. Frost grain size metamorphism: Implications for remote sensing of planetary surfaces. Icarus 56, 233-245.). The mechanism responsible for the sputtering rate/grain size link is uncertain. We also report a surface composition estimate using

  6. Depth profile investigation of the incorporated iron atoms during Kr{sup +} ion beam sputtering on Si (001)

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, B., E-mail: khanbabaee@physik.uni-siegen.de [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Arezki, B.; Biermanns, A. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany); Cornejo, M.; Hirsch, D. [Leibniz-Institut für Oberflächenmodifizierung e. V. (IOM), Permoserstraße 15, D-04318 Leipzig (Germany); Lützenkirchen-Hecht, D. [Abteilung Physik, Bergische Universität Wuppertal, D-42097 Wuppertal (Germany); Frost, F. [Leibniz-Institut für Oberflächenmodifizierung e. V. (IOM), Permoserstraße 15, D-04318 Leipzig (Germany); Pietsch, U. [Solid State Physics, University of Siegen, D-57068 Siegen (Germany)

    2013-01-01

    We investigate the incorporation of iron atoms during nano-patterning of Si surfaces induced by 2 keV Kr{sup +} ion beam erosion under an off-normal incidence angle of 15°. Considering the low penetration depth of the ions, we have used X-ray reflectivity (XRR) and X-ray absorption near edge spectroscopy (XANES) under grazing-incidence angles in order to determine the depth profile and phase composition of the incorporated iron atoms in the near surface region, complemented by secondary ion mass spectrometry and atomic force microscopy. XRR analysis shows the accumulation of metallic atoms within a near surface layer of a few nanometer thickness. We verify that surface pattern formation takes place only when the co-sputtered Fe concentration exceeds a certain limit. For high Fe concentration, the ripple formation is accompanied by the enhancement of Fe close to the surface, whereas no Fe enhancement is found for low Fe concentration at samples with smooth surfaces. Modeling of the measured XANES spectra reveals the appearance of different silicide phases with decreasing Fe content from the top towards the volume. - Highlights: ► We investigate the incorporation of iron atoms during nano-patterning of Si surfaces. ► Pattern formation occurs when the areal density of Fe exceeds a certain threshold. ► X-ray reflectivity shows a layering at near surface due to incorporated Fe atoms. ► It is shown that the patterning is accompanied with the appearance of Fe-rich silicide.

  7. Angular distributions of particles sputtered from polycrystalline platinum by low-energy ions

    International Nuclear Information System (INIS)

    Chernysh, V.S.; Eckstein, W.; Haidarov, A.A.; Kulikauskas, V.S.; Mashkova, E.S.; Molchanov, V.A.

    2000-01-01

    The results of an experimental study and a computer simulation with the TRIM.SP code of the angular distributions of atoms sputtered from polycrystalline platinum under 3-9 keV Ne + bombardment at normal ion incidence are presented. It was found that angular distributions of sputtered atoms are overcosine and that their shape is practically independent of an ion energy. Comparison with the previously obtained data for He + and Ar + ions have shown that the shape of the angular distribution does not depend on the bombarding ion species. Good agreement between experimental results and computer simulation data was found. Computer simulations of the partial angular distributions of Pt atoms ejected due to various sputtering mechanisms for Ne ion bombardment were performed and the comparison with corresponding data for He and Ar bombarding was made. The role of different mechanisms in the formation of angular distributions of sputtered atoms has been analyzed

  8. Effect of Annealing Temperature and Oxygen Flow in the Properties of Ion Beam Sputtered SnO-₂x Thin Films.

    Science.gov (United States)

    Wang, Chun-Min; Huang, Chun-Chieh; Kuo, Jui-Chao; Sahu, Dipti Ranjan; Huang, Jow-Lay

    2015-08-14

    Tin oxide (SnO 2-x ) thin films were prepared under various flow ratios of O₂/(O₂ + Ar) on unheated glass substrate using the ion beam sputtering (IBS) deposition technique. This work studied the effects of the flow ratio of O₂/(O₂ + Ar), chamber pressures and post-annealing treatment on the physical properties of SnO₂ thin films. It was found that annealing affects the crystal quality of the films as seen from both X-ray diffraction (XRD) and transmission electron microscopy (TEM) analysis. In addition, the surface RMS roughness was measured with atomic force microscopy (AFM). Auger electron spectroscopy (AES) analysis was used to obtain the changes of elemental distribution between tin and oxygen atomic concentration. The electrical property is discussed with attention to the structure factor.

  9. Self-sputtering runaway in high power impulse magnetron sputtering: The role of secondary electrons and multiply charged metal ions

    International Nuclear Information System (INIS)

    Anders, Andre

    2008-01-01

    Self-sputtering runaway in high power impulse magnetron sputtering is closely related to the appearance of multiply charged ions. This conclusion is based on the properties of potential emission of secondary electrons and energy balance considerations. The effect is especially strong for materials whose sputtering yield is marginally greater than unity. The absolute deposition rate increases ∼Q 1/2 , whereas the rate normalized to the average power decreases ∼Q -1/2 , with Q being the mean ion charge state number

  10. Corrosion properties of aluminium coatings deposited on sintered NdFeB by ion-beam-assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mao Shoudong; Yang Hengxiu; Li Jinlong; Huang Feng [Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, 519 Zhuangshi Road, Ningbo 315201 (China); Song Zhenlun, E-mail: songzhenlun@nimte.ac.cn [Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, 519 Zhuangshi Road, Ningbo 315201 (China)

    2011-04-15

    Pure Al coatings were deposited by direct current (DC) magnetron sputtering to protect sintered NdFeB magnets. The effects of Ar{sup +} ion-beam-assisted deposition (IBAD) on the structure and the corrosion behaviour of Al coatings were investigated. The Al coating prepared by DC magnetron sputtering with IBAD (IBAD-Al-coating) had fewer voids than the coating without IBAD (Al-coating). The corrosion behaviour of the Al-coated NdFeB specimens was investigated by potentiodynamic polarisation, a neutral salt spray (NSS) test, and electrochemical impedance spectroscopy (EIS). The pitting corrosion of the Al coatings always began at the voids of the grain boundaries. Bombardment by the Ar{sup +} ion-beams effectively improved the corrosion resistance of the IBAD-Al-coating.

  11. Formation of large clusters during sputtering of silver

    International Nuclear Information System (INIS)

    Staudt, C.; Heinrich, R.; Wucher, A.

    2000-01-01

    We have studied the formation of polyatomic clusters during sputtering of metal surfaces by keV ion bombardment. Both positively charged (secondary cluster ions) and neutral clusters have been detected in a time-of-flight mass spectrometer under otherwise identical experimental conditions, the sputtered neutrals being post-ionized by single photon absorption using a pulsed 157 nm VUV laser beam. Due to the high achievable laser intensity, the photoionization of all clusters could be saturated, thus enabling a quantitative determination of the respective partial sputtering yields. We find that the relative yield distributions of sputtered clusters are strongly correlated with the total sputtering yield in a way that higher yields lead to higher abundances of large clusters. By using heavy projectile ions (Xe + ) in connection with bombarding energies up to 15 keV, we have been able to detect sputtered neutral silver clusters containing up to about 60 atoms. For cluster sizes above 40 atoms, doubly charged species are shown to be produced in the photoionization process with non-negligible efficiency. From a direct comparison of secondary neutral and ion yields, the ionization probability of sputtered clusters is determined as a function of the cluster size. It is demonstrated that even the largest silver clusters are still predominantly sputtered as neutrals

  12. Influence of ion/atom arrival ratio on structure and optical properties of AlN films by ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Jian-ping [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Fu, Zhi-qiang, E-mail: fuzq@cugb.edu.cn [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Liu, Xiao-peng [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); Yue, Wen; Wang, Cheng-biao [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China)

    2014-10-30

    Highlights: • AlN films were fabricated by dual ion beam sputtering. • Chemical bond status and phase composition of the films were studied by XPS and XRD. • Optical constants were measured by spectroscopic ellipsometry. • Influence of ion/atom arrival ratio on the films was studied. - Abstract: In order to improve the optical properties of AlN films, the influence of the ion/atom arrival ratio on the structure and optical characteristics of AlN films deposited by dual ion beam sputtering was studied by using X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy, spectroscopic ellipsometry and UV–vis spectroscopy. The films prepared at the ion/atom arrival ratio of 1.4 are amorphous while the crystalline quality is improved with the increase of the ion/atom arrival ratio. The films deposited at the ion/atom arrival ratio of no less than 1.8 have an approximately stoichiometric ratio and mainly consist of aluminum nitride with little aluminum oxynitride, while metallic aluminum component appears in the films deposited at the ion/atom arrival ratio of 1.4. When the ion/atom arrival ratio is not less than 1.8, films are smooth, high transmitting and dense. The films prepared with high ion/atom arrival ratio (≥1.8) display the characteristic of a dielectric. The films deposited at the ion/atom arrival ratio of 1.4 are coarse, opaque and show characteristic of cermet.

  13. A current induced diffusion model of gas sputtering

    International Nuclear Information System (INIS)

    Hotston, E.S.

    1980-01-01

    A model is proposed to explain the experimental results on deuteron trapping in stainless steel targets at low temperatures carried out at Garching and Culham. The model proposes that the ions are trapped in two kinds of sites: Deep sites with high activation energy and shallow sites of low activation energy. Trapped deuterons reach the surface of the target by being expelled from shallow sites by the action of the ion beam and migrate to nearby sites in a random way, thus moving by a bombardment induced diffusion. Ions diffusing to the target surface and being released are said to be sputtered from the target. It has been necessary to assume numerical values for sizes of some of the processes which occur. With a suitable choice of values the model successfully predicts the numbers of deuterons trapped per unit area of the target, the obserbed density profile of the trapped ions and the threshold at which sputtering starts. The model also successfully describes the replacement of the trapped deuterons by protons, when the deuteron beam is replaced by a proton beam. The collision cross-section for beam ions and ions trapped in shallow sites is too large, 4 x 10 -13 cm 2 , for a binary collision and it is tentatively suggested that the ions in the shallow sites may be in small voids in the target which may be connected with blister formation. Comparison of the present model with one being developed to describe the trapping of deuterons in carbon suggests that it may be possible to describe all gas sputtering experiments in terms of diffusion processes. (orig.)

  14. Sputtering of solid nitrogen by keV helium ions

    DEFF Research Database (Denmark)

    Ellegaard, O.; Schou, Jørgen; Sørensen, H.

    1993-01-01

    Solid nitrogen has become a standard material among the frozen molecular gases for electronic sputtering. We have combined measurements of sputtering yields and energy spectra from nitrogen bombarded by 4-10 keV helium ions. The data show that the erosion is electronic rather than knockon...

  15. Energy dependence of ion-induced sputtering yields from monoatomic solids at normal incidence

    International Nuclear Information System (INIS)

    Yamamura, Yasunori; Tawara, Hiro.

    1995-03-01

    The yields of the ion-induced sputtering from monoatomic solids at normal incidence for various ion-target combinations are presented graphically as a function of the incident ion energy. In order to fill the lack of the experimental data, the sputtering yields are also calculated by the Monte Carlo simulation code ACAT for some ion-target combinations. Each graph shows available experimental data points and the ACAT data, together with the sputtering yields calculated by the present empirical formula, whose parameters are determined by the best-fit to available data. (author)

  16. Sputtering calculations with the discrete ordinated method

    International Nuclear Information System (INIS)

    Hoffman, T.J.; Dodds, H.L. Jr.; Robinson, M.T.; Holmes, D.K.

    1977-01-01

    The purpose of this work is to investigate the applicability of the discrete ordinates (S/sub N/) method to light ion sputtering problems. In particular, the neutral particle discrete ordinates computer code, ANISN, was used to calculate sputtering yields. No modifications to this code were necessary to treat charged particle transport. However, a cross section processing code was written for the generation of multigroup cross sections; these cross sections include a modification to the total macroscopic cross section to account for electronic interactions and small-scattering-angle elastic interactions. The discrete ordinates approach enables calculation of the sputtering yield as functions of incident energy and angle and of many related quantities such as ion reflection coefficients, angular and energy distributions of sputtering particles, the behavior of beams penetrating thin foils, etc. The results of several sputtering problems as calculated with ANISN are presented

  17. High energy (MeV) ion beam modifications of sputtered MoS2 coatings on sapphire

    International Nuclear Information System (INIS)

    Bhattacharya, R.S.; Rai, A.K.; Erdemir, A.

    1991-01-01

    The present article reports on the results of our investigations of high-energy (MeV) ion irradiation on the microstructural and tribological properties of dc magnetron sputtered MoS 2 films. Films of thicknesses 500-7500 A were deposited on NaCl, Si and sapphire substrates and subsequently ion irradiated by 2 MeV Ag + ions at a dose of 5x10 15 cm -2 . Scanning and transmission electron microscopy. Rutherford backscattering and X-ray diffraction techniques were utilized to study the structural, morphological and compositional changes of the film due to ion irradiation. The friction coefficient and sliding life were determined by pin-on-disc tests. Both as-deposited and ion-irradiated films were found to be amorphous having a stoichiometry of MoS 1.8 . A low friction coefficient in the range 0.03-0.04 was measured for both as-deposited and ion-irradiated films. However, the sliding life of ion-irradiated film was found to increase more than tenfold compared to as-deposited films indicating improved bonding at the interface. (orig.)

  18. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  19. Electron and ion beam degradation effects in AES analysis of silicon nitride thin films

    International Nuclear Information System (INIS)

    Fransen, F.; Vanden Berghe, R.; Vlaeminck, R.; Hinoul, M.; Remmerie, J.; Maes, H.E.

    1985-01-01

    Silicon nitride films are currently investigated by AES combined with ion profiling techniques for their stoichiometry and oxygen content. During this analysis, ion beam and primary electron effects were observed. The effect of argon ion bombardment is the preferential sputtering of nitrogen, forming 'covalent' silicon at the surface layer (AES peak at 91 eV). The electron beam irradiation results in a decrease of the covalent silicon peak, either by an electron beam annealing effect in the bulk of the silicon nitride film, or by an ionization enhanced surface diffusion process of the silicon (electromigration). By the electron beam annealing, nitrogen species are liberated in the bulk of the silicon nitride film and migrate towards the surface where they react with the covalent silicon. The ionization enhanced diffusion originates from local charging of the surface, induced by the electron beam. (author)

  20. Investigation of the effect of the incorporated Fe atoms in the ion-beam induced nanopatterns on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Khanbabaee, Behnam; Biermanns, Andreas; Pietsch, Ullrich [Siegen Univ. (Germany). Festkoerperphysik; Cornejo, Marina; Frost, Frank [Leibniz-Institute fuer Oberflaechenmodifizierung e.V. (IOM), Leipzig (Germany)

    2012-07-01

    Ion beam erosion of semiconductor surfaces can modify the surface and depends on main sputtering parameters; different surface topographies such as ripple or dot like pattern are fabricated on the surface. Recent experiments have shown that the incorporation of foreign metallic atoms during the sputtering process plays a crucial role in pattern formation on surfaces. In the result of investigation we report on the depth profile of Fe atoms incorporated in sputtering process on Si(100) with low energy Kr ion beam. X-ray reflectivity (XRR) measurements determine the concentration profile of Fe atoms. X-ray absorption near edge spectroscopy (XANES) at the Fe K-edge (7112 eV) shows the formation of Fe rich silicide near surface region. X-ray photoelectron spectroscopy (XPS) shows a shift in the binding energy of Si2p levels at the surface compared top bulk confirming the formation of different phases of Fe-silicide on tope and below the surface. The depth profiles obtained by XRR are compared to results obtained by complementary secondary-ion mass spectrometry (SIMS).

  1. Effect of Annealing Temperature and Oxygen Flow in the Properties of Ion Beam Sputtered SnO—2x Thin Films

    Directory of Open Access Journals (Sweden)

    Chun-Min Wang

    2015-08-01

    Full Text Available Tin oxide (SnO2—x thin films were prepared under various flow ratios of O2/(O2 + Ar on unheated glass substrate using the ion beam sputtering (IBS deposition technique. This work studied the effects of the flow ratio of O2/(O2 + Ar, chamber pressures and post-annealing treatment on the physical properties of SnO2 thin films. It was found that annealing affects the crystal quality of the films as seen from both X-ray diffraction (XRD and transmission electron microscopy (TEM analysis. In addition, the surface RMS roughness was measured with atomic force microscopy (AFM. Auger electron spectroscopy (AES analysis was used to obtain the changes of elemental distribution between tin and oxygen atomic concentration. The electrical property is discussed with attention to the structure factor.

  2. The sputtering of the deformed gold under irradiation with krypton swift heavy ions

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; Semina, V.K.; Hofman, A.

    2002-01-01

    The results about sputtering yield of gold irradiated by 86 Kr ions with high inelastic energy losses up to a fluence of 10 14 ion/cm 2 are presented. It was shown that the sputtering (evaporation) yield strongly depends on the initial defect concentration in gold. The sputtering yield begins to grow very strongly with the increasing of damage created by heavy ion elastic and inelastic energy losses. The temperature on the surface in the area around krypton ion trajectory is much higher than the melting and evaporation temperatures for gold as follows from calculations with the various expressions and models

  3. X-ray photoelectron spectroscopy investigation of ion beam sputtered indium tin oxide films as a function of oxygen pressure during deposition

    International Nuclear Information System (INIS)

    Nelson, A.J.; Aharoni, H.

    1987-01-01

    X-ray photoelectron spectroscopy analysis was performed on ion beam sputter deposited films of indium tin oxide as a function of O 2 partial pressure during deposition. The oxygen partial pressure was varied over the range of 2.5 x 10 -6 --4.0 x 10 -5 Torr. Changes in composition as well as in the deconvoluted In 3d 5 /sub // 2 , Sn 3d 5 /sub // 2 , and O 1s core level spectra were observed and correlated with the variation of the oxygen partial pressure during deposition. Results show that the films become increasingly stoichiometric as P/sub =/ is increased and that the excess oxygen introduced during deposition is bound predominantly to the Sn and has little or no effect on the In--O bonding

  4. Ripple coarsening on ion beam-eroded surfaces.

    Science.gov (United States)

    Teichmann, Marc; Lorbeer, Jan; Frost, Frank; Rauschenbach, Bernd

    2014-01-01

    The temporal evolution of ripple pattern on Ge, Si, Al 2 O 3, and SiO 2 by low-energy ion beam erosion with Xe (+) ions is studied. The experiments focus on the ripple dynamics in a fluence range from 1.1 × 10(17) cm(-2) to 1.3 × 10(19) cm(-2) at ion incidence angles of 65° and 75° and ion energies of 600 and 1,200 eV. At low fluences a short-wavelength ripple structure emerges on the surface that is superimposed and later on dominated by long wavelength structures for increasing fluences. The coarsening of short wavelength ripples depends on the material system and angle of incidence. These observations are associated with the influence of reflected primary ions and gradient-dependent sputtering. The investigations reveal that coarsening of the pattern is a universal behavior for all investigated materials, just at the earliest accessible stage of surface evolution.

  5. Study of uranium dioxyde sputtering induced by multicharged heavy ions at low and very low kinetic energy: projectile charge effect

    International Nuclear Information System (INIS)

    Haranger, F.

    2003-12-01

    Ion beam irradiation of a solid can lead to the emission of neutral or ionized atoms, molecules or clusters from the surface. This comes as a result of the atomic motion in the vicinity of the surface, induced by the transfer of the projectile energy. Then, the study of the sputtering process appears as a means to get a better understanding of the excited matter state around the projectile trajectory. In the case of slow multicharged ions, a strong electronic excitation can be achieved by the projectile neutralization above the solid surface and / or its deexcitation below the surface. Parallel to this, the slowing down of such ions is essentially related to elastic collision with the target atoms. The study of the effect of the initial charge state of slow multicharged ions, in the sputtering process, has been carried out by measuring the absolute angular distributions of emission of uranium atoms from a uranium dioxide surface. The experiments have been performed in two steps. First, the emitted particles are collected onto a substrate during irradiation. Secondly, the surface of the collectors is analyzed by Rutherford Backscattering Spectrometry (RBS). This method allows the characterization of the emission of neutrals, which are the vast majority of the sputtered particles. The results obtained provide an access to the evolution of the sputtering process as a function of xenon projectile ions charge state. The measurements have been performed over a wide kinetic energy range, from 81 down to 1.5 keV. This allowed a clear separation of the contribution of the kinetic energy and initial projectile charge state to the sputtering phenomenon. (author)

  6. Measurements of sputtering yields for low-energy plasma ions

    International Nuclear Information System (INIS)

    Nishi, M.; Yamada, M.; Suckewer, S.; Rosengaus, E.

    1979-04-01

    Sputtering yields of various wall/limiter materials of fusion devices have been extensively measured in the relevant plasma environment for low-energy light ions (E 14 cm -3 and electron temperature up to 10eV. Target materials used were C (graphite), Ti, Mo, Ta, W, and Fe (stainless steel). In order to study the dependence of the sputtering yields on the incident energy of ions, the target samples were held at negative bias voltage up to 300V. The sputtering yields were determined by a weight-loss method and by spectral line intensity measurements. The data obtained in the present experiment agree well with those previously obtained at the higher energies (E greater than or equal to 200eV) by other authors using different schemes; the present data also extend to substantially lower energies (E approx. > 30eV) than hitherto

  7. Study of Au- production in a plasma-sputter type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou.

    1991-10-01

    A negative ion source of plasma-sputter type has been constructed for the purpose of studying physical processes which take place in the ion source. Negative ions of gold are produced on the gold target which is immersed in an argon discharge plasma and biased negatively with respect to the plasma. The work function of the target surface was lowered by the deposition of Cs on the target. An in-situ method has been developed to determine the work function of the target surface in the ion source under discharge conditions. The observed minimum work function of a cesiated gold surface in an argon plasma was 1.3 eV, when the negative ion production rate took the maximum value. The production rate increased monotonically and saturated when the surface work function was reduced from 1.9 eV to 1.3 eV. The dependence of Au - production rate on the incident ion energy and on the number of the incident ion was studied. From the experimental results, it is shown that the sputtering process is an important physical process for the negative ion production in the plasma-sputter type negative ion source. The energy distribution function was also measured. When the bias voltage was smaller than 280 V, the high energy component in the distribution decreased as the target voltage was decreased. Therefore, the energy spread ΔE, of the observed negative ion energy distribution also decreased. This tendency is also seen in the energy spectrum of Cu atoms sputtered in normal direction by Ar + ions. (J.P.N.)

  8. Effect of Wall Material on H– Production in a Plasma Sputter-Type Ion Source

    Directory of Open Access Journals (Sweden)

    Y. D. M. Ponce

    2004-12-01

    Full Text Available The effect of wall material on negative hydrogen ion (H– production was investigated in a multicusp plasma sputter-type ion source (PSTIS. Steady-state cesium-seeded hydrogen plasma was generated by a tungsten filament, while H– was produced through surface production using a molybdenum sputter target. Plasma parameters and H– yields were determined from Langmuir probe and Faraday cup measurements, respectively. At an input hydrogen pressure of 1.2 mTorr and optimum plasma discharge parameters Vd = –90 V and Id = –2.25 A, the plasma parameters ne was highest and T–e was lowest as determined from Langmuir probe measurements. At these conditions, aluminum generates the highest ion current density of 0.01697 mA/cm2, which is 64% more than the 0.01085 mA/cm2 that stainless steel produces. The yield of copper, meanwhile, falls between the two materials at 0.01164 mA/cm2. The beam is maximum at Vt = –125 V. Focusing is achieved at VL = –70 V for stainless steel, Vt = –60 V for aluminum, and Vt = –50 V for copper. The results demonstrate that proper selection of wall material can greatly enhance the H– production of the PSTIS.

  9. Low-temperature growth of (2 1-bar 1-bar 0) ZnO nanofilm on NaCl (0 0 1) surface by ion beam sputtering

    International Nuclear Information System (INIS)

    Shen, Jung-Hsiung; Yeh, Sung-Wei; Huang, Hsing-Lu; Gan, Dershin

    2009-01-01

    ZnO nanofilm of the (2 1 -bar 1 -bar 0) surface was prepared by ion beam sputtering deposition. The nanofilm was prepared on NaCl (0 0 1) surface at 200 o C to produce nearly pure (2 1 -bar 1 -bar 0) ZnO texture and the orientation relationship was determined and the interface discussed. Transmission electron microscopy lattice images were used to find the interface formed between ZnO nanocrystals. The ZnO nanocrystals coalesced to form a straight (0 1 -bar 1 -bar 2) interface. The photoluminescence spectrum from the (2 1 -bar 1 -bar 0) ZnO surface showed only a near-band-edge UV emission peak.

  10. Ion bombardment modification of surfaces

    International Nuclear Information System (INIS)

    Auciello, O.

    1984-01-01

    An historical overview of the main advances in the understanding of bombardment-induced surface topography is presented. The implantation and sputtering mechanisms which are relevant to ion bombardment modification of surfaces and consequent structural, electronic and compositional changes are described. Descriptions of plasma and ion-beam sputtering-induced film formation, primary ion-beam deposition, dual beam techniques, cluster of molecule ion-beam deposition, and modification of thin film properties by ion bombardment during deposition are presented. A detailed account is given of the analytical and computational modelling of topography from the viewpoint of first erosion theory. Finally, an account of the possible application and/or importance of textured surfaces in technologies and/or experimental techniques not considered in previous chapters is presented. refs.; figs.; tabs

  11. ITO films realized at room-temperature by ion beam sputtering for high-performance flexible organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Lucas, B.; Rammal, W.; Moliton, A. [Limoges Univ., Faculte des Sciences et Techniques, CNRS, UMR 6172, Institut de Recherche XLIM, Dept. MINACOM, 87 - Limoges (France)

    2006-06-15

    Indium-tin oxide (ITO) thin layers are obtained by an IBS (Ion Beam Sputtering) deposition process. We elaborated ITO films on flexible substrates of polyethylene terephthalate (PET), under soft conditions of low temperatures and fulfilling the requirements of fabrication processes of the organic optoelectronic components. With a non thermally activated (20 Celsius degrees) ITO deposition assisted by an oxygen flow (1 cm{sup 3}/min), we got an optical transmittance of 90% in the visible range, a resistivity around 10{sup -3} {omega}.cm and a surface roughness lower than 1.5 mm. Thus we realized flexible organic light-emitting diodes (FOLEDs) with good performances: a maximum luminance of 12000 cd/m{sup 2} at a voltage of 19 V and a maximum luminous power efficiency around 1 lm/W at a voltage of 10 V (or a maximum current efficiency of 4 cd/A at 14 V) for the (PET(50 {mu}m) / ITO(200 nm) / TPD(40 nm) / Alq3(60 nm) / Ca / Al) structure. (authors)

  12. Low temperature growth of Co{sub 2}MnSi films on diamond semiconductors by ion-beam assisted sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Nishiwaki, M.; Ueda, K., E-mail: k-ueda@numse.nagoya-u.ac.jp; Asano, H. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-05-07

    High quality Schottky junctions using Co{sub 2}MnSi/diamond heterostructures were fabricated. Low temperature growth at ∼300–400 °C by using ion-beam assisted sputtering (IBAS) was necessary to obtain abrupt Co{sub 2}MnSi/diamond interfaces. Only the Co{sub 2}MnSi films formed at ∼300–400 °C showed both saturation magnetization comparable to the bulk values and large negative anisotropic magnetoresistance, which suggests half-metallic nature of the Co{sub 2}MnSi films, of ∼0.3% at 10 K. Schottky junctions formed using the Co{sub 2}MnSi films showed clear rectification properties with rectification ratio of more than 10{sup 7} with Schottky barrier heights of ∼0.8 eV and ideality factors (n) of ∼1.2. These results indicate that Co{sub 2}MnSi films formed at ∼300–400 °C by IBAS are a promising spin source for spin injection into diamond semiconductors.

  13. An overview of the facilities, activities, and developments at the University of North Texas Ion Beam Modification and Analysis Laboratory (IBMAL)

    Science.gov (United States)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.; Kummari, Venkata C.; Pandey, Bimal; Deoli, Naresh T.; Lakshantha, Wickramaarachchige J.; Mulware, Stephen J.; Baxley, Jacob; Manuel, Jack E.; Pacheco, Jose L.; Szilasi, Szabolcs; Weathers, Duncan L.; Reinert, Tilo; Glass, Gary A.; Duggan, Jerry L.; McDaniel, Floyd D.

    2013-07-01

    The Ion Beam Modification and Analysis Laboratory (IBMAL) at the University of North Texas includes several accelerator facilities with capabilities of producing a variety of ion beams from tens of keV to several MeV in energy. The four accelerators are used for research, graduate and undergraduate education, and industrial applications. The NEC 3MV Pelletron tandem accelerator has three ion sources for negative ions: He Alphatross and two different SNICS-type sputter ion sources. Presently, the tandem accelerator has four high-energy beam transport lines and one low-energy beam transport line directly taken from the negative ion sources for different research experiments. For the low-energy beam line, the ion energy can be varied from ˜20 to 80 keV for ion implantation/modification of materials. The four post-acceleration beam lines include a heavy-ion nuclear microprobe; multi-purpose PIXE, RBS, ERD, NRA, and broad-beam single-event upset; high-energy ion implantation line; and trace-element accelerator mass spectrometry. The NEC 3MV single-ended Pelletron accelerator has an RF ion source mainly for hydrogen, helium and heavier inert gases. We recently installed a capacitive liner to the terminal potential stabilization system for high terminal voltage stability and high-resolution microprobe analysis. The accelerator serves a beam line for standard RBS and RBS/C. Another beamline for high energy focused ion beam application using a magnetic quadrupole lens system is currently under construction. This beam line will also serve for developmental work on an electrostatic lens system. The third accelerator is a 200 kV Cockcroft-Walton accelerator with an RF ion source. The fourth accelerator is a 2.5 MV Van de Graaff accelerator, which was in operation for last several decades is currently planned to be used mainly for educational purpose. Research projects that will be briefly discussed include materials synthesis/modification for photonic, electronic, and

  14. An overview of the facilities, activities, and developments at the University of North Texas Ion Beam Modification and Analysis Laboratory (IBMAL)

    Energy Technology Data Exchange (ETDEWEB)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.; Kummari, Venkata C.; Pandey, Bimal; Deoli, Naresh T.; Lakshantha, Wickramaarachchige J.; Mulware, Stephen J.; Baxley, Jacob; Manuel, Jack E.; Pacheco, Jose L.; Szilasi, Szabolcs; Weathers, Duncan L.; Reinert, Tilo; Glass, Gary A.; Duggan, Jerry L.; McDaniel, Floyd D. [Ion Beam Modification and Analysis Laboratory, University of North Texas, Department of Physics, 1155 Union Circle 311427, Denton, Texas 76203 (United States)

    2013-07-03

    The Ion Beam Modification and Analysis Laboratory (IBMAL) at the University of North Texas includes several accelerator facilities with capabilities of producing a variety of ion beams from tens of keV to several MeV in energy. The four accelerators are used for research, graduate and undergraduate education, and industrial applications. The NEC 3MV Pelletron tandem accelerator has three ion sources for negative ions: He Alphatross and two different SNICS-type sputter ion sources. Presently, the tandem accelerator has four high-energy beam transport lines and one low-energy beam transport line directly taken from the negative ion sources for different research experiments. For the low-energy beam line, the ion energy can be varied from {approx}20 to 80 keV for ion implantation/modification of materials. The four post-acceleration beam lines include a heavy-ion nuclear microprobe; multi-purpose PIXE, RBS, ERD, NRA, and broad-beam single-event upset; high-energy ion implantation line; and trace-element accelerator mass spectrometry. The NEC 3MV single-ended Pelletron accelerator has an RF ion source mainly for hydrogen, helium and heavier inert gases. We recently installed a capacitive liner to the terminal potential stabilization system for high terminal voltage stability and high-resolution microprobe analysis. The accelerator serves a beam line for standard RBS and RBS/C. Another beamline for high energy focused ion beam application using a magnetic quadrupole lens system is currently under construction. This beam line will also serve for developmental work on an electrostatic lens system. The third accelerator is a 200 kV Cockcroft-Walton accelerator with an RF ion source. The fourth accelerator is a 2.5 MV Van de Graaff accelerator, which was in operation for last several decades is currently planned to be used mainly for educational purpose. Research projects that will be briefly discussed include materials synthesis/modification for photonic, electronic, and

  15. High energy Xe{sup +} ion beam induced ripple structures on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hanisch, Antje; Grenzer, Joerg; Facsko, Stefan; Winkler, Ingolf [Forschungszentrum Dresden-Rossendorf, Institute for Ion Beam Physics and Materials Research, Dresden (Germany); Biermanns, Andreas; Grigorian, Souren; Pietsch, Ullrich [University of Siegen (Germany). Institute of Physics

    2008-07-01

    Ion beam bombardment on semiconductor surfaces leads to well-defined morphological structures in the nanoscale range. Due to the impact of ions a self-organized wave-like surface structure develops. Ion bombardment causes an amorphization of a surface-adjacent layer of several nanometers and creates a periodical structure on the surface as well as at the amorphous-crystalline interface. We investigate the dependence of the periodicity on the crystallography of (100) silicon bombarded with Xe{sup +} ions, the ion beam incidence and the azimutal angle of the sample surface. So far we found that the ripple wavelength scales with the ion energy in a range of 5 to 70 keV. In order to understand the initiation of the ripple formation we also ask the question which role the initial surface structure plays. Therefore we investigate the formation of ripples on pre-structured and rough surfaces such as wafers with an intentional miscut. Therefore, we not only introduce a certain initial roughness but also vary the orientation of the (100) lattice plane in respect to the surface. We distinguish between ion beam induced surface effects (sputter erosion) and the influence of the crystalline Si lattice (strain) on the ripple formation.

  16. Production of Hydrated Metal Ions by Fast Ion or Atom Beam Sputtering. Collision-Induced Dissociation and Successive Hydration Energies of Gaseous Cu+ with 1-4 Water Molecules

    NARCIS (Netherlands)

    Magnera, Thomas F.; David, Donald E.; Stulik, Dusan; Orth, Robert G.; Jonkman, Harry T.; Michl, Josef

    1989-01-01

    Low-temperature sputtering of frozen aqueous solutions of metal salts, of hydrated crystalline transition-metal salts, of frosted metal surfaces, and of frosted metal salts with kiloelectronvolt energy rare gas atoms or ions produces copious amounts of cluster ions, among which M+(H2O)n and/or

  17. Industrial ion source technology

    Science.gov (United States)

    Kaufman, H. R.; Robinson, R. S.

    1978-01-01

    An analytical model was developed to describe the development of a coned surface texture with ion bombardment and simultaneous deposition of an impurity. A mathematical model of sputter deposition rate from a beveled target was developed in conjuction with the texturing models to provide an important input to that model. The establishment of a general procedure that will allow the treatment of manay different sputtering configurations is outlined. Calculation of cross sections for energetic binary collisions was extened to Ar, Kr.. and Xe with total cross sections for viscosity and diffusion calculated for the interaction energy range from leV to 1000eV. Physical sputtering and reactive ion etching experiments provided experimental data on the operating limits of a broad beam ion source using CF4 as a working gas to produce reactive species in a sputtering beam. Magnetic clustering effects are observed when Al is seeded with Fe and sputtered with Ar(?) ions. Silicon was textured at a micron scale by using a substrate temperature of 600 C.

  18. Ion-beam plasma and propagation of intense compensated ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Gabovich, M D [AN Ukrainskoj SSR, Kiev. Inst. Fiziki

    1977-02-01

    Discussed are the results of investigation of plasma properties received by neutralization of intense ion beam space charge. Considered is the process of ion beam compensation by charges, formed as a result of gas ionization by this beam or by externally introduced ones. Emphasis is placed on collective phenomena in ion-beam plasma, in particular on non-linear effects limiting amplitude of oscillations. It is shown that not only dynamic decompensation but the Coulomb collisions of ions with electrons as well as other collective oscillations significantly affects the propagation of compensated ion beams. All the processes are to be taken into account in solving the problem of obtaining ''superdense'' compensated beams.

  19. Ion-beam plasma and propagation of intense compensated ion beams

    International Nuclear Information System (INIS)

    Gabovich, M.D.

    1977-01-01

    Discussed are the results of investigation of plasma properties recieved by neutralization of intensive ion beam space charge. Considered is the process of ion beam compensation by charges, formed as a result of gas ionization by this beam or by externally introduced ones. Emphasis is placed on collective phenomena in ion-beam plasma, in particular on non-linear effects limiting amplitude of oscillations. It is shown, that not only dinamic decompensation but the Coulomb collisions of ions with electrons as well as other collective oscillations significantly affects the propagation of compensated ion beams. All the processes are to be taken into account at solving the problem of obtaining ''superdense'' compensated beams

  20. Contribution to the study of sputtering and damage of uranium dioxide by fast heavy ions

    International Nuclear Information System (INIS)

    Schlutig, S.

    2001-03-01

    Swift heavy ion-solid interaction leads in volume to track creation and on the surface to the ejection of particles into the vacuum. To learn more about initial mechanisms of track formation, we are focused on the sputtering of uranium dioxide by fast heavy ions. This present study is exclusively devoted to the influence of the electronic stopping power on the emission of neutral particles and especially on their angular distribution. These measurements are completed by those of the ions emitted from UO 2 targets bombarded with swift heavy ions. The whole experimental results give access to: i) the nature of the sputtered particles; ii) the charge state of the emitted particles; iii) the direction of ejection of the sputtered particles ; iv) the sputtering yields deduced from the angular distributions. These results are compared to the prediction of the sputtering models proposed in the literature and it seems that the supersonic gas flow model is well suited to describe our results. Finally, the sputtering yields are compared with a set of earlier experimental data on uranium dioxide damage obtained by T. Wiss and we observe that only a small fraction of UO 2 monolayers are sputtered. (author)

  1. Ion beam monitoring

    International Nuclear Information System (INIS)

    McKinney, C.R.

    1980-01-01

    An ion beam analyzer is specified, having an ion source for generating ions of a sample to be analyzed, means for extracting the sample ions, means for focusing the sample ions into a beam, separation means positioned along the ion beam for selectively deflecting species of ions, and means for detecting the selected species of ions. According to the specification, the analyzer further comprises (a) means for disabling at least a portion of the separation means, such that the ion beam from the source remains undeflected; (b) means located along the path of the undeflected ion beam for sensing the sample ions; and (c) enabling means responsive to the sensing means for automatically re-enabling the separation means when the sample ions reach a predetermined intensity level. (author)

  2. A new ion-beam laboratory for materials research at the Slovak University of Technology

    Science.gov (United States)

    Noga, Pavol; Dobrovodský, Jozef; Vaňa, Dušan; Beňo, Matúš; Závacká, Anna; Muška, Martin; Halgaš, Radoslav; Minárik, Stanislav; Riedlmajer, Róbert

    2017-10-01

    An ion beam laboratory (IBL) for materials research has been commissioned recently at the Slovak University of Technology within the University Science Park CAMBO located in Trnava. The facility will support research in the field of materials science, physical engineering and nanotechnology. Ion-beam materials modification (IBMM) as well as ion-beam analysis (IBA) are covered and deliverable ion energies are in the range from tens of keV up to tens of MeV. Two systems have been put into operation. First, a high current version of the HVEE 6 MV Tandetron electrostatic tandem accelerator with duoplasmatron and cesium sputtering ion sources, equipped with two end-stations: a high-energy ion implantation and IBA end-station which includes RBS, PIXE and ERDA analytical systems. Second, a 500 kV implanter equipped with a Bernas type ion source and two experimental wafer processing end-stations. The facility itself, operational experience and first IBMM and IBA experiments are presented together with near-future plans and ongoing development of the IBL.

  3. Pattern transfer on large samples using a sub-aperture reactive ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Mill, Agnes; Gerlach, Juergen W.; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2011-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using CF{sub 4} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot as well as an accumulation of carbon (up to 40 atomic percent) in the beam periphery, respectively. The substitution of CF{sub 4} by NF{sub 3} as reactive gas reveals a lot of benefits: more stable ion beam conditions in combination with a reduction of the beam size down to a diameter of 5 mm and a reduced amount of the Ni, Fe and Cr contaminations. However, a layer formation of silicon nitride handicaps the chemical contribution of the etching process. These negative side effects influence the transfer of trench structures on quartz by changing the selectivity due to altered chemical reaction of the modified resist layer. Concerning this we investigate the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  4. Collision cascades and sputtering induced by larger cluster ions

    International Nuclear Information System (INIS)

    Sigmund, P.

    1988-01-01

    Recent experimental work on larger cluster impact on solid surfaces suggests large deviations from the standard case of additive sputter yields both in the nuclear and electronic stopping regime. The paper concentrates on elastic collision cascades. In addition to very pronounced spike effects, two phenomena are pointed out that are specific to cluster bombardment. Multiple hits of cluster atoms on one and the same target atom may result in recoil atoms that move faster than the maximum recoil speed for monomer bombardment at the same projectile speed. This effect is important when the atomic mass of a beam atom is less than that of a target atom, M 1 2 . In the opposite case, M 1 >> M 2 , collisions between beam particles may accelerate some beam particles and slow down others. Some consequences are mentioned. Remarks on the nuclear stopping power of larger clusters and on electronic sputtering by cluster bombardment conclude the paper. 38 refs., 2 figs

  5. Ion source techniques for high-speed processing of material surface by ion beams

    International Nuclear Information System (INIS)

    Ishikawa, Junzo

    1990-01-01

    The present paper discusses some key or candidate techniques for future ion source development and such ion sources developed by the author. Several types of microwave ion sources for producing low charge state ions have been developed in Japan. When a microwave plasma cathode developed by the author is adapted to a Kaufman type ion source, the electron emission currents are found to be 2.5 A for argon gas and 0.5-0.9 A for oxygen gas. An alternative ionization method for metal atoms is strongly required for high-speed processing of material surface by metal-ion beams. Detailed discussion is made of collisional ionization of vaporized atoms, and negative-ion production (secondary negative-ion emission by sputtering). An impregnated electrode type liquid-metal ion source developed by the author, which has a porous tip structure, is described. The negative-ion production efficiency is quite high. The report also presents a neutral and ionized alkaline-metal bombardment type heavy negative-ion source, which consists of a cesium plasma ion source, suppressor, target electrode, negative-ion extraction electrode, and einzel lens. (N.K.)

  6. Atomic retention and near infrared photoluminescence from PbSe nanocrystals fabricated by sequential ion implantation and electron beam annealing

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.; Reeves, R.J.; Kennedy, J.; Fang, F.

    2013-01-01

    Nanocrystals of PbSe have been fabricated in a silicon dioxide matrix by sequential low energy ion implantation followed by an electron beam annealing step. Transmission electron microscopy reveals PbSe nanocrystals with typical sizes between 3 and 10 nm in the sub-surface region. Rutherford Backscattering Spectrometry has been used to study the total atomic retention, as a function of implanted atoms, following annealing. Photoluminescence was observed in various samples, at 4 K, as a broad peak between 1.4 and 2.0 μm, with observation of a dependence of the peak wavelength on annealing temperature. Room temperature photoluminescence was observed for samples with a high retention of implanted atoms, demonstrating the importance of nanocrystal density for achieving ambient temperature emission in these systems

  7. Photonic guiding structures in lithium niobate crystals produced by energetic ion beams

    Science.gov (United States)

    Chen, Feng

    2009-10-01

    A range of ion beam techniques have been used to fabricate a variety of photonic guiding structures in the well-known lithium niobate (LiNbO3 or LN) crystals that are of great importance in integrated photonics/optics. This paper reviews the up-to-date research progress of ion-beam-processed LiNbO3 photonic structures and reports on their fabrication, characterization, and applications. Ion beams are being used with this material in a wide range of techniques, as exemplified by the following examples. Ion beam milling/etching can remove the selected surface regions of LiNbO3 crystals via the sputtering effects. Ion implantation and swift ion irradiation can form optical waveguide structures by modifying the surface refractive indices of the LiNbO3 wafers. Crystal ion slicing has been used to obtain bulk-quality LiNbO3 single-crystalline thin films or membranes by exfoliating the implanted layer from the original substrate. Focused ion beams can either generate small structures of micron or submicron dimensions, to realize photonic bandgap crystals in LiNbO3, or directly write surface waveguides or other guiding devices in the crystal. Ion beam-enhanced etching has been extensively applied for micro- or nanostructuring of LiNbO3 surfaces. Methods developed to fabricate a range of photonic guiding structures in LiNbO3 are introduced. Modifications of LiNbO3 through the use of various energetic ion beams, including changes in refractive index and properties related to the photonic guiding structures as well as to the materials (i.e., electro-optic, nonlinear optic, luminescent, and photorefractive features), are overviewed in detail. The application of these LiNbO3 photonic guiding structures in both micro- and nanophotonics are briefly summarized.

  8. In situ study of interface reactions of ion beam sputter deposited (Ba0.5Sr0.5)TiO3 films on Si, SiO2, and Ir

    International Nuclear Information System (INIS)

    Gao, Y.; Mueller, A.H.; Irene, E.A.; Auciello, O.; Krauss, A.; Schultz, J.A.

    1999-01-01

    (Ba 0.5 ,Sr 0.5 )TiO 3 (BST) thin films were deposited on MgO, Si, SiO 2 and Ir surfaces by ion beam sputter deposition in oxygen at 700 degree C. In situ spectroscopic ellipsometry (SE) has been used to investigate the evolution of the BST films on different surfaces during both deposition and postannealing processes. First, the optical constants of the BST films in the photon energy range of 1.5 - 4.5 eV were determined by SE analysis on crystallized BST films deposited on MgO single crystal substrates. The interfaces in BST/Si and BST/SiO 2 /Si structure were examined by SE and Auger electron spectroscopy depth profiles. Subcutaneous oxidation in the BST/Ir structure was observed by in situ SE during both ion beam sputter deposition and postdeposition annealing in oxygen at 700 degree C. A study of the thermal stability of the Ir/TiN/SiO 2 /Si structure in oxygen at 700 degree C was carried out using in situ SE. The oxidation of Ir was confirmed by x-ray diffraction. The surface composition and morphology evolution after oxidation were investigated by time of flight mass spectroscopy of recoiled ions (TOF-MSRI) and atomic force microscopy. It has been found that Ti from the underlying TiN barrier layer diffused through the Ir layer onto the surface and thereupon became oxidized. It was also shown that the surface roughness increases with increasing oxidation time. The implications of the instability of Ir/TiN/SiO 2 /Si structure on the performance of capacitor devices based on this substrate are discussed. It has been shown that a combination of in situ SE and TOF-MSRI provides a powerful methodology for in situ monitoring of complex oxide film growth and postannealing processes. copyright 1999 American Vacuum Society

  9. Investigation of ion sputtering for eutectic Cu-37 at% Ag alloys

    International Nuclear Information System (INIS)

    Wang Zhenxia; Pan Jisheng; Zhang Jiping; Tao Zhenlan; Zhu Fuying; Zhao Lie; Zhang Huiming

    1994-01-01

    Angular distributions of sputtered atoms and the phenomenon of element locally rich relative to micro-topographic feature (ELR-MTF) of sputtered target surface have been investigated for Cu-37 at% Ag alloys by means of RBS, SEM and EPMA measurements. In the paper,emphasis will be put on the correlation between surface topography caused by Ar + ion bombardment with different doses and angular distribution of sputtered atoms ejecting from various micro-zones at topographical surface during sputtering. The experiment result was explained with the so-called ELR-MTF model which can qualitatively interpret the shape of the angular distributions and the variation of the preferential sputtering curves

  10. Laser sputter neutral mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    King, B.V.; Clarke, M.; Hu, H.; Betz [Newcastle Univ., NSW (Australia). Dept. of Physics

    1993-12-31

    Laser sputter neutral mass spectrometry (LSNMS) is an emerging technique for highly sensitive surface analysis. In this technique a target is bombarded with a pulsed beam of keV ions. The sputtered particles are intercepted by a high intensity pulsed laser beam above the surface and ionised with almost 100% efficiency. The photions may then be mass analysed using a quadrupole or, more commonly, using time of flight (TOF) techniques. In this method photoions are extracted from the ionisation region, accelerated to a known energy E{sub o} and strike a channelplate detector a distance `d` away. The flight time `t` of the photoions is then related to their mass by `d` {radical}m / {radical} 2E{sub o} so measurement of `t` allows mass spectra to be obtained. It is found that LSNMS is an emerging technique of great sensitivity and flexibility, useful for both applied analysis and to investigate basic sputtering processes. 4 refs., 3 figs.

  11. Laser sputter neutral mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    King, B V; Clarke, M; Hu, H; Betz, [Newcastle Univ., NSW (Australia). Dept. of Physics

    1994-12-31

    Laser sputter neutral mass spectrometry (LSNMS) is an emerging technique for highly sensitive surface analysis. In this technique a target is bombarded with a pulsed beam of keV ions. The sputtered particles are intercepted by a high intensity pulsed laser beam above the surface and ionised with almost 100% efficiency. The photions may then be mass analysed using a quadrupole or, more commonly, using time of flight (TOF) techniques. In this method photoions are extracted from the ionisation region, accelerated to a known energy E{sub o} and strike a channelplate detector a distance `d` away. The flight time `t` of the photoions is then related to their mass by `d` {radical}m / {radical} 2E{sub o} so measurement of `t` allows mass spectra to be obtained. It is found that LSNMS is an emerging technique of great sensitivity and flexibility, useful for both applied analysis and to investigate basic sputtering processes. 4 refs., 3 figs.

  12. Net sputtering rate due to hot ions in a Ne-Xe discharge gas bombarding an MgO layer

    International Nuclear Information System (INIS)

    Ho, S.; Tamakoshi, T.; Ikeda, M.; Mikami, Y.; Suzuki, K.

    2011-01-01

    An analytical method is developed for determining net sputtering rate for an MgO layer under hot ions with low energy ( h i , above a threshold energy of sputtering, E th,i , multiplied by a yield coefficient. The threshold energy of sputtering is determined from dissociation energy required to remove an atom from MgO surface multiplied by an energy-transfer coefficient. The re-deposition rate of the sputtered atoms is calculated by a diffusion simulation using a hybridized probabilistic and analytical method. These calculation methods are combined to analyze the net sputtering rate. Maximum net sputtering rate due to the hot neon ions increases above the partial pressure of 4% xenon as E h Ne becomes higher and decreases near the partial pressure of 20% xenon as ion flux of neon decreases. The dependence due to the hot neon ions on partial pressure and applied voltage agrees well with experimental results, but the dependence due to the hot xenon ions deviates considerably. This result shows that the net sputtering rate is dominated by the hot neon ions. Maximum E h Ne (E h Ne,max = 5.3 - 10.3 eV) is lower than E th,Ne (19.5 eV) for the MgO layer; therefore, weak sputtering due to the hot neon ions takes place. One hot neon ion sputters each magnesium and each oxygen atom on the surface and distorts around a vacancy. The ratio of the maximum net sputtering rate is approximately determined by number of the ions at E h i,max multiplied by an exponential factor of -E th,i /E h i,max .

  13. Modeling Solar-Wind Heavy-Ions' Potential Sputtering of Lunar KREEP Surface

    Science.gov (United States)

    Barghouty, A. F.; Meyer, F. W.; Harris, R. P.; Adams, J. H., Jr.

    2012-01-01

    Recent laboratory data suggest that potential sputtering may be an important weathering mechanism that can affect the composition of both the lunar surface and its tenuous exosphere; its role and implications, however, remain unclear. Using a relatively simple kinetic model, we will demonstrate that solar-wind heavy ions induced sputtering of KREEP surfaces is critical in establishing the timescale of the overall solar-wind sputtering process of the lunar surface. We will also also show that potential sputtering leads to a more pronounced and significant differentiation between depleted and enriched surface elements. We briefly discuss the impacts of enhanced sputtering on the composition of the regolith and the exosphere, as well as of solar-wind sputtering as a source of hydrogen and water on the moon.

  14. A description of a wide beam saddle field ion source used for nuclear target applications

    International Nuclear Information System (INIS)

    Greene, J.P.; Schiel, S.L.; Thomas, G.E.

    1997-01-01

    A description is given of a new, wide beam saddle field sputter source used for the preparation of targets applied in nuclear physics experiments. The ion source characteristics are presented and compared with published results obtained with other sources. Deposition rates acquired utilizing this source are given for a variety of target materials encountered in nuclear target production. New applications involving target thinning and ion milling are discussed

  15. Modelling of low energy ion sputtering from oxide surfaces

    International Nuclear Information System (INIS)

    Kubart, T; Nyberg, T; Berg, S

    2010-01-01

    The main aim of this work is to present a way to estimate the values of surface binding energy for oxides. This is done by fitting results from the binary collisions approximation code Tridyn with data from the reactive sputtering processing curves, as well as the elemental composition obtained from x-ray photoelectron spectroscopy (XPS). Oxide targets of Al, Ti, V, Nb and Ta are studied. The obtained surface binding energies are then used to predict the partial sputtering yields. Anomalously high sputtering yield is observed for the TiO 2 target. This is attributed to the high sputtering yield of Ti lower oxides. Such an effect is not observed for the other studied metals. XPS measurement of the oxide targets confirms the formation of suboxides during ion bombardment as well as an oxygen deficient surface in the steady state. These effects are confirmed from the processing curves from the oxide targets showing an elevated sputtering rate in pure argon.

  16. Electronic sputtering by swift highly charged ions of nitrogen on amorphous carbon

    International Nuclear Information System (INIS)

    Caron, M.; Haranger, F.; Rothard, H.; Ban d'Etat, B.; Boduch, P.; Clouvas, A.; Potiriadis, C.; Neugebauer, R.; Jalowy, T.

    2001-01-01

    Electronic sputtering with heavy ions as a function of both electronic energy loss dE/dx and projectile charge state q was studied at the French heavy ion accelerator GANIL. Amorphous carbon (untreated, and sputter-cleaned and subsequently exposed to nitrogen) was irradiated with swift highly charged ions (Z=6-73, q=6-54, energy 6-13 MeV/u) in an ultrahigh vacuum scattering chamber. The fluence dependence of ion-induced electron yields allows to deduce a desorption cross-section σ which varies approximately as σ∼(dE/dx) 1.65 or σ∼q 3.3 for sputter-cleaned amorphous carbon exposed to nitrogen. This q dependence is close to the cubic charge dependence observed for the emission of H + secondary ions which are believed to be emitted from the very surface. However, the power law σ∼(dE/dx) 1.65 , related to the electronic energy loss gives the best empirical description. The dependence on dE/dx is close to a quadratic one thus rather pointing towards a thermal evaporation-like effect

  17. Strong-field non-sequential ionization: The vector momentum distribution of multiply charged Ne ions

    International Nuclear Information System (INIS)

    Rottke, H.; Trump, C.; Wittmann, M.; Korn, G.; Becker, W.; Hoffmann, K.; Sandner, W.; Moshammer, R.; Feuerstein, B.; Dorn, A.; Schroeter, C.D.; Ullrich, J.; Schmitt, W.

    2000-01-01

    COLTRIMS (COLd Target Recoil-Ion Momentum Spectroscopy) was used to measure the vector momentum distribution of Ne n+ (n=1,2,3) ions formed in ultrashort (30 fsec) high-intensity (≅10 15 W/cm 2 ) laser pulses with center wavelength at 795 nm. To a high degree of accuracy the length of the Ne n+ ion momentum vector is equal to the length of the total momentum vector of the n photoelectrons released, with both vectors pointing into opposite directions. At a light intensity where non-sequential ionization of the atom dominates the Ne 2+ and Ne 3+ momentum distributions show distinct maxima at 4.0 a.u. and 7.5 a.u. along the polarization axis of the linearly polarized light beam. First, this is a clear signature of non-sequential multiple ionization. Second, it indicates that instantaneous emission of two (or more) electrons at electric field strength maxima of the light wave can be ruled out as main mechanism of non-sequential strong-field multiple ionization. In contrast, this experimental result is in accordance with the kinematical constraints of the 'rescattering model'

  18. Sputtering from swift-ion trails in LiF: A hybrid PIC/MD simulation

    Energy Technology Data Exchange (ETDEWEB)

    Cherednikov, Yaroslav; Sun, Si Neng; Urbassek, Herbert M., E-mail: urbassek@rhrk.uni-kl.de

    2013-11-15

    We model the sputtering of a LiF crystal induced by swift-ion impact. The impinging ion creates a trail of doubly ionized F{sup +} ions, while simultaneously the corresponding electrons are set free. Ions move according to molecular dynamics, while excited electrons are treated by a particle-in-cell scheme. We treat the recombination time of electrons as a free parameter in our model. We find that the energy distribution of sputtered ions consists of 2 groups: a low-energy group centered at <1 eV, and a high-energy group at 7–8 eV. Fast ions (mainly Li{sup +}) are emitted early; these charge the surface negatively. Later, larger cluster ions and also neutral LiF molecules are emitted. Emission occurs at low angles to the surface normal. A jet along the normal direction can be observed, which is due to the electric field building up at the track surface. With increasing recombination time, processes are colder; sputtering decreases and the non-thermal jet structure becomes stronger.

  19. MultiSIMNRA: A computational tool for self-consistent ion beam analysis using SIMNRA

    International Nuclear Information System (INIS)

    Silva, T.F.; Rodrigues, C.L.; Mayer, M.; Moro, M.V.; Trindade, G.F.; Aguirre, F.R.; Added, N.; Rizzutto, M.A.; Tabacniks, M.H.

    2016-01-01

    Highlights: • MultiSIMNRA enables the self-consistent analysis of multiple ion beam techniques. • Self-consistent analysis enables unequivocal and reliable modeling of the sample. • Four different computational algorithms available for model optimizations. • Definition of constraints enables to include prior knowledge into the analysis. - Abstract: SIMNRA is widely adopted by the scientific community of ion beam analysis for the simulation and interpretation of nuclear scattering techniques for material characterization. Taking advantage of its recognized reliability and quality of the simulations, we developed a computer program that uses multiple parallel sessions of SIMNRA to perform self-consistent analysis of data obtained by different ion beam techniques or in different experimental conditions of a given sample. In this paper, we present a result using MultiSIMNRA for a self-consistent multi-elemental analysis of a thin film produced by magnetron sputtering. The results demonstrate the potentialities of the self-consistent analysis and its feasibility using MultiSIMNRA.

  20. Changes in X-ray photoelectron spectra of yttria-tetragonal zirconia polycrystal by ion sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Eiko; Yoshinari, Masao [Tokyo Dental College, Oral Health Science Center, Tokyo, Chiyoda-ku (Japan)

    2016-04-15

    This paper reports changes in X-ray photoelectron spectroscopy spectra of yttria-tetragonal zirconia polycrystal (Y-TZP) brought about by Ar ion sputtering. The changes in the core-level spectra of Y-TZP suggest that preferential sputtering of oxygen occurred. A new peak was observed near 0 eV binding energy accompanied with changes in the core-level spectra by the sputtering. After 18 h in a high vacuum following the sputtering, the spectra changed by the sputtering were returned to their original shapes. In contrast, the color of Y-TZP was changed from white to pale brown by X-ray irradiation and was changed from pale brown to dark gray by ion sputtering. However, when the new peak near 0 eV decreased after 18 h, no color change was observed. Therefore, it is thought that the new peak was mainly derived from electrons trapped in various kinds of oxygen vacancies created by the sputtering in other than color centers. (orig.)

  1. In situ ion-beam analysis and modification of sol-gel zirconia thin films

    International Nuclear Information System (INIS)

    Levine, T.E.; Mayer, J.W.

    1995-01-01

    We report the investigation of ion-beam-induced densification of sol-gel zirconia thin films via in situ ion backscattering spectrometry. We have irradiated three regions of a sample with neon, argon, and krypton ions. For each ion species, a series of irradiation and analysis steps were performed using an interconnected 3 MV tandem accelerator. The technique offers the advantages of minimizing the variation of experimental parameters and sequentially monitoring the densification phenomenon with increasing ion dose

  2. Effect of argon ion sputtering of surface on hydrogen permeation through vanadium

    International Nuclear Information System (INIS)

    Yamawaki, Michio; Namba, Takashi; Yoneoka, Toshiaki; Kanno, Masayoshi; Shida, Koji.

    1983-01-01

    In order to measure the hydrogen permeation rate through V with atomically cleaned surface, an Ar ion sputtering apparatus has been installed in the hydrogen permeability measuring system. The permeation rate of the initial specimen was found to be increased by about one order of magnitude after Ar ion sputtering of its upstream side surface. Repeating of such a sputter-cleaning was not so much effective in increasing the steady state permeation rate as the initial sputtering was, but it accelerated the transient response rate by a factor of 2 or 3. The transient response rate was also accelerated by the increase of hydrogen pressure, but this effect tended to be diminished by the sputter-cleaning of specimen surface. The surface impurity layer on the downstream side of specimen was also inferred to act as a diffusion barrier affecting the steady state permeation rate. The present value of activation energy for hydrogen permeation through V at temperatures below 873K was the smallest one ever obtained, showing that the surface effect was minimized in the present study on account of the surface sputter-cleaning in addition to the ultra high vacuum system. (author)

  3. Friction of self-lubricating surfaces by ion beam techniques. Final technical report

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, R.S.; Rai, A.K.

    1992-05-01

    UES, Inc. conducted a research and development program designed to establish conditions for ion implantation/mixing of suitable additives into the surfaces of bulk ceramics and metals for obtaining self-lubricating low friction and wear characteristics. The substrates considered were ZrO{sub 2}, Al{sub 2}O{sub 3}, Si{sub 3}N{sub 4}, steel and Ni-base superalloy. The lubricant additives chosen were BaF{sub 2}/CaF{sub 2}Ag, MoS{sub 2}, WS{sub 2}and B{sub 2}O{sub 3}. The initial tasks of the program were to synthesis these lubricant compounds by co-implantation of constituent elements if sufficient beams of desired elements were obtained. The final tasks were to investigate high energy (MeV) ion mixing of deposited coatings as well as to investigate ion beam assisted deposition using low energy ion beams. It was shown that MoS{sub 2} can be synthesized by co-implantation of Mo{sup +} and S{sup +} in ceramic materials with appropriate choice of energies to obtain nearly overlapping depth profiles. The sliding life of DC magnetron sputtered MoS{sub 2} films of thicknesses {approximately}7500{Angstrom} on ceramic materials such as sapphire, Si{sub 3}N{sub 4} and ZrO{sub 3} were improved by ten to thousand fold after 2 Mev Ag{sup +} ion mixing. Ion beam assisted deposition (IBAD) and ion beam mixing were utilized to fabricate self-lubricating coatings of CaF{sub 2}/Ag and BaF/CaF{sub 2}/Ag composites.

  4. Investigation of the drastic change in the sputter rate of polymers at low ion fluence

    International Nuclear Information System (INIS)

    Zekonyte, J.; Zaporojtchenko, V.; Faupel, F.

    2005-01-01

    The polymer sputter rate dependence on ion fluence and ion chemistry (Ar, N 2 , O 2 ) at 1 keV energy was investigated using a quartz crystal microbalance (QCM) which allowed to do real time etch rate measurements and to study kinetics of sputtering. The obtained sputter rates differed drastically from polymer to polymer showing, that the chemical structure of polymer is an important factor in the polymer etch yield. A decrease in the sputter rate was observed up to ion fluence of 5 x 10 14 -5 x 10 15 cm -2 (depending on the polymer type and ion chemistry) followed by the saturation in the rate at prolonged ion bombardment. Polymer removal was accompanied by the formation of degradation products, cross-linking or branching, modification of the surface chemical structure, which was studied in situ using XPS. The dependence of the surface glass transition temperature, T gs on the ion fluence was studied using the method based on the embedding of metallic nanoparticles. The correlation between chemical yield data and ablation rate is discussed

  5. Spin pumping in ion-beam sputtered C o2FeAl /Mo bilayers: Interfacial Gilbert damping

    Science.gov (United States)

    Husain, Sajid; Kumar, Ankit; Barwal, Vineet; Behera, Nilamani; Akansel, Serkan; Svedlindh, Peter; Chaudhary, Sujeet

    2018-02-01

    The spin-pumping mechanism and associated interfacial Gilbert damping are demonstrated in ion-beam sputtered C o2FeAl (CFA)/Mo bilayer thin films employing ferromagnetic resonance spectroscopy. The dependence of the net spin-current transportation on Mo layer thickness, 0 to 10 nm, and the enhancement of the net effective Gilbert damping are reported. The experimental data have been analyzed using spin-pumping theory in terms of spin current pumped through the ferromagnet/nonmagnetic metal interface to deduce the real spin-mixing conductance and the spin-diffusion length, which are estimated to be 1.56 (±0.30 ) ×1019m-2 and 2.61 (±0.15 )nm , respectively. The damping constant is found to be 8.8 (±0.2 ) ×10-3 in the Mo(3.5 nm)-capped CFA(8 nm) sample corresponding to an ˜69 % enhancement of the original Gilbert damping 5.2 (±0.6 ) ×10-3 in the Al-capped CFA thin film. This is further confirmed by inserting the Cu dusting layer which reduces the spin transport across the CFA/Mo interface. The Mo layer thickness-dependent net spin-current density is found to lie in the range of 1 -4 MA m-2 , which also provides additional quantitative evidence of spin pumping in this bilayer thin-film system.

  6. Influence of the surface topography, morphology and structure on magnetic properties of ion beam sputtered iron layers, Fe/Cr/Fe- and Fe/MgO/Fe multilayers; Untersuchung der Morphologie und magnetische Eigenschaften von ionenstrahl-gesputterten Eisen-Einzelschichten, Fe/Cr/Fe- und Fe/MgO/Fe-Schichtsystemen

    Energy Technology Data Exchange (ETDEWEB)

    Steeb, Alexandra

    2007-04-05

    In this PhD Thesis, the influence of the surface topography, morphology and structure on magnetic properties of ion beam sputtered iron layers on GaAs is examined. To analyze the structure of the produced iron films, low energy electron diffraction and scanning tunneling microscopy is employed. The utilized methods to investigate the magnetic properties are Kerr- and SQUID-magnetometry and ferromagnetic resonance. It is demonstrated that on untreated as well as on presputtered and heated GaAs substrates the sputtered iron films grow epitaxially. The least surface roughness of 1 A exhibit iron films grown on untreated GaAs, while iron films on heated GaAs have the highest roughness of 30 A. The largest crystal anisotropy constant is found for the presputtered GaAs/Fe-System. For this preparation method, two monolayers of iron are determined to be magnetically dead layers. At a film thickness of 100 A, 83% of the value for saturation magnetization of bulk iron are achieved. The small observed FMR-linewidths confirm the good bulk properties of the ion beam sputtered iron. Furthermore, an antiferromagnetic interlayer exchange coupling in sputtered Fe/Cr/Fe-films was achieved. For a thickness of 12 to 17 A of the chrome interlayer, a coupling strength up to 0.2 mJ/m{sup 2} is found. To account for the small coupling strength, a strong intermixing at the interface is assumed. Finally, epitaxial Fe/MgO/Fe/FeMn multilayers are deposited on GaAs. After the structuring, it is possible to detect tunneling processes in the tunneling contacts with current-voltage measurements. The tunnel magneto resistance values of 2% are small, which can be explained by the absence of sharp, well-defined interfaces between the Fe/FeMn and the Fe/MgO interfaces. These results demonstrate, that analog to MBE the ion beam sputtering method realizes good magnetic bulk properties. However, interface sensitive phenomena are weakened because of a strong intermixing at the interfaces. (orig.)

  7. Energy dependence of sputtering yields of Be, Be-C and Be-W films by Be{sup +}-ions

    Energy Technology Data Exchange (ETDEWEB)

    Korshunov, S.N.; Guseva, M.I.; Gureev, V.M.; Neumoin, V.E.; Stoljarova, V.G. [Russian Research Center Kurchatov Inst., Moscow (Russian Federation)

    1998-01-01

    The energy dependence measurements of Be, Be-C and Be-W deposited layer sputtering yields by Be{sup +}-ions were performed. The ion energy was varied in the range (0.3-5.0) keV. The temperature in the process of irradiation was sustained at the level of 670 K. The mixed layers were prepared by simultaneous sputtering of pair targets, Be and C, Be and W, and Be-targets with Ar{sup +}- and Be{sup +}-ions and codeposition of the sputtered atoms on silicon collectors The codeposited layer thickness was changed in the range of (500-1000) nm. The content of oxigen in the Be, Be-C, Be-W deposited layers did not exceed 20 at.%. The mixed layer sputtering yields were compared with the experimental and calculated data, obtained for the self-sputtering yields of beryllium and carbon. It was found that the sputtering yields of the Be-C and Be deposited layers by Be{sup +}-ions in the energy range (0.3-5.0) keV are within the range between the corresponding self-sputtering yields for Be and C. The sputtering yields for the mixture Be-W are close to the corresponding self-sputtering yields of beryllium. (author)

  8. Thermomechanical response of Large Hadron Collider collimators to proton and ion beam impacts

    Directory of Open Access Journals (Sweden)

    Marija Cauchi

    2015-04-01

    Full Text Available The CERN Large Hadron Collider (LHC is designed to accelerate and bring into collision high-energy protons as well as heavy ions. Accidents involving direct beam impacts on collimators can happen in both cases. The LHC collimation system is designed to handle the demanding requirements of high-intensity proton beams. Although proton beams have 100 times higher beam power than the nominal LHC lead ion beams, specific problems might arise in case of ion losses due to different particle-collimator interaction mechanisms when compared to protons. This paper investigates and compares direct ion and proton beam impacts on collimators, in particular tertiary collimators (TCTs, made of the tungsten heavy alloy INERMET® 180. Recent measurements of the mechanical behavior of this alloy under static and dynamic loading conditions at different temperatures have been done and used for realistic estimates of the collimator response to beam impact. Using these new measurements, a numerical finite element method (FEM approach is presented in this paper. Sequential fast-transient thermostructural analyses are performed in the elastic-plastic domain in order to evaluate and compare the thermomechanical response of TCTs in case of critical beam load cases involving proton and heavy ion beam impacts.

  9. Novel uses of a wide beam saddle field ion source for producing targets used in nuclear physics experiments at the Argonne National Laboratory ATLAS facility

    International Nuclear Information System (INIS)

    Greene, J.P.; Thomas, G.E.

    1996-01-01

    The wide beam ion sputter source has several unique characteristics which make it very useful for producing, reducing the thickness or cleaning the surface of targets needed for nuclear physics experiments. A discussion of these techniques as well as the sputter source characteristics will be given. Sputter yields obtained utilizing the source are presented for a variety of materials common to nuclear target production

  10. Nanostructures by ion beams

    Science.gov (United States)

    Schmidt, B.

    Ion beam techniques, including conventional broad beam ion implantation, ion beam synthesis and ion irradiation of thin layers, as well as local ion implantation with fine-focused ion beams have been applied in different fields of micro- and nanotechnology. The ion beam synthesis of nanoparticles in high-dose ion-implanted solids is explained as phase separation of nanostructures from a super-saturated solid state through precipitation and Ostwald ripening during subsequent thermal treatment of the ion-implanted samples. A special topic will be addressed to self-organization processes of nanoparticles during ion irradiation of flat and curved solid-state interfaces. As an example of silicon nanocrystal application, the fabrication of silicon nanocrystal non-volatile memories will be described. Finally, the fabrication possibilities of nanostructures, such as nanowires and chains of nanoparticles (e.g. CoSi2), by ion beam synthesis using a focused Co+ ion beam will be demonstrated and possible applications will be mentioned.

  11. Charge-state related effects in sputtering of LiF by swift heavy ions

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Ludwig-Maximilians-Universität München, 85748 Garching (Germany); Ban-d' Etat, B. [Centre de Recherche sur les Ions, les Matériaux et la photonique, CIMAP-GANIL, CEA–CNRS–ENSICAEN–Univ. Caen, 14070 Caen (France); Bender, M. [GSI Helmholtzzentrum für Schwerionenforschung, 64291 Darmstadt (Germany); Boduch, P. [Centre de Recherche sur les Ions, les Matériaux et la photonique, CIMAP-GANIL, CEA–CNRS–ENSICAEN–Univ. Caen, 14070 Caen (France); Grande, P.L. [Univ. Fed. Rio Grande do Sul, BR-91501970 Porto Alegre, RS (Brazil); Lebius, H.; Lelièvre, D. [Centre de Recherche sur les Ions, les Matériaux et la photonique, CIMAP-GANIL, CEA–CNRS–ENSICAEN–Univ. Caen, 14070 Caen (France); Marmitt, G.G. [Univ. Fed. Rio Grande do Sul, BR-91501970 Porto Alegre, RS (Brazil); Rothard, H. [Centre de Recherche sur les Ions, les Matériaux et la photonique, CIMAP-GANIL, CEA–CNRS–ENSICAEN–Univ. Caen, 14070 Caen (France); Seidl, T.; Severin, D.; Voss, K.-O. [GSI Helmholtzzentrum für Schwerionenforschung, 64291 Darmstadt (Germany); Toulemonde, M., E-mail: toulemonde@ganil.fr [Centre de Recherche sur les Ions, les Matériaux et la photonique, CIMAP-GANIL, CEA–CNRS–ENSICAEN–Univ. Caen, 14070 Caen (France); Trautmann, C. [GSI Helmholtzzentrum für Schwerionenforschung, 64291 Darmstadt (Germany); Technische Universität Darmstadt, 64289 Darmstadt (Germany)

    2017-02-01

    Sputtering experiments with swift heavy ions in the electronic energy loss regime were performed by using the catcher technique in combination with elastic recoil detection analysis. The angular distribution of particles sputtered from the surface of LiF single crystals is composed of a jet-like peak superimposed on a broad isotropic distribution. By using incident ions of fixed energy but different charges states, the influence of the electronic energy loss on both components is probed. We find indications that isotropic sputtering originates from near-surface layers, whereas the jet component may be affected by contributions from depth up to about 150 nm.

  12. Ion beam induces nitridation of silicon

    International Nuclear Information System (INIS)

    Petravic, M.; Williams, J.S.; Conway, M.

    1998-01-01

    High dose ion bombardment of silicon with reactive species, such as oxygen and nitrogen, has attracted considerable interest due to possible applications of beam-induced chemical compounds with silicon. For example, high energy oxygen bombardment of Si is now routinely used to form buried oxide layers for device purposes, the so called SIMOX structures. On the other hand, Si nitrides, formed by low energy ( 100 keV) nitrogen beam bombardment of Si, are attractive as oxidation barriers or gate insulators, primarily due to the low diffusivity of many species in Si nitrides. However, little data exists on silicon nitride formation during bombardment and its angle dependence, in particular for N 2 + bombardment in the 10 keV range, which is of interest for analytical techniques such as SIMS. In SIMS, low energy oxygen ions are more commonly used as bombarding species, as oxygen provides stable ion yields and enhances the positive secondary ion yield. Therefore, a large body of data can be found in the literature on oxide formation during low energy oxygen bombardment. Nitrogen bombardment of Si may cause similar effects to oxygen bombardment, as nitrogen and oxygen have similar masses and ranges in Si, show similar sputtering effects and both have the ability to form chemical compounds with Si. In this work we explore this possibility in some detail. We compare oxide and nitride formation during oxygen and nitrogen ion bombardment of Si under similar conditions. Despite the expected similar behaviour, some large differences in compound formation were found. These differences are explained in terms of different atomic diffusivities in oxides and nitrides, film structural differences and thermodynamic properties. (author)

  13. The influence of target structure on topographical features produced by ion beam sputtering

    International Nuclear Information System (INIS)

    Whitton, J.L.; Grant, W.A.

    1981-01-01

    Ion beam erosion of solid surfaces often results in the development of distinctive topographical features. The relationship between the type of features formed by ion erosion and target structure has been investigated. Single crystals of copper and nickel and the amorphous alloy Metglas have been bombarded to high doses (approx. >=10 19 ions cm -2 ) with 40 keV Ar + and P + . Topography changes were monitored using SEM and structural changes by TEM. Targets that retain their long range crystallinity show sharply defined, regular features that are related to the target structure. Targets that are highly disordered, either intrinsically or as a result of the ion bombardment, produce diffuse, smaller features. Those differences are observed at all stages in topographical evolution. (orig.)

  14. Ion-assisted sputter deposition of molybdenum--silicon multilayers

    International Nuclear Information System (INIS)

    Vernon, S.P.; Stearns, D.G.; Rosen, R.S.

    1993-01-01

    X-ray multilayer (ML) structures that are fabricated by the use of magnetron-sputter deposition exhibit a degradation in structural quality as the deposition pressure is increased. The observed change in morphology is attributed to a reduced mobility of surface adsorbed atoms, which inhibits the formation of smooth, continuous layers. The application of a negative substrate bias produces ion bombardment of the growing film surface by sputtering gas ions extracted from the plasma and permits direct control of the energy density supplied to the film surface during thin-film growth. The technique supplements the energy lost to thermalization in high-pressure deposition and permits the fabrication of high-quality ML structures at elevated processing pressures. A threefold improvement in the soft-x-ray normal-incidence reflectance at 130 A results for substrate bias voltages of the order of ∼-150 V for Mo--Si ML's deposited at 10-mTorr Ar

  15. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  16. Properties of TiN coatings deposited by the method of condensation with ion bombardment accompanied by high-energy ion beam

    International Nuclear Information System (INIS)

    Obrezkov, O.I.; Vershok, B.A.; Dormashev, A.B.; Margulev, I.Ya.; Molchanova, S.A.; Andreev, E.S.; Dervuk, V.V.

    2002-01-01

    Vacuum-sputtering adapted commercial facility based coating of stainless steel with titanium nitride followed two procedures: ion bombardment condensation (IBC) and IBC under simultaneous effect of ion beam (IB). The deposition rate was equal to 0.1 μm min -1 ; the investigated coatings were characterized by 2.5 μm depth. Comparison analysis of features and characteristics of the specimens, as well as, full-scale tests of a coated cutting tool enabled to make conclusions about advantages of application of IB assisted IBC technology in contrast to the reference IBC technology [ru

  17. Effect of hydrogen ion beam treatment on Si nanocrystal/SiO_2 superlattice-based memory devices

    International Nuclear Information System (INIS)

    Fu, Sheng-Wen; Chen, Hui-Ju; Wu, Hsuan-Ta; Chuang, Bing-Ru; Shih, Chuan-Feng

    2016-01-01

    Graphical abstract: - Highlights: • Memory window and retention properties are improved employing HIBAS technique. • The O/Si ratio and radiative recombination are changed by HIBAS. • Memory properties are affected not only by Si NCs and O/Si ratio but also the RDCs. • The mechanism of hydrogen ion beam alters the memory properties is investigated. - Abstract: This study presents a novel route for synthesizing silicon-rich oxide (SRO)/SiO_2 superlattice-based memory devices with an improved memory window and retention properties. The SiO_2 and SRO superlattices are deposited by reactive sputtering. Specifically, the hydrogen ion beam is used to irradiate the SRO layer immediately after its deposition in the vacuum chamber. The use of the hydrogen ion beam was determined to increase oxygen content and the density of the Si nanocrystals. The memory window increased from 16 to 25.6 V, and the leakage current decreased significantly by two orders, to under ±20 V, for the hydrogen ion beam-prepared devices. This study investigates the mechanism into how hydrogen ion beam treatment alters SRO films and influences memory properties.

  18. Ion Beam Propulsion Study

    Science.gov (United States)

    2008-01-01

    The Ion Beam Propulsion Study was a joint high-level study between the Applied Physics Laboratory operated by NASA and ASRC Aerospace at Kennedy Space Center, Florida, and Berkeley Scientific, Berkeley, California. The results were promising and suggested that work should continue if future funding becomes available. The application of ion thrusters for spacecraft propulsion is limited to quite modest ion sources with similarly modest ion beam parameters because of the mass penalty associated with the ion source and its power supply system. Also, the ion source technology has not been able to provide very high-power ion beams. Small ion beam propulsion systems were used with considerable success. Ion propulsion systems brought into practice use an onboard ion source to form an energetic ion beam, typically Xe+ ions, as the propellant. Such systems were used for steering and correction of telecommunication satellites and as the main thruster for the Deep Space 1 demonstration mission. In recent years, "giant" ion sources were developed for the controlled-fusion research effort worldwide, with beam parameters many orders of magnitude greater than the tiny ones of conventional space thruster application. The advent of such huge ion beam sources and the need for advanced propulsion systems for exploration of the solar system suggest a fresh look at ion beam propulsion, now with the giant fusion sources in mind.

  19. Dynamic Secondary Ion Mass Spectrometry | Materials Science | NREL

    Science.gov (United States)

    Ion Mass Spectrometry (SIMS) uses a continuous, focused beam of primary ions to remove material from the surface of a sample by sputtering. The fraction of sputtered material that is ionized is extracted Identifies all elements or isotopes present in a material, from hydrogen to uranium. Different primary-ion

  20. Spacecraft-plasma-debris interaction in an ion beam shepherd mission

    Science.gov (United States)

    Cichocki, Filippo; Merino, Mario; Ahedo, Eduardo

    2018-05-01

    This paper presents a study of the interaction between a spacecraft, a plasma thruster plume and a free floating object, in the context of an active space debris removal mission based on the ion beam shepherd concept. The analysis is performed with the EP2PLUS hybrid code and includes the evaluation of the transferred force and torque to the target debris, its surface sputtering due to the impinging hypersonic ions, and the equivalent electric circuit of the spacecraft-plasma-debris interaction. The electric potential difference that builds up between the spacecraft and the debris, the ion backscattering and the backsputtering contamination of the shepherd satellite are evaluated for a nominal scenario. A sensitivity analysis is carried out to evaluate quantitatively the effects of electron thermodynamics, ambient plasma, heavy species collisions, and debris position.

  1. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  2. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  3. In situ crystallization of sputter-deposited TiNi by ion irradiation

    International Nuclear Information System (INIS)

    Ikenaga, Noriaki; Kishi, Yoichi; Yajima, Zenjiro; Sakudo, Noriyuki

    2013-01-01

    Highlights: ► We developed a sputtering deposition process equipped with an ion irradiation system. ► Ion irradiation enables crystallization at lower substrate temperature. ► Ion fluence has an effective range for low-temperature crystallization. ► Crystallized films made on polyimide by the process show the shape memory effect. -- Abstract: TiNi is well known as a typical shape-memory alloy, and the shape-memory property appears only when the structure is crystalline. Until recently, the material has been formed as amorphous film by single-target sputtering deposition at first and then crystallized by being annealed at high temperature over 500 °C. Therefore, it has been difficult to make crystalline TiNi film directly on a substrate of polymer-based material because of the low heat resistance of substrate. In order to realize an actuator from the crystallized TiNi film on polymer substrates, the substrate temperature should be kept below 200 °C throughout the whole process. In our previous studies we have found that deposited film can be crystallized at very low temperature without annealing but with simultaneous irradiation of Ar ions during sputter-deposition. And we have also demonstrated the shape-memory effect with the TiNi film made by the new process. In order to investigate what parameters of the process contribute to the low-temperature crystallization, we have focused to the ion fluence of the ion irradiation. Resultantly, it was found that the transition from amorphous structure to crystal one has a threshold range of ion fluence

  4. In-situ capability of ion beam modification and characterization of materials at Los Alamos National Laboratory

    International Nuclear Information System (INIS)

    Yu, N.; Nastasi, M.; Tesmer, J.R.; Hollander, M.G.; Evans, C.R.; Maggiore, C.J.; Levine, T.E.

    1994-01-01

    The capability of in-situ ion beam modification and characterization of materials developed at Los Alamos National Laboratory is described. A beam-line from a 3 MV tandem accelerator and a beam-line from a 200 kV ion implanter are joined together in an in-situ target chamber. The chamber is equipped with a cold and hot sample stage with a temperature range from -100 to 500 C. The angular (sample spin and basal rotation) motions and translational motions of the sample stage are controlled by a multi-axis goniometer. This chamber provides a unique capability to conduct a temperature dependent experiment of ion irradiation and sequential backscattering and channeling analysis. The efficiency and reliability of in-situ ion beam techniques are demonstrated by two examples, irradiation damage in (100) MgAl 2 O 4 spinel crystals and ion-beam-induced densification of zirconia sol-gel thin films

  5. Thermoelectric properties of bismuth antimony tellurium thin films through bilayer annealing prepared by ion beam sputtering deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Zhuang-hao [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Fan, Ping, E-mail: fanping308@126.com [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Luo, Jing-ting [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Cai, Xing-min; Liang, Guang-xing; Zhang, Dong-ping [College of Physics Science and Technology, Shenzhen University, 518060 (China); Ye, Fan [Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China)

    2014-07-01

    Bismuth antimony tellurium is one of the most important tellurium-based materials for high-efficient thermoelectric application. In this paper, ion beam sputtering was used to deposit Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} bilayer thin films on borosilicate substrates at room-temperature. Then the bismuth antimony tellurium thin films were synthesized via post thermal treatment of the Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} bilayer thin films. The effect of annealing temperature and compositions on the thermoelectric properties of the thin films was investigated. After the thin films were annealed from 150 °C to 350 °C for 1 h in the high vacuum condition, the Seebeck coefficient changed from a negative sign to a positive sign. The X-ray diffraction results showed that the synthesized tellurium-based thermoelectric thin film exhibited various alloys phases, which contributed different thermoelectricity conductivity to the synthesized thin film. The overall Seebeck coefficient of the synthesized thin film changed from negative sign to positive sign, which was due to the change of the primary phase of the tellurium-based materials at different annealing conditions. Similarly, the thermoelectric properties of the films were also associated with the grown phase. High-quality thin film with the Seebeck coefficient of 240 μV K{sup −1} and the power factor of 2.67 × 10{sup −3} Wm{sup −1} K{sup −2} showed a single Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} phase when the Sb/Te thin film sputtering time was 40 min. - Highlights: • Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} thermoelectric thin films synthesized via bilayer annealing • The film has single Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} phase with best thermoelectric performance. • The film has high thermoelectric properties comparable with other best results.

  6. High intensity negative proton beams from a SNICS ion source

    International Nuclear Information System (INIS)

    Evans, C.R.; Hollander, M.G.

    1991-01-01

    For the past year we have been involved in a project to develop an intense (> 100μA) negative proton beam from a SNICS (Source of Negative Ions by Cesium Sputtering) ion source. This report will cover how we accomplished and exceeded this goal by more than 40%. Included in these observations will be the following: A description of an effective method for making titanium hydride cathodes. How to overcome the limitations of the titanium hydride cathode. The modification of the SNICS source to improve output; including the installation of the conical ionizer and the gas cathode. A discussion of problems including: poisoning the proton beam with oxygen, alternative gas cathode materials, the clogging of the gas inlet, long burn-in times, and limited cathode life times. Finally, how to optimize source performance when using a gas cathode, and what is the mechanism by which a gas cathode operates; facts, fantasies, or myth

  7. An ion-sputtering gun to clean crystal surfaces in-situ in an ultra-high-vacuum electron microscope

    International Nuclear Information System (INIS)

    Morita, Etsuo; Takayanagi, Kunio; Kobayashi, Kunio; Yagi, Katsumichi; Honjo, Goro

    1980-01-01

    The design and performance of an ion-sputtering gun for cleaning crystal surfaces in-situ in an ultra-high-vacuum electron microscope are reported. The electron microscopic aspects of ion-bombardment damage to ionic magnesium oxide, covalent germanium and silicon, and metallic gold and copper crystals, and the effects of annealing after and during sputtering are described. The growth of various kinds of films deposited in-situ on crystals cleaned by ion-sputtering are described and discussed. (author)

  8. Direct growth of Ge quantum dots on a graphene/SiO2/Si structure using ion beam sputtering deposition.

    Science.gov (United States)

    Zhang, Z; Wang, R F; Zhang, J; Li, H S; Zhang, J; Qiu, F; Yang, J; Wang, C; Yang, Y

    2016-07-29

    The growth of Ge quantum dots (QDs) using the ion beam sputtering deposition technique has been successfully conducted directly on single-layer graphene supported by SiO2/Si substrate. The results show that the morphology and size of Ge QDs on graphene can be modulated by tuning the Ge coverage. Charge transfer behavior, i.e. doping effect in graphene has been demonstrated at the interface of Ge/graphene. Compared with that of traditional Ge dots grown on Si substrate, the positions of both corresponding photoluminescence (PL) peaks of Ge QDs/graphene hybrid structure undergo a large red-shift, which can probably be attributed to the lack of atomic intermixing and the existence of surface states in this hybrid material. According to first-principles calculations, the Ge growth on the graphene should follow the so-called Volmer-Weber mode instead of the Stranski-Krastanow one which is observed generally in the traditional Ge QDs/Si system. The calculations also suggest that the interaction between Ge and graphene layer can be enhanced with the decrease of the Ge coverage. Our results may supply a prototype for fabricating novel optoelectronic devices based on a QDs/graphene hybrid nanostructure.

  9. Tuning silver ion release properties in reactively sputtered Ag/TiOx nanocomposites

    Science.gov (United States)

    Xiong, J.; Ghori, M. Z.; Henkel, B.; Strunskus, T.; Schürmann, U.; Deng, M.; Kienle, L.; Faupel, F.

    2017-07-01

    Silver/titania nanocomposites with strong bactericidal effects and good biocompatibility/environmental safety show a high potential for antibacterial applications. Tailoring the silver ion release is thus highly promising to optimize the antibacterial properties of such coatings and to preserve biocompatibility. Reactive sputtering is a fast and versatile method for the preparation of such Ag/TiOx nanocomposites coatings. The present work is concerned with the influence of sputter parameters on the surface morphology and silver ion release properties of reactively sputtered Ag/TiOx nanocomposites coatings showing a silver nanoparticle size distribution in the range from 1 to 20 nm. It is shown that the silver ion release rate strongly depends on the total pressure: the coatings prepared at lower pressure present a lower but long-lasting release behavior. The much denser structure produced under these conditions reduces the transport of water molecules into the coating. In addition, the influence of microstructure and thickness of titanium oxide barriers on the silver ion release were investigated intensively. Moreover, for the coatings prepared at high total pressure, it was demonstrated that stable and long-lasting silver release can be achieved by depositing a barrier with a high rate. Nanocomposites produced under these conditions show well controllable silver ion release properties for applications as antibacterial coatings.

  10. Directed ion beam sputter etching of polytetrafluorethylene (teflon) using an argon ion source

    Energy Technology Data Exchange (ETDEWEB)

    Garner, C E; Gabriel, S B; Kuo, Y S

    1982-09-24

    Polytetrafluoroethylene (Teflon) tubes of outside diameter 375-625 ..mu..m were perforated by bombarding the tubes with an argon ion beam. Holes of diameter 18 ..mu..m and 40 ..mu..m on a side and open-are ratios of 55% and 65% respectively were formed using electroformed nickel mesh masks. Scanning electron micrographs of the hole walls reveal that they are relatively smooth and that the holes go completely through the tubing walls. Holes with the smoothest walls and the sharpest definition were obtained by using low beam power densities and a tubing target temperature of less than 50/sup 0/C. Volumetric flow rate measurements show that the flow rate through the perforated tubules is 0.2-0.5 cm/sup 3/ min/sup -1/ for a pressure drop across the tubes of 2.2 Torr. The perforated microtubules have an important application in medicine for sufferers of hydrocephalus, a malady which results in the build-up of cerebrospinal fluid in the brain. The perforated tubing is inserted into the ventricle and serves as a shunt by draining off the excess cerebrospinal fluid into another part of the brain, where the fluid is absorbed by normal processes.

  11. Sputtering of Lunar Regolith Simulant by Protons and Multicharged Heavy Ions at Solar Wind Energies

    International Nuclear Information System (INIS)

    Meyer, Fred W.; Harris, Peter R.; Taylor, C.N.; Meyer, Harry M. III; Barghouty, N.; Adams, J. Jr.

    2011-01-01

    We report preliminary results on sputtering of a lunar regolith simulant at room temperature by singly and multiply charged solar wind ions using quadrupole and time-of-flight (TOF) mass spectrometry approaches. Sputtering of the lunar regolith by solar-wind heavy ions may be an important particle source that contributes to the composition of the lunar exosphere, and is a possible mechanism for lunar surface ageing and compositional modification. The measurements were performed in order to assess the relative sputtering efficiency of protons, which are the dominant constituent of the solar wind, and less abundant heavier multicharged solar wind constituents, which have higher physical sputtering yields than same-velocity protons, and whose sputtering yields may be further enhanced due to potential sputtering. Two different target preparation approaches using JSC-1A AGGL lunar regolith simulant are described and compared using SEM and XPS surface analysis.

  12. Novel magnetic controlled plasma sputtering method

    International Nuclear Information System (INIS)

    Axelevich, A.; Rabinovich, E.; Golan, G.

    1996-01-01

    A novel method to improve thin film vacuum sputtering is presented. This method is capable of controlling the sputtering plasma via an external set of magnets, in a similar fashion to the tetrode sputtering method. The main advantage of the Magnetic Controlled Plasma Sputtering (MCPS) is its ability to independently control all deposition parameters without any interference or cross-talk. Deposition rate, using the MCPS, is found to be almost twice the rate of triode and tetrode sputtering techniques. Experimental results using the MCPS to deposit Ni layers are described. It was demonstrated that using the MCPS method the ion beam intensity at the target is a result of the interaction of a homogeneous external magnetic field and the controlling magnetic fields. The MCPS method was therefore found to be beneficial for the production of pure stoichiometric thin solid films with high reproducibility. This method could be used for the production of compound thin films as well. (authors)

  13. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Science.gov (United States)

    Lei, Hao; Wang, Meihan; Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka

    2013-11-01

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  14. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Hao, E-mail: haolei@imr.ac.cn [State Key Laboratory for Corrosion and Protection, Division of Surface Engineering of Materials, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Wang, Meihan [College of Mechanical Engineering, Shenyang University, Shenyang 110044 (China); Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka [Center for Hyper Media Research, Tokyo Polytechnic University, 1583 Iiyama, Atsugi, Kanagawa 243-0297 (Japan)

    2013-11-15

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  15. Atmosphere influence on in situ ion beam analysis of thin film growth

    International Nuclear Information System (INIS)

    Lin, Yuping; Krauss, A.R.; Gruen, D.M.; Chang, R.P.H.; Auciello, O.H.; Schultz, J.A.

    1994-01-01

    In situ, nondestructive surface characterization of thin-film growth processes in an environment of chemically active gas at pressures of several mTorr is required both for the understanding of growth processes in multicomponent films and layered heterostructures and for the improvement of process reproducibility and device reliability. The authors have developed a differentially pumped pulsed ion beam surface analysis system that includes ion scattering spectroscopy (ISS) and direct recoil spectroscopy (DRS), coupled to an automated ion beam sputter-deposition system (IBSD), to study film growth processes in an environment of chemically active gas, such as required for the growth of oxide, nitride, or diamond thin films. The influence of gas-phase scattering and gas-surface interactions on the ISS and DRS signal intensity and peak shape have been studied. From the intensity variation as a function of ambient gas pressure, the authors have calculated the mean free path and the scattering cross-section for a given combination of primary ion species and ambient gas. Depending on the system geometry and the combination of primary beam and background, it is shown that surface-specific data can be obtained during thin-film growth at pressures ranging from a few mtorr to approximately 1 Torr. Detailed information such as surface composition, structure, and film growth mechanism may be obtained in real-time, making ion beam analysis an ideal nondestructive, in situ probe of thin-film growth processes

  16. Sequential, progressive, equal-power, reflective beam-splitter arrays

    Science.gov (United States)

    Manhart, Paul K.

    2017-11-01

    The equations to calculate equal-power reflectivity of a sequential series of beam splitters is presented. Non-sequential optical design examples are offered for uniform illumination using diode lasers. Objects created using Boolean operators and Swept Surfaces can create objects capable of reflecting light into predefined elevation and azimuth angles. Analysis of the illumination patterns for the array are also presented.

  17. Ions extraction and collection using the RF resonance method and taking into consideration the sputtering loss

    International Nuclear Information System (INIS)

    Xie Guofeng; Wang Dewu; Ying Chuntong

    2005-01-01

    One-dimensional ions extraction and collection using the RF resonance method is studied by PIC-MCC simulation. The energy and angle distribution of extracted ions is recorded and the sputtering loss is calculated. The results show that compared with parallel electrode method, RF resonance method has advantages such as shorter extraction time, lower collision loss and sputtering loss and higher collection ratio; the extraction time and collision loss are decreased with increasing extraction voltage, but the sputtering loss increases and collection ratio decreases; collision loss is decreased with increasing magnetic field, but the sputtering loss increases and collection ratio decreases. (authors)

  18. Processing of La/sub 1.8/Sr/sub 0.2/CuO4 and YBa2Cu3O7 superconducting thin films by dual-ion-beam sputtering

    International Nuclear Information System (INIS)

    Madakson, P.; Cuomo, J.J.; Yee, D.S.; Roy, R.A.; Scilla, G.

    1988-01-01

    High quality La/sub 1.8/Sr/sub 0.2/CuO 4 and YBa 2 Cu 3 O 7 superconducting thin films, with zero resistance at 88 K, have been made by dual-ion-beam sputtering of metal and oxide targets at elevated temperatures. The films are about 1.0 μm thick and are single phase after annealing. The substrates investigated are Nd-YAP, MgO, SrF 2 , Si, CaF 2 , ZrO 2 -9% Y 2 O 3 , BaF 2 , Al 2 O 3 , and SrTiO 3 . Characterization of the films was carried out using Rutherford backscattering spectroscopy, resistivity measurements, transmission electron microscopy, x-ray diffraction, and secondary ion mass spectroscopy. Substrate/film interaction was observed in every case. This generally involves diffusion of the substrate into the film, which is accompanied by, for example, the replacement of Ba by Sr in the YBa 2 Cu 2 O 7 structure, in the case of SrTiO 3 substrate. The best substrates were those that did not significantly diffuse into the film and which did not react chemically with the film. In general, the superconducting transition temperature is found to depend on substrate temperature and ion beam energy, film composition, annealing conditions, and the nature and the magnitude of the substrate/film interaction

  19. A theoretical approach to sputtering due to molecular ion bombardment, 1

    International Nuclear Information System (INIS)

    Karashima, Shosuke; Ootoshi, Tsukuru; Kamiyama, Masahide; Kim, Pil-Hyon; Namba, Susumu.

    1981-01-01

    A shock wave model is proposed to explain theoretically the non-linear effects in sputtering phenomena by molecular ion bombardments. In this theory the sputtering processes are separated into two parts; one is due to linear effects and another is due to non-linear effects. The treatment of the linear parts is based on the statistical model by Schwarz and Helms concerning a broad range of atomic collision cascades. The non-linear parts are treated by the model of shock wave due to overlapping cascades, and useful equations to calculate the sputtering yields and the dynamical quantities in the system are derived. (author)

  20. Parallel detection, quantification, and depth profiling of peptides with dynamic-secondary ion mass spectrometry (D-SIMS) ionized by C{sub 60}{sup +}-Ar{sup +} co-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chi-Jen [Department of Materials Science and Engineering, National Taiwan University, Taipei 106, Taiwan (China); Chang, Hsun-Yun; You, Yun-Wen; Liao, Hua-Yang [Research Center for Applied Sciences, Academia Sinica, Taipei 115, Taiwan (China); Kuo, Yu-Ting; Kao, Wei-Lun; Yen, Guo-Ji; Tsai, Meng-Hung [Department of Materials Science and Engineering, National Taiwan University, Taipei 106, Taiwan (China); Shyue, Jing-Jong, E-mail: shyue@gate.sinica.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 106, Taiwan (China); Research Center for Applied Sciences, Academia Sinica, Taipei 115, Taiwan (China)

    2012-03-09

    profiling. This co-sputtering technique yielded steadier molecular ion intensities than when using a single C{sub 60}{sup +} beam. In other words, co-sputtering is suitable for the depth profiling of thick specimens. In addition, the smoother surface generated by co-sputtering yielded greater depth resolution than C{sub 60}{sup +} sputtering. Furthermore, because C{sub 60}{sup +} is responsible for generating the molecular ions, the dosage of the auxiliary Ar{sup +} does not significantly affect the quantification curves.

  1. Mechanisms of material removal and mass transport in focused ion beam nanopore formation

    Energy Technology Data Exchange (ETDEWEB)

    Das, Kallol, E-mail: das7@illinois.edu; Johnson, Harley T., E-mail: htj@illinois.edu [Department of Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, 1206 West Green Street, MC-244, Urbana, Illinois 61801 (United States); Freund, Jonathan B., E-mail: jbfreund@illinois.edu [Department of Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, 1206 West Green Street, MC-244, Urbana, Illinois 61801 (United States); Department of Aerospace Engineering, University of Illinois at Urbana-Champaign, 306 Talbot Laboratory, MC-236, 104 South Wright Street Urbana, Illinois 61801 (United States)

    2015-02-28

    Despite the widespread use of focused ion beam (FIB) processing as a material removal method for applications ranging from electron microscope sample preparation to nanopore processing for DNA sequencing, the basic material removal mechanisms of FIB processing are not well understood. We present the first complete atomistic simulation of high-flux FIB using large-scale parallel molecular dynamics (MD) simulations of nanopore fabrication in freestanding thin films. We focus on the root mechanisms of material removal and rearrangement and describe the role of explosive boiling in forming nanopores. FIB nanopore fabrication is typically understood to occur via sputter erosion. This can be shown to be the case in low flux systems, where individual ion impacts are sufficiently separated in time that they may be considered as independent events. But our detailed MD simulations show that in high flux FIB processing, above a threshold level at which thermal effects become significant, the primary mechanism of material removal changes to a significantly accelerated, thermally dominated process. Under these conditions, the target is heated by the ion beam faster than heat is conducted away by the material, leading quickly to melting, and then continued heating to nearly the material critical temperature. This leads to explosive boiling of the target material with spontaneous bubble formation and coalescence. Mass is rapidly rearranged at the atomistic scale, and material removal occurs orders of magnitude faster than would occur by simple sputtering. While the phenomenology is demonstrated computationally in silicon, it can be expected to occur at lower beam fluxes in other cases where thermal conduction is suppressed due to material properties, geometry, or ambient thermal conditions.

  2. Sputtering in a glow discharge ion source - pressure dependence: theory and experiment

    International Nuclear Information System (INIS)

    Mason, R.S.; Pichilingi, Melanie

    1994-01-01

    A simplified theoretical expression has been developed for a glow discharge to show how the average cathode erosion rate (expressed as the number of atoms per ion of the total bombarding flux) varies with primary sputter yield, pressure, 'diffusion length' and sputtered atom 'stopping' cross section. An inverse pressure dependence is predicted which correlates well with experiment in the 2 and He, tend to converge. It is suggested that this could be due to a change in the mechanism to self-sputtering. Under constant conditions, the erosion rates of different cathode materials still correlate quite well with the differences in their primary sputter yields. (author)

  3. Surrey Ion Beam Centre: the EPSRC MRF for ion beam applications - 01002

    International Nuclear Information System (INIS)

    Webb, R.P.

    2016-01-01

    The SIBC (Surrey Ion Beam Centre) is an element of the Virtual Ion Beam Centre that coordinates 3 U.K. experimental facilities: SIBC (University of Surrey) for implantation and ion beam applications, Miami and MEIS facility (University of Huddersfield) and gamma ray and neutron irradiation emulation facility (University of Manchester). The SIBC works actively with industry, developing bespoke processes and services, particularly for the photonics industry and provides ion beam facilities to about 20 companies across the world. It operates a stringent quality control program and is one of the few ion beam laboratories in the world to operate under ISO 9001 certification. The equipment of SIBC is presented and some applications of ion beam analysis concerning the identification of gunshot residues, the determination of the origin of a painting, the analysis of proteins are described. Different techniques such as PIXE (Particle Induced X-ray Emission), RBS (Rutherford Backscattering Spectroscopy), NRA (Nuclear Reaction Analysis), SIMS (Secondary Ion Mass Spectrometry) are also explained in the slides of the presentation that have been added at the end of the paper

  4. Ion beam neutralization with ferroelectrically generated electron beams

    Energy Technology Data Exchange (ETDEWEB)

    Herleb, U; Riege, H [European Organization for Nuclear Research, Geneva (Switzerland). LHC Division

    1997-12-31

    A technique for ion beam space-charge neutralization with pulsed electron beams is described. The intensity of multiply-charged ions produced with a laser ion source can be enhanced or decreased separately with electron beam trains of MHz repetition rate. These are generated with ferroelectric cathodes, which are pulsed in synchronization with the laser ion source. The pulsed electron beams guide the ion beam in a similar way to the alternating gradient focusing of charged particle beams in circular accelerators such as synchrotrons. This new neutralization technology overcomes the Langmuir-Child space-charge limit and may in future allow ion beam currents to be transported with intensities by orders of magnitude higher than those which can be accelerated today in a single vacuum tube. (author). 6 figs., 10 refs.

  5. Stress, microstructure and evolution under ion irradiation in thin films grown by ion beam sputtering: modelling and application to interfacial effects in metallic multilayers; Contraintes, microstructure et sollicitation sous irradiation aux ions de films minces elabores par pulverisation ionique: modelisation et application a l'etude des effets interfaciaux dans des multicouches metalliques

    Energy Technology Data Exchange (ETDEWEB)

    Debelle, A

    2006-09-15

    We have investigated the formation of the interfacial chemical mixing in Mo/Ni multilayers, and particularly the influence of ballistic effects during the growth. For this purpose, hetero-epitaxial b.c.c./f.c.c. Mo(110)/Ni(111) multilayers were grown by two deposition methods: thermal evaporation and direct ion beam sputtering. As a preliminary, an accurate description of the stress state in pure sputtered Mo thin films was required. Microstructural and stress state analyses were essentially carried out by X-ray diffraction, and ion irradiation was used as a powerful tool to control the stress level. We showed that thermal evaporated thin films exhibit a weak tensile growth stress ({approx} 0.6 GPa) that can be accounted for by the grain boundary relaxation model, whereas sputtered thin films develop large compressive growth stress (- 2 to - 4 GPa). This latter results from the bombardment of the growing film by the energetic particles involved during the sputtering process (atomic peening phenomenon), which induces the formation of defects in the layers, generating volume distortions. We thus developed a stress model that includes a hydrostatic stress component to account for these volume strains. This model allowed us to determine the 'unstressed and free of defects lattice parameter' a{sub 0}, solely linked to chemical effects. For epitaxial Mo layers, it was possible to separate coherency stress from growth stress due to their distinct kinetic evolution during ion irradiation. Therefore, the stress analysis enabled us to determine the a{sub 0} values in Mo sub-layers of Mo/Ni superlattices. A tendency to the formation of an interfacial alloy is observed independently of the growth conditions, which suggests that thermodynamic forces favour the exchange mechanism. However, the extent of the intermixing effect is clearly enhanced by ballistic effects. (author)

  6. Stress, microstructure and evolution under ion irradiation in thin films grown by ion beam sputtering: modelling and application to interfacial effects in metallic multilayers; Contraintes, microstructure et sollicitation sous irradiation aux ions de films minces elabores par pulverisation ionique: modelisation et application a l'etude des effets interfaciaux dans des multicouches metalliques

    Energy Technology Data Exchange (ETDEWEB)

    Debelle, A

    2006-09-15

    We have investigated the formation of the interfacial chemical mixing in Mo/Ni multilayers, and particularly the influence of ballistic effects during the growth. For this purpose, hetero-epitaxial b.c.c./f.c.c. Mo(110)/Ni(111) multilayers were grown by two deposition methods: thermal evaporation and direct ion beam sputtering. As a preliminary, an accurate description of the stress state in pure sputtered Mo thin films was required. Microstructural and stress state analyses were essentially carried out by X-ray diffraction, and ion irradiation was used as a powerful tool to control the stress level. We showed that thermal evaporated thin films exhibit a weak tensile growth stress ({approx} 0.6 GPa) that can be accounted for by the grain boundary relaxation model, whereas sputtered thin films develop large compressive growth stress (- 2 to - 4 GPa). This latter results from the bombardment of the growing film by the energetic particles involved during the sputtering process (atomic peening phenomenon), which induces the formation of defects in the layers, generating volume distortions. We thus developed a stress model that includes a hydrostatic stress component to account for these volume strains. This model allowed us to determine the 'unstressed and free of defects lattice parameter' a{sub 0}, solely linked to chemical effects. For epitaxial Mo layers, it was possible to separate coherency stress from growth stress due to their distinct kinetic evolution during ion irradiation. Therefore, the stress analysis enabled us to determine the a{sub 0} values in Mo sub-layers of Mo/Ni superlattices. A tendency to the formation of an interfacial alloy is observed independently of the growth conditions, which suggests that thermodynamic forces favour the exchange mechanism. However, the extent of the intermixing effect is clearly enhanced by ballistic effects. (author)

  7. Ion beam induced effects on the ferromagnetism in Pd nanoparticles

    International Nuclear Information System (INIS)

    Kulriya, P. K.; Mehta, B. R.; Agarwal, D. C.; Agarwal, Kanika; Kumar, Praveen; Shivaprasad, S. M.; Avasthi, D. K.

    2012-01-01

    Present study demonstrates the role of metal-insulator interface and ion irradiation induced defects on the ferromagnetic properties of the non-magnetic materials. Magnetic properties of the Pd nanoparticles(NPs) embedded in the a-silica matrix synthesized using atom beam sputtering technique, were determined using SQUID magnetometry measurements which showed that ferromagnetic response of Pd increased by 3.5 times on swift heavy ion(SHI) irradiation. The ferromagnetic behavior of the as-deposited Pd NPs is due to strain induced by the surrounding matrix and modification in the electronic structure at the Pd-silica interface as revealed by insitu XRD and XPS investigations, respectively. The defects created by the SHI bombardment are responsible for enhancement of the magnetization in the Pd NPs.

  8. Electron Beam Ion Sources

    CERN Document Server

    Zschornacka, G.; Thorn, A.

    2013-12-16

    Electron beam ion sources (EBISs) are ion sources that work based on the principle of electron impact ionization, allowing the production of very highly charged ions. The ions produced can be extracted as a DC ion beam as well as ion pulses of different time structures. In comparison to most of the other known ion sources, EBISs feature ion beams with very good beam emittances and a low energy spread. Furthermore, EBISs are excellent sources of photons (X-rays, ultraviolet, extreme ultraviolet, visible light) from highly charged ions. This chapter gives an overview of EBIS physics, the principle of operation, and the known technical solutions. Using examples, the performance of EBISs as well as their applications in various fields of basic research, technology and medicine are discussed.

  9. Ion beam diagnosis

    International Nuclear Information System (INIS)

    Strehl, P.

    1994-04-01

    This report is an introduction to ion beam diagnosis. After a short description of the most important ion beam parameters measurements of the beam current by means of Faraday cups, calorimetry, and beam current transformers and measurements of the beam profile by means of viewing screens, profile grids and scanning devices, and residual gas ionization monitors are described. Finally measurements in the transverse and longitudinal phase space are considered. (HSI)

  10. Energy dependence of angular distributions of sputtered particles by ion-beam bombardment at normal incidence

    International Nuclear Information System (INIS)

    Matsuda, Yoshinobu; Ueda, Yasutoshi; Uchino, Kiichiro; Muraoka, Katsunori; Maeda, Mitsuo; Akazaki, Masanori; Yamamura, Yasunori.

    1986-01-01

    The angular distributions of sputtered Fe-atoms were measured using the laser fluorescence technique during Ar-ion bombardment for energies of 0.6, 1, 2 and 3 keV at normal incidence. The measured cosine distribution at 0.6 keV progressively deviated to an over-cosine distribution at higher energies, and at 3 keV the angular distribution was an overcosine distribution of about 20 %. The experimental results agree qualitatively with calculations by a recent computer simulation code, ACAT. The results are explained by the competition between surface scattering and the effects of primary knock-on atoms, which tend to make the angular distributions over-cosine and under-cosine, respectively. (author)

  11. Ultraviolet optical and microstructural properties of MgF2 and LaF3 coatings deposited by ion-beam sputtering and boat and electron-beam evaporation

    Science.gov (United States)

    Ristau, Detlev; Gunster, Stefan; Bosch, Salvador; Duparre, Angela; Masetti, Enrico; Ferre-Borrull, Josep; Kiriakidis, George; Peiro, Francesca; Quesnel, Etienne; Tikhonravov, Alexander

    2002-06-01

    Single layers of MgF2 and LaF3 were deposited upon superpolished fused-silica and CaF2 substrates by ion-beam sputtering (IBS) as well as by boat and electron beam (e-beam) evaporation and were characterized by a variety of complementary analytical techniques. Besides undergoing photometric and ellipsometric inspection, the samples were investigated at 193 and 633 nm by an optical scatter measurement facility. The structural properties were assessed with atomic-force microscopy, x-ray diffraction, TEM techniques that involved conventional thinning methods for the layers. For measurement of mechanical stress in the coatings, special silicon substrates were coated and analyzed. The dispersion behavior of both deposition materials, which was determined on the basis of various independent photometric measurements and data reduction techniques, is in good agreement with that published in the literature and with the bulk properties of the materials. The refractive indices of the MgF2 coatings ranged from 1.415 to 1.440 for the wavelength of the ArF excimer laser (193 nm) and from 1.435 to 1.465 for the wavelength of the F2 excimer laser (157 nm). For single layers of LaF3 the refractive indices extended from 1.67 to 1.70 at 193 nm to approx1.80 at 157 nm. The IBS process achieves the best homogeneity and the lowest surface roughness values (close to 1 nmrms) of the processes compared in the joint experiment. In contrast to MgF2 boat and e-beam evaporated coatings, which exhibit tensile mechanical stress ranging from 300 to 400 MPa, IBS coatings exhibit high compressive stress of as much as 910 MPa. A similar tendency was found for coating stress in LaF3 single layers. Experimental results are discussed with respect to the microstructural and compositional properties as well as to the surface topography of the coatings.

  12. A Study on the Ion Beam Extraction using Duo-PiGatron Ion source for Vertical Type Ion Beam Facility

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Bom Sok; Lee, Chan young; Lee, Jae Sang [KAERI, Daejeon (Korea, Republic of)

    2015-05-15

    In Korea Multipurpose Accelerator Complex (KOMAC), we have started ion beam service in the new beam utilization building since March this year. For various ion beam irradiation services, we are developed implanters such as metal (150keV/1mA), gaseous (200keV/5mA) and high current ion beam facility (20keV/150mA). One of the new one is a vertical type ion beam facility without acceleration tube (60keV/20mA) which is easy to install the sample. After the installation is complete, it is where you are studying the optimal ion beam extraction process. Detailed experimental results will be presented. Vertical Type Ion Beam Facility without acceleration tube of 60keV 20mA class was installed. We successfully extracted 60keV 20mA using Duo- PiGatron Ion source for Vertical Type Ion Beam Facility. Use the BPM and Faraday-cup, is being studied the optimum conditions of ion beam extraction.

  13. Indium tin oxide surface smoothing by gas cluster ion beam

    CERN Document Server

    Song, J H; Choi, W K

    2002-01-01

    CO sub 2 cluster ions are irradiated at the acceleration voltage of 25 kV to remove hillocks on indium tin oxide (ITO) surfaces and thus to attain highly smooth surfaces. CO sub 2 monomer ions are also bombarded on the ITO surfaces at the same acceleration voltage to compare sputtering phenomena. From the atomic force microscope results, the irradiation of monomer ions makes the hillocks sharper and the surfaces rougher from 1.31 to 1.6 nm in roughness. On the other hand, the irradiation of CO sub 2 cluster ions reduces the height of hillocks and planarize the ITO surfaces as smooth as 0.92 nm in roughness. This discrepancy could be explained by large lateral sputtering yield of the cluster ions and re-deposition of sputtered particles by the impact of the cluster ions on surfaces.

  14. Wave packet study of the secondary emission of negatively charged, monoatomic ions from sputtered metals

    Energy Technology Data Exchange (ETDEWEB)

    Sindona, A. [Dipartimento di Fisica, Universita della Calabria, Via P. Bucci 31C, 87036 Rende (Italy) and Istituto Nazionale di Fisica Nucleare (INFN), Gruppo collegato di Cosenza, Via P. Bucci 31C, 87036 Rende (Italy)]. E-mail: sindona@fis.unical.it; Riccardi, P. [Dipartimento di Fisica, Universita della Calabria, Via P. Bucci 31C, 87036 Rende (Italy); Istituto Nazionale di Fisica Nucleare (INFN), Gruppo collegato di Cosenza, Via P. Bucci 31C, 87036 Rende (Italy); Maletta, S. [Dipartimento di Fisica, Universita della Calabria, Via P. Bucci 31C, 87036 Rende (Italy); Rudi, S.A. [Dipartimento di Fisica, Universita della Calabria, Via P. Bucci 31C, 87036 Rende (Italy); Istituto Nazionale di Fisica Nucleare (INFN), Gruppo collegato di Cosenza, Via P. Bucci 31C, 87036 Rende (Italy); Falcone, G. [Dipartimento di Fisica, Universita della Calabria, Via P. Bucci 31C, 87036 Rende (Italy); Istituto Nazionale di Fisica Nucleare (INFN), Gruppo collegato di Cosenza, Via P. Bucci 31C, 87036 Rende (Italy)

    2007-05-15

    Secondary emission of Ag{sup -} and Au{sup -} particles, following the sputtering of clean Ag(1 0 0) and Au(1 0 0) targets, respectively, is studied with a Crank-Nicholson wave-packet propagation method. A one-electron pseudo-potential is used to describe the plane metal surface, with a projected band gap, the ejected ion, whose charge state is investigated, and its nearest-neighbor substrate ion, put in motion by the collision cascade generated by the primary ion beam. Time-dependent Schroedinger equation is solved backwards in time to determine the evolution of the affinity orbital of the negative particles from an instant when they are unperturbed, at distances of the order of {approx}10{sup 2} a.u. from the surface, to the instant of ejection. The probability that a band electron will be eventually detected in affinity state of the ejected particle is, thus, calculated and compared with the result of another method based on the spectral decomposition of the one-electron Hamiltonian.

  15. Sputtering of molybdenum and tungsten nano rods and nodules irradiated with 150 eV argon ions

    International Nuclear Information System (INIS)

    Ghoniem, N.M.; Sehirlioglu, Alp; Neff, Anton L.; Allain, Jean-Paul; Williams, Brian; Sharghi-Moshtaghin, Reza

    2015-01-01

    Highlights: • The work was motivated by the idea of designing material surface architecture, using the CVD process, that can result in a reduction in the surface sputtering rate as compared to planar surfaces. • We present an experimental investigation of the effects of low energy (150 eV) Ar ions on surface sputtering, amorphization of near-surface layers, and the formation of surface ripples in Mo and W nano-rods and nano-nodules at room temperature. • We show that the sputtering rate decreases in all nano-architecture surfaces as compared to planar surfaces. • We discovered that energy deposition in the near surface layer in W leads to its amorphization at room temperature, to a depth of 5–10 nm. • We also show that surfaces of nano rods become rippled as a result of an ion-induced roughening instability. - Abstract: The influence of surface nano architecture on the sputtering and erosion of tungsten and molybdenum is discussed. We present an experimental investigation of the effects of low energy (150 eV) Ar ions on surface sputtering in Mo and W nano-rods and nano-nodules at room temperature. Measurements of the sputtering rate from Mo and W surfaces with nano architecture indicate that the surface topology plays an important role in the mechanism of surface erosion and restructuring. Chemical vapor deposition (CVD) is utilized as a material processing route to fabricate nano-architectures on the surfaces of W and Mo substrates. First, Re dendrites form as needles with cross-sections that have hexagonal symmetry, and are subsequently employed as scaffolding for further deposition of W and Mo to create nano rod surface architecture. The sputtering of surface atoms in these samples shows a marked dependence on their surface architecture. The sputtering rate is shown to decrease at normal ion incidence in all nano-architecture surfaces as compared to planar surfaces. Moreover, and unlike an increase in sputtering of planar crystalline surfaces, the

  16. Study of SiO2 surface sputtering by a 250-550 keV He+ ion beam during high-resolution Rutherford backscattering measurements

    International Nuclear Information System (INIS)

    Kusanagi, Susumu; Kobayashi, Hajime

    2006-01-01

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO 2 layer on a Si substrate when irradiated by 250-550 keV He + ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO 2 /Si substrate were also observed as a result of He + ion irradiation. These results lead to the conclusion that the SiO 2 surface was sputtered by He + ions in this energy range

  17. Microstructural evolution of reduced-activation martensitic steel under single and sequential ion irradiations

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Fengfeng [Key Laboratory of Artificial Micro- and Nano-structures of Ministry of Education, Hubei Nuclear Solid Physics Key Laboratory and School of Physics and Technology, Wuhan University, Wuhan 430072 (China); Guo, Liping, E-mail: guolp@whu.edu.cn [Key Laboratory of Artificial Micro- and Nano-structures of Ministry of Education, Hubei Nuclear Solid Physics Key Laboratory and School of Physics and Technology, Wuhan University, Wuhan 430072 (China); Jin, Shuoxue; Li, Tiecheng; Zheng, Zhongcheng [Key Laboratory of Artificial Micro- and Nano-structures of Ministry of Education, Hubei Nuclear Solid Physics Key Laboratory and School of Physics and Technology, Wuhan University, Wuhan 430072 (China); Yang, Feng; Xiong, Xuesong; Suo, Jinping [State Key Laboratory of Mould Technology, Institute of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2013-07-15

    Microstructural evolution of super-clean reduced-activation martensitic steels irradiated with single-beam (Fe{sup +}) and sequential-beam (Fe{sup +} plus He{sup +}) at 350 °C and 550 °C was studied. Sequential-beam irradiation induced smaller size and larger number density of precipitates compared to single-beam irradiation at 350 °C. The largest size of cavities was observed after sequential-beam irradiation at 550 °C. The segregation of Cr and W and depletion of Fe in carbides were observed, and the maximum depletion of Fe and enrichment of Cr occurred under irradiation at 350 °C.

  18. Beam-plasma instability in ion beam systems used in neutral beam generation

    International Nuclear Information System (INIS)

    Hooper, E.B. Jr.

    1977-02-01

    The beam-plasma instability is analyzed for the ion beams used for neutral beam generation. Both positive and negative ion beams are considered. Stability is predicted when the beam velocity is less than the electron thermal velocity; the only exception occurs when the electron density accompanying a negative ion beam is less than the ion density by nearly the ratio of electron to ion masses. For cases in which the beam velocity is greater than the electron thermal velocity, instability is predicted near the electron plasma frequency

  19. An inverted cylindrical sputter magnetron as metal vapor supply for electron cyclotron resonance ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Electron Beam and Plasma Technology, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Silze, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2014-05-15

    An inverted cylindrical sputter magnetron device has been developed. The magnetron is acting as a metal vapor supply for an electron cyclotron resonance (ECR) ion source. FEM simulation of magnetic flux density was used to ensure that there is no critical interaction between both magnetic fields of magnetron and ECR ion source. Spatially resolved double Langmuir probe and optical emission spectroscopy measurements show an increase in electron density by one order of magnitude from 1 × 10{sup 10} cm{sup −3} to 1 × 10{sup 11} cm{sup −3}, when the magnetron plasma is exposed to the magnetic mirror field of the ECR ion source. Electron density enhancement is also indicated by magnetron plasma emission photography with a CCD camera. Furthermore, photographs visualize the formation of a localized loss-cone - area, when the magnetron is operated at magnetic mirror field conditions. The inverted cylindrical magnetron supplies a metal atom load rate of R > 1 × 10{sup 18} atoms/s for aluminum, which meets the demand for the production of a milliampere Al{sup +} ion beam.

  20. Experiments on secondary ion emission with multicharged keV ion bombardement

    International Nuclear Information System (INIS)

    Della Negra, S.; Depauw, J.; Joret, H.; Le Beyec, Y.; Schweikert, E.A.

    1987-01-01

    An electron cyclotron resonance ion source was used to study the influence of the incident charge state of keV ions on secondary ion emission. The experiments were run with 18 keV Arn+ (1 < n < 11) beams produced by a minimafios source. Various types of targets were bombarded by the ion beam and the sputtered ionized species were identified by time of flight mass spectrometry. The experimental arrangement is detailed and preliminary results are indicated

  1. Mechanisms of elastic wave generation in solids by ion impact

    International Nuclear Information System (INIS)

    Deemer, B.; Murphy, J.; Claytor, T.

    1990-01-01

    This study is directed at understanding the mechanisms of acoustic signal generation by modulated beams of energetic ions as a function of ion energy. Interaction of ions with solids initiates a range of processes including sputtering, ion implantation, ionization, both internal and external, as well as thermal deposition in the solid. Accumulated internal stress also occurs by generation of dislocations resulting from, inelastic nuclear scattering of the incident ion beam. With respect to elastic wave generation, two potential mechanisms are thermoelastic induced stress and momentum transfer. The latter process includes contributions of momentum transfer from the incident beam and from ions ejected via sputtering. Other aspects of the generation process include the potential for shock wave generation since the mean particle velocity for a wide range of ion energies exceeds the velocity of sound in solids. This study seeks to distinguish the contribution of these mechanisms by studying the signature, angular distribution and energy dependence of the elastic wave response in the time domain and to use this information to understand technologically important processes such as implantation and sputtering

  2. Li ion transport in sputter deposited LiCoO{sub 2} thin films and glassy borate membranes

    Energy Technology Data Exchange (ETDEWEB)

    Stockhoff, Tobias; Gallasch, Tobias; Schmitz, Guido [Westfaelische Wilhelms-Universitaet Muenster, Institut fuer Materialphysik, Muenster (Germany)

    2010-07-01

    LiCoO{sub 2} membranes are key components of current battery technology. We investigate sputter-deposited thin films of these materials aiming at the application in all-solid-state thin film batteries. For this, LiCoO{sub 2} films (10-200 nm) were deposited onto ITO-coated glass substrates by ion beam sputtering. In addition, a part of these films are coated by an ion-conductive membrane of Li{sub 2}O-B{sub 2}O{sub 3} glasses in the thickness range of 50 to 300 nm. Structural, chemical and electrical properties of the layers are studied by means of TEM(EELS) and various electrical methods (cyclic voltammetry, chrono-amperometry/-potentiometry). Since the color of the LiCoO{sub 2} films changes from red-brown to grey during de-intercalation of Li and the substrate as well as the glassy membrane deposited on top are optical transparent, reversible Li de- and intercalation can be directly demonstrated and quantified by a measurement of light transmission through the layered system. Samples coated with an ion-conductive membrane reveal a characteristic delay in switching optical transparency which is due to the slower transport across the membrane. Varying the thickness of the glassy membrane, the d.c. ion-conductivity and permeation through the membrane is determined quantitatively. Using thin membranes in the range of a few tens of nanometers the critical current densities are way sufficient for battery applications.

  3. Biomaterials modification by ion beam

    International Nuclear Information System (INIS)

    Zhang Tonghe; Yi Zhongzhen; Zhang Xu; Wu Yuguang

    2001-01-01

    Ion beam technology is one of best ways for the modification of biomaterials. The results of ion beam modification of biomaterials are given. The method and results of improved biocompatibility are indicated by ion beam technology. The future development of ion beam modification of biomaterials is discussed

  4. Investigation of beam transmission in A 9SDH-2 3.0 MV NEC pelletron tandem accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Deoli, Naresh T.; Kummari, Venkata C.; Pacheco, Jose L.; Duggan, Jerome L.; Glass, Gary A.; McDaniel, Floyd D.; Reinert, Tilo; Rout, Bibhudutta; Weathers, Duncan L. [Ion Beam Modification And Analysis Laboratory, Department of Physics, University of North Texas, Denton, Texas 76203 (United States)

    2013-04-19

    Electrostatic tandem accelerators are widely used to accelerate ions for experiments in materials science such as high energy ion implantation, materials modification, and analyses. Many applications require high beam current as well as high beam brightness at the target; thus, maximizing the beam transmission through such electrostatic accelerators becomes important. The Ion Beam Modification and Analysis Laboratory (IBMAL) at University of North Texas is equipped with four accelerators, one of which is a 9SDH-2 3.0 MV National Electrostatic Corporation (NEC) Pelletron Registered-Sign tandem accelerator. The tandem accelerator is equipped with three ion sources: one radio frequency-He ion source (Alphatross) and two ion sources of Cs-sputter type, the SNICS II (Source of Negative Ions by Cesium Sputtering) and a Cs-sputter source for trace-element accelerator based mass spectrometry. This work presents a detailed study of the beam transmission of hydrogen, silicon, and silver ions through the accelerator using the SNICS ion source with injection energies ranging from 20 keV to 70 keV. The beam transmission is quantified for three different terminal voltages: 1.5 MV, 2.0 MV and 2.5 MV. For a given terminal voltage, it has been found that beam transmission is strongly dependent on the ion source injector potential. Details of experiments and data analysis are presented.

  5. Acceleration of 14C beams in electrostatic accelerators

    International Nuclear Information System (INIS)

    Rowton, L.J.; Tesmer, J.R.

    1981-01-01

    Operational problems in the production and acceleration of 14 C beams for nuclear structure research in Los Alamos National Laboratory's Van de Graaff accelerators are discussed. Methods for the control of contamination in ion sources, accelerators and personnel are described. Sputter source target fabrication techniques and the relative beam production efficiencies of various types of bound particulate carbon sputter source targets are presented

  6. Deuterium sputtering of Li and Li-O films

    Science.gov (United States)

    Nelson, Andrew; Buzi, Luxherta; Kaita, Robert; Koel, Bruce

    2017-10-01

    Lithium wall coatings have been shown to enhance the operational plasma performance of many fusion devices, including NSTX and other tokamaks, by reducing the global wall recycling coefficient. However, pure lithium surfaces are extremely difficult to maintain in experimental fusion devices due to both inevitable oxidation and codeposition from sputtering of hot plasma facing components. Sputtering of thin lithium and lithium oxide films on a molybdenum target by energetic deuterium ion bombardment was studied in laboratory experiments conducted in a surface science apparatus. A Colutron ion source was used to produce a monoenergetic, mass-selected ion beam. Measurements were made under ultrahigh vacuum conditions as a function of surface temperature (90-520 K) using x-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and temperature programmed desorption (TPD). Results are compared with computer simulations conducted on a temperature-dependent data-calibrated (TRIM) model.

  7. Recent negative ion source developments

    International Nuclear Information System (INIS)

    Alton, G.D.

    1978-01-01

    This report describes recent results obtained from studies associated with the development of negative ion sources which utilize sputtering in a diffuse cesium plasma as a means of ion beam generation. Data are presented which relate negative ion yield and important operational parameters such as cesium oven temperature and sputter probe voltage from each of the following sources: (1) A source based in principle according to the University of Aarhus design and (2) an axial geometry source. The important design aspects of the sources are given--along with a list of the negative ion intensities observed to date. Also a qualitative description and interpretation of the negative ion generation mechanism in sources which utilize sputtering in the presence of cesium is given

  8. Dynamics of beam-driven Langmuir and ion-acoustic waves including electrostatic decay

    International Nuclear Information System (INIS)

    Li, B.; Willes, A.J.; Robinson, P.A.; Cairns, I.H.

    2003-01-01

    The evolution of Langmuir waves and ion-acoustic waves stimulated by a hot electron beam in an initially homogeneous plasma is investigated numerically in time, position, and wave number space. Quasilinear interactions between the beam particles and Langmuir waves, nonlinear interactions between the Langmuir and ion-acoustic waves through Langmuir decay processes, and spontaneous emission are taken into account in the kinetic theory employed. For illustrative parameters of those in the solar wind near 1 a.u., nonlinear Langmuir decays are observed to transfer the beam-driven Langmuir waves rapidly out of resonance. The scattered Langmuir waves then undergo further decays, moving sequentially toward small wave numbers, until decay is kinematically prohibited. The main features of the evolution of Langmuir and ion-acoustic waves are spatially inhomogeneous. The scattered Langmuir spectra increase and eventually reach or exceed the beam-driven Langmuir spectra at a given spatial location (except in regions where further decays proceed). The ion-acoustic waves are relatively weak and subject to damping at the later stages of their evolution. The development of fine structures in the product Langmuir and ion-acoustic waves are observed, due to depletion of their energy by decay and dominant damping effects, respectively. The propagation of the beam is essentially unaffected by the operation of the decay process. The decay process is thus slaved to the primary beam-plasma evolution, as assumed in previous studies. A variation of the ratio of electron temperature to ion temperature is found to affect not only the ion-acoustic wave levels through effects on the damping rate, but also the dynamics of decay via effects on the decay rate. The latter was not addressed in previous studies. Furthermore, spontaneous emission of ion-acoustic waves is found to affect the dynamics of decay, thus its inclusion is necessary to correctly model the Langmuir and ion-acoustic spectra

  9. Reaching for highest ion beam intensities through laser ion acceleration and beam compression

    Energy Technology Data Exchange (ETDEWEB)

    Schumacher, Dennis; Brabetz, Christian; Blazevic, Abel; Bagnoud, Vincent; Weih, Simon [GSI Helmholtzzentrum fuer Schwerionenforschung (Germany); Jahn, Diana; Ding, Johannes; Roth, Markus [TU Darmstadt (Germany); Kroll, Florian; Schramm, Ulrich; Cowan, Tom [Helmholtzzentrum Dresden Rossendorf (Germany); Collaboration: LIGHT-Collaboration

    2016-07-01

    Laser ion acceleration provides access to ion sources with unique properties. To use these capabilities the LIGHT collaboration (Laser Ion Generation Handling and Transport) was founded. The aim of this collaboration is the beam transport and manipulation of laser accelerated ions with conventional accelerator structures. Therefor a dedicated beam line has been build up at GSI Helmholtzzentrum fuer Schwerionenforschung. With this beam line the manipulation of the transversal and also the longitudinal beam parameters has been achieved. It has been shown that laser generated ion beams can be transported over more than 6 meters and pulses shorter than 300 ps can be generated at this distance. This Talk will give an overview over the recent developments and plans of the LIGHT collaboration.

  10. Mechanical and tribological properties of ion beam-processed surfaces

    International Nuclear Information System (INIS)

    Kodali, P.

    1998-01-01

    The intent of this work was to broaden the applications of well-established surface modification techniques and to elucidate the various wear mechanisms that occur in sliding contact of ion-beam processed surfaces. The investigation included characterization and evaluation of coatings and modified surfaces synthesized by three surface engineering methods; namely, beam-line ion implantation, plasma-source ion implantation, and DC magnetron sputtering. Correlation among measured properties such as surface hardness, fracture toughness, and wear behavior was also examined. This dissertation focused on the following areas of research: (1) investigating the mechanical and tribological properties of mixed implantation of carbon and nitrogen into single crystal silicon by beam-line implantation; (2) characterizing the mechanical and tribological properties of diamond-like carbon (DLC) coatings processed by plasma source ion implantation; and (3) developing and evaluating metastable boron-carbon-nitrogen (BCN) compound coatings for mechanical and tribological properties. The surface hardness of a mixed carbon-nitrogen implant sample improved significantly compared to the unimplanted sample. However, the enhancement in the wear factor of this sample was found to be less significant than carbon-implanted samples. The presence of nitrogen might be responsible for the degraded wear behavior since nitrogen-implantation alone resulted in no improvement in the wear factor. DLC coatings have low friction, low wear factor, and high hardness. The fracture toughness of DLC coatings has been estimated for the first time. The wear mechanism in DLC coatings investigated with a ruby slider under a contact stress of 1 GPa was determined to be plastic deformation. The preliminary data on metastable BCN compound coatings indicated high friction, low wear factor, and high hardness

  11. Beam-Loss Induced Pressure Rise of LHC Collimator Materials Irradiated with 158 GeV/u $In^{49+}$ Ions at the CERN SPS

    CERN Document Server

    Mahner, Edgar; Hansen, Jan; Page, Eric; Vincke, Helmut H

    2004-01-01

    During heavy ion operation, large pressure rises, up to a few orders of magnitude, were observed at CERN, GSI, and BNL. The dynamic pressure rises were triggered by lost beam ions that impacted onto the vacuum chamber walls and desorbed about 1044 to 107 molecules per ion. The deterioration of the dynamic vacuum conditions can enhance charge-exchange beam losses and can lead to beam instabilities or even to beam abortion triggered by vacuum interlocks. Consequently, a dedicated measure-ment of heavy-ion induced molecular desorption in the GeV/u energy range is important for LHC ion operation. In 2003, a desorption experiment was installed at the SPS to measure the beam-loss induced pressure rise of potential LHC collimator materials. Samples of bare graphite, sputter coated (Cu, TiZrV) graphite, and 316 LN stainless steel, were irradiated under grazing angle with 158 GeV/u indium ions. After a description of the new experimental set-up, the results of the pressure rise measurements are presented, and the deri...

  12. The effect of substrate bias voltages on impact resistance of CrAlN coatings deposited by modified ion beam enhanced magnetron sputtering

    Science.gov (United States)

    Chunyan, Yu; Linhai, Tian; Yinghui, Wei; Shebin, Wang; Tianbao, Li; Bingshe, Xu

    2009-01-01

    CrAlN coatings were deposited on silicon and AISI H13 steel substrates using a modified ion beam enhanced magnetron sputtering system. The effect of substrate negative bias voltages on the impact property of the CrAlN coatings was studied. The X-ray diffraction (XRD) data show that all CrAlN coatings were crystallized in the cubic NaCl B1 structure, with the (1 1 1), (2 0 0) (2 2 0) and (2 2 2) diffraction peaks observed. Two-dimensional surface morphologies of CrAlN coatings were investigated by atomic force microscope (AFM). The results show that with increasing substrate bias voltage the coatings became more compact and denser, and the microhardness and fracture toughness of the coatings increased correspondingly. In the dynamic impact resistance tests, the CrAlN coatings displayed better impact resistance with the increase of bias voltage, due to the reduced emergence and propagation of the cracks in coatings with a very dense structure and the increase of hardness and fracture toughness in coatings.

  13. Investigation of argon ion sputtering on the secondary electron emission from gold samples

    Science.gov (United States)

    Yang, Jing; Cui, Wanzhao; Li, Yun; Xie, Guibai; Zhang, Na; Wang, Rui; Hu, Tiancun; Zhang, Hongtai

    2016-09-01

    Secondary electron (SE) yield, δ, is a very sensitive surface property. The values of δ often are not consistent for even identical materials. The influence of surface changes on the SE yield was investigated experimentally in this article. Argon ion sputtering was used to remove the contamination from the surface. Surface composition was monitored by X-ray photoelectron spectroscopy (XPS) and surface topography was scanned by scanning electron microscope (SEM) and atomic force microscope (AFM) before and after every sputtering. It was found that argon sputtering can remove contamination and roughen the surface. An ;equivalent work function; is presented in this thesis to establish the relationship between SE yield and surface properties. Argon ion sputtering of 1.5keV leads to a significant increase of so called ;work function; (from 3.7 eV to 6.0 eV), and a decrease of SE yield (from 2.01 to 1.54). These results provided a new insight into the influence of surface changes on the SE emission.

  14. The emittance and brightness characteristics of negative ion sources suitable for MeV ion implantation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1987-01-01

    This paper provides the description and beam properties of ion sources suitable for use with ion implantation devices. Particular emphasis is placed on the emittance and brightness properties of state-of-the-art, high intensity, negative ion sources based on the cesium ion sputter principle

  15. Internal Energies of Ion-Sputtered Neutral Tryptophan and Thymine Molecules Determined by Vacuum Ultraviolet Photoionization

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Jia; Takahashi, Lynelle; Wilson, Kevin R.; Leone, Stephen R.; Ahmed, Musahid

    2010-03-11

    Vacuum ultraviolet photoionization coupled to secondary neutral mass spectrometry (VUV-SNMS) of deposited tryptophan and thymine films are performed at the Chemical Dynamics Beamline. The resulting mass spectra show that while the intensity of the VUV-SNMS signal is lower than the corresponding secondary ion mass spectroscopy (SIMS) signal, the mass spectra are significantly simplified in VUV-SNMS. A detailed examination of tryptophan and thymine neutral molecules sputtered by 25 keV Bi3 + indicates that the ion-sputtered parent molecules have ~;;2.5 eV of internal energy. While this internal energy shifts the appearance energy of the photofragment ions for both tryptophan and thymine, it does not change the characteristic photoionizaton efficiency (PIE) curves of thymine versus photon energy. Further analysis of the mass spectral signals indicate that approximately 80 neutral thymine molecules and 400 tryptophan molecules are sputtered per incident Bi3 + ion. The simplified mass spectra and significant characteristic ion contributions to the VUV-SNMS spectra indicate the potential power of the technique for organic molecule surface analysis.

  16. Development of focused ion beam systems with various ion species

    International Nuclear Information System (INIS)

    Ji Qing; Leung, K.-N.; King, Tsu-Jae; Jiang Ximan; Appleton, Bill R.

    2005-01-01

    Conventional focused ion beam systems employ a liquid-metal ion source (LMIS) to generate high-brightness beams, such as Ga + beams. Recently there has been an increased need for focused ion beams in areas like biological studies, advanced magnetic-film manufacturing and secondary-ion mass spectroscopy (SIMS). In this article, status of development on focused ion beam systems with ion species such as O 2 + , P + , and B + will be reviewed. Compact columns for forming focused ion beams from low energy (∼3keV), to intermediate energy (∼35keV) are discussed. By using focused ion beams, a SOI MOSFET is fabricated entirely without any masks or resist

  17. Super TOF secondary ion mass spectroscopy using very highly charged primary ions up to Th70+

    International Nuclear Information System (INIS)

    Briere, M.A.; Schenkel, T.; Schneider, D.

    1995-01-01

    The LLNL Electron Beam Ion Trap (EBIT) has made low emittance beams of slow highly charged ions available for ion-solid interaction studies. Such interactions feature the dominance of electronic over collisional effects, and the shock waves generated by the ionized target atoms can desorb large numbers of large molecular species from the surface. This paper presents the first systematic study of the sputtering process due to the incidence of slow very highly charged ions; Th 70+ ions are extracted from EBIT at 7 keV * q and directed onto thin SiO 2 films on Si. Results suggest secondary ion yields of up to 25 per incident ion for Th 70+ (secondary ion yield is increased over that for singly or moderately charged ions). Correlations of the negative, positive, and negative cluster ion yields show promise for application of highly charged ion induced sputtering for enhanced sensitivity and quantitative (absolute) SIMS analysis of deep submicron scale surface layers and polymeric and biomolecular material analysis

  18. Advanced capabilities and applications of a sputter-RBS system

    International Nuclear Information System (INIS)

    Brijs, B.; Deleu, J.; Beyer, G.; Vandervorst, W.

    1999-01-01

    In previous experiments, sputter-RBS 1 has proven to be an ideal tool to study the interaction of low energy ions. This contribution employs the same methodology to identify surface contamination induced during sputtering and to the determine absolute sputter yields. In the first experiment ERDA analysis was used to study the evolution of Hydrogen contamination during sputter-RBS experiments. Since the determination of Hydrogen concentration in very thin near surface layers is frequently limited by the presence of a strong surface peak of Hydrogen originating from adsorbed contamination of the residual vacuum, removal of this contamination would increase the sensitivity for Hydrogen detection in the near sub surface drastically. Therefore low energy (12 keV) Argon sputtering was used to remove the Hydrogen surface peak. However enhanced Hydrogen adsorption was observed related to the Ar dose. This experiment shows that severe vacuum conditions and the use of high current densities/sputter yields are a prerequisite for an efficient detection of Hydrogen in the near surface layers. In the second experiment, an attempt was made to determine the sputter yield of Cu during low energy (12 keV) Oxygen bombardment. In order to determine the accumulated dose of the low energy ion beam, a separate Faraday cup in combination with a remote controlled current have been added to the existing sputter-RBS set-up. Alternating sputtering and RBS analysis seem to be an adequate tool for the determination of the absolute sputter yield of Cu and this as well in the as under steady state conditions

  19. Hot oxygen atoms: Their generation and chemistry. [Production by sputtering; reaction with butenes

    Energy Technology Data Exchange (ETDEWEB)

    Ferrieri, R.A.; Chu, Yung Y.; Wolf, A.P.

    1987-01-01

    Oxygen atoms with energies between 1 and 10 eV have been produced through ion beam sputtering from metal oxide targets. Argon ion beams were used on Ta/sub 2/O/sub 5/ and V/sub 2/O/sub 5/. Results show that some control may be exerted over the atom's kinetic energy by changing the target. Reactions of the hot O(/sup 3/P) with cis- and trans-butenes were investigated. (DLC)

  20. Beam brilliance investigation of high current ion beams at GSI heavy ion accelerator facility.

    Science.gov (United States)

    Adonin, A A; Hollinger, R

    2014-02-01

    In this work the emittance measurements of high current Ta-beam provided by VARIS (Vacuum Arc Ion Source) ion source are presented. Beam brilliance as a function of beam aperture at various extraction conditions is investigated. Influence of electrostatic ion beam compression in post acceleration gap on the beam quality is discussed. Use of different extraction systems (single aperture, 7 holes, and 13 holes) in order to achieve more peaked beam core is considered. The possible ways to increase the beam brilliance are discussed.

  1. A fast beam-ion instability

    Energy Technology Data Exchange (ETDEWEB)

    Stupakov, G V [Stanford Linear Accelerator Center, Menlo Park, CA (United States)

    1996-08-01

    The ionization of residual gas by an electron beam in an accelerator generates ions that can resonantly couple to the beam through a wave propagating in the beam-ion system. Results of the study of a beam-ion instability are presented for a multi-bunch train taking into account the decoherence of ion oscillations due to the ion frequency spread and spatial variation of the ion frequency. It is shown that the combination of both effects can substantially reduce the growth rate of the instability. (author)

  2. Ion cyclotron emission due to collective instability of fusion products and beam ions in TFTR and JET

    International Nuclear Information System (INIS)

    Dendy, R.O.; McClements, K.G.; Lashmore Davies, C.N.; Cottrell, G.A.; Majeski, R.; Cauffman, S.

    1995-01-01

    Ion cyclotron emission (ICE) has been observed from neutral beam heated TFTR and JET tritium experiments at sequential cyclotron harmonics of both fusion products and beam ions. The emission originates from the outer midplane plasma, where fusion products and beam ions are likely to have a drifting ring-type velocity-space distribution that is anisotropic and sharply peaked. Fusion product driven ICE can be attributed to the magnetoacoustic cyclotron instability, which involves the excitation of obliquely propagating waves on the fast Alfven/ion Bernstein branch at cyclotron harmonics of the fusion products. Differences between ICE observations in JET and TFTR appear to reflect the sensitivity of the instability growth rate to the ratio υ birth /c A , where υ birth is the fusion product birth speed and c A is the local Alfven speed: for fusion products in the outer midplane edge of TFTR supershots, υ birth A ; for alpha particles in the outer midplane edge of JET, the opposite inequality applies. If sub-Alfvenic fusion products are isotropic or have undergone even a moderate degree of thermalization, the magnetoacoustic instability cannot occur. In contrast, the super-Alfvenic alpha particles that are present in the outer midplane of JET can drive the magnetoacoustic cyclotron instability even if they are isotropic or have a relatively broad distribution of speeds. These conclusions may account for the observation that fusion product driven ICE in JET persists for longer than fusion product driven ICE in TFTR. A separate mechanism is proposed for the excitation of beam driven ICE in TFTR: electrostatic ion cyclotron harmonic waves, supported by strongly sub-Alfvenic beam ions, can be destabilized by a low concentration of such ions with a very anrrow spread of velocities in the parallel direction. 25 refs, 14 figs

  3. Neutralized ion beam modification of cellulose membranes for study of ion charge effect on ion-beam-induced DNA transfer

    Science.gov (United States)

    Prakrajang, K.; Sangwijit, K.; Anuntalabhochai, S.; Wanichapichart, P.; Yu, L. D.

    2012-02-01

    Low-energy ion beam biotechnology (IBBT) has recently been rapidly developed worldwide. Ion-beam-induced DNA transfer is one of the important applications of IBBT. However, mechanisms involved in this application are not yet well understood. In this study plasma-neutralized ion beam was applied to investigate ion charge effect on induction of DNA transfer. Argon ion beam at 7.5 keV was neutralized by RF-driven plasma in the beam path and then bombarded cellulose membranes which were used as the mimetic plant cell envelope. Electrical properties such as impedance and capacitance of the membranes were measured after the bombardment. An in vitro experiment on plasmid DNA transfer through the cellulose membrane was followed up. The results showed that the ion charge input played an important role in the impedance and capacitance changes which would affect DNA transfer. Generally speaking, neutral particle beam bombardment of biologic cells was more effective in inducing DNA transfer than charged ion beam bombardment.

  4. Investigation of argon ion sputtering on the secondary electron emission from gold samples

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jing; Cui, Wanzhao, E-mail: cuiwanzhao@126.com; Li, Yun; Xie, Guibai; Zhang, Na; Wang, Rui; Hu, Tiancun; Zhang, Hongtai

    2016-09-30

    Highlights: • An “equivalent work function” is presented in this thesis to establish the relationship between SE yield and surface properties. • After sputtering, A decrease of δmax and an increase of E1 were observed with increasing Eion. • Further sputtering at higher energies broaden the SE spectra, and the equivalent work function, ϕ, increase considerably to 12.6 eV. - Abstract: Secondary electron (SE) yield, δ, is a very sensitive surface property. The values of δ often are not consistent for even identical materials. The influence of surface changes on the SE yield was investigated experimentally in this article. Argon ion sputtering was used to remove the contamination from the surface. Surface composition was monitored by X-ray photoelectron spectroscopy (XPS) and surface topography was scanned by scanning electron microscope (SEM) and atomic force microscope (AFM) before and after every sputtering. It was found that argon sputtering can remove contamination and roughen the surface. An “equivalent work function” is presented in this thesis to establish the relationship between SE yield and surface properties. Argon ion sputtering of 1.5keV leads to a significant increase of so called “work function” (from 3.7 eV to 6.0 eV), and a decrease of SE yield (from 2.01 to 1.54). These results provided a new insight into the influence of surface changes on the SE emission.

  5. Investigation of argon ion sputtering on the secondary electron emission from gold samples

    International Nuclear Information System (INIS)

    Yang, Jing; Cui, Wanzhao; Li, Yun; Xie, Guibai; Zhang, Na; Wang, Rui; Hu, Tiancun; Zhang, Hongtai

    2016-01-01

    Highlights: • An “equivalent work function” is presented in this thesis to establish the relationship between SE yield and surface properties. • After sputtering, A decrease of δmax and an increase of E1 were observed with increasing Eion. • Further sputtering at higher energies broaden the SE spectra, and the equivalent work function, ϕ, increase considerably to 12.6 eV. - Abstract: Secondary electron (SE) yield, δ, is a very sensitive surface property. The values of δ often are not consistent for even identical materials. The influence of surface changes on the SE yield was investigated experimentally in this article. Argon ion sputtering was used to remove the contamination from the surface. Surface composition was monitored by X-ray photoelectron spectroscopy (XPS) and surface topography was scanned by scanning electron microscope (SEM) and atomic force microscope (AFM) before and after every sputtering. It was found that argon sputtering can remove contamination and roughen the surface. An “equivalent work function” is presented in this thesis to establish the relationship between SE yield and surface properties. Argon ion sputtering of 1.5keV leads to a significant increase of so called “work function” (from 3.7 eV to 6.0 eV), and a decrease of SE yield (from 2.01 to 1.54). These results provided a new insight into the influence of surface changes on the SE emission.

  6. Development of a beam ion velocity detector for the heavy ion beam probe

    International Nuclear Information System (INIS)

    Fimognari, P. J.; Crowley, T. P.; Demers, D. R.

    2016-01-01

    In an axisymmetric plasma, the conservation of canonical angular momentum constrains heavy ion beam probe (HIBP) trajectories such that measurement of the toroidal velocity component of secondary ions provides a localized determination of the poloidal flux at the volume where they originated. We have developed a prototype detector which is designed to determine the beam angle in one dimension through the detection of ion current landing on two parallel planes of detecting elements. A set of apertures creates a pattern of ion current on wires in the first plane and solid metal plates behind them; the relative amounts detected by the wires and plates determine the angle which beam ions enter the detector, which is used to infer the toroidal velocity component. The design evolved from a series of simulations within which we modeled ion beam velocity changes due to equilibrium and fluctuating magnetic fields, along with the ion beam profile and velocity dispersion, and studied how these and characteristics such as the size, cross section, and spacing of the detector elements affect performance.

  7. Development of a beam ion velocity detector for the heavy ion beam probe

    Energy Technology Data Exchange (ETDEWEB)

    Fimognari, P. J., E-mail: PJFimognari@XanthoTechnologies.com; Crowley, T. P.; Demers, D. R. [Xantho Technologies, LLC, Madison, Wisconsin 53705 (United States)

    2016-11-15

    In an axisymmetric plasma, the conservation of canonical angular momentum constrains heavy ion beam probe (HIBP) trajectories such that measurement of the toroidal velocity component of secondary ions provides a localized determination of the poloidal flux at the volume where they originated. We have developed a prototype detector which is designed to determine the beam angle in one dimension through the detection of ion current landing on two parallel planes of detecting elements. A set of apertures creates a pattern of ion current on wires in the first plane and solid metal plates behind them; the relative amounts detected by the wires and plates determine the angle which beam ions enter the detector, which is used to infer the toroidal velocity component. The design evolved from a series of simulations within which we modeled ion beam velocity changes due to equilibrium and fluctuating magnetic fields, along with the ion beam profile and velocity dispersion, and studied how these and characteristics such as the size, cross section, and spacing of the detector elements affect performance.

  8. Dependence of Au- production upon the target work function in a plasma-sputter-type negative ion source

    International Nuclear Information System (INIS)

    Okabe, Yushirou; Sasao, Mamiko; Fujita, Junji; Yamaoka, Hitoshi; Wada, Motoi.

    1991-01-01

    A method to measure the work function of the target surface in a plasma-sputter-type negative ion source has been developed. The method can determine the work function by measuring the photoelectric current induced by two lasers (He-Ne, Ar + laser). The dependence of Au - production upon the work function of the target surface in the ion source was studied using this method. The time variation of the target work function and Au - production rate were measured during the cesium coverage decrease due to the plasma ion sputtering. The observed minimum work function of a cesiated gold surface in an Ar plasma was 1.3 eV. At the same time, the negative ion production rate (Au - current/target current) took the maximum value. The negative ion production rate indicated the same dependence on the incident ion energy as that of the sputtering rate when the work function was constant. (author)

  9. Post-excitation of sputtered neutral atoms and application to the surface microanalysis by ionoluminescence

    International Nuclear Information System (INIS)

    Bourdilot, M.; Paletto, S.; Goutte, R.; Guillaud, C.

    1975-01-01

    During the bombardment of a solid target by a positive ion beam, an emission of light proceeding of the deexcitation of the neutral atoms which are sputtered in an excited state, is observed. This phenomenon is used in ionoluminescence analysis. By exciting the neutral atoms sputtered with an auxiliary discharge it is seen that: it is possible to increase, under certain experimental conditions, the sensibility of the ionoluminescence method. This post-excitation is particularly efficient with targets having an high sputtering coefficient [fr

  10. Doubly Charged Ion Emission in Sputtering of Monocrystalline Fluorides

    Czech Academy of Sciences Publication Activity Database

    Lörinčík, Jan; Šroubek, Zdeněk

    2002-01-01

    Roč. 187, - (2002), s. 447-450 ISSN 0168-583X R&D Projects: GA ČR GA202/99/0881; GA AV ČR IAA1067801 Institutional research plan: CEZ:AV0Z4040901 Keywords : secondary ion emission * doubly charged * sputtering Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.158, year: 2002

  11. A specialized bioengineering ion beam line

    International Nuclear Information System (INIS)

    Yu, L.D.; Sangyuenyongpipat, S.; Sriprom, C.; Thongleurm, C.; Suwanksum, R.; Tondee, N.; Prakrajang, K.; Vilaithong, T.; Brown, I.G.; Wiedemann, H.

    2007-01-01

    A specialized bioengineering ion beam line has recently been completed at Chiang Mai University to meet rapidly growing needs of research and application development in low-energy ion beam biotechnology. This beam line possesses special features: vertical main beam line, low-energy (30 keV) ion beams, double swerve of the beam, a fast pumped target chamber, and an in-situ atomic force microscope (AFM) system chamber. The whole beam line is situated in a bioclean environment, occupying two stories. The quality of the ion beam has been studied. It has proved that this beam line has significantly contributed to our research work on low-energy ion beam biotechnology

  12. Kinetic Monte Carlo simulations compared with continuum models and experimental properties of pattern formation during ion beam sputtering

    International Nuclear Information System (INIS)

    Chason, E; Chan, W L

    2009-01-01

    Kinetic Monte Carlo simulations model the evolution of surfaces during low energy ion bombardment using atomic level mechanisms of defect formation, recombination and surface diffusion. Because the individual kinetic processes are completely determined, the resulting morphological evolution can be directly compared with continuum models based on the same mechanisms. We present results of simulations based on a curvature-dependent sputtering mechanism and diffusion of mobile surface defects. The results are compared with a continuum linear instability model based on the same physical processes. The model predictions are found to be in good agreement with the simulations for predicting the early-stage morphological evolution and the dependence on processing parameters such as the flux and temperature. This confirms that the continuum model provides a reasonable approximation of the surface evolution from multiple interacting surface defects using this model of sputtering. However, comparison with experiments indicates that there are many features of the surface evolution that do not agree with the continuum model or simulations, suggesting that additional mechanisms are required to explain the observed behavior.

  13. Ion beam analysis fundamentals and applications

    CERN Document Server

    Nastasi, Michael; Wang, Yongqiang

    2015-01-01

    Ion Beam Analysis: Fundamentals and Applications explains the basic characteristics of ion beams as applied to the analysis of materials, as well as ion beam analysis (IBA) of art/archaeological objects. It focuses on the fundamentals and applications of ion beam methods of materials characterization.The book explains how ions interact with solids and describes what information can be gained. It starts by covering the fundamentals of ion beam analysis, including kinematics, ion stopping, Rutherford backscattering, channeling, elastic recoil detection, particle induced x-ray emission, and nucle

  14. Smoothing of ZnO films by gas cluster ion beam

    International Nuclear Information System (INIS)

    Chen, H.; Liu, S.W.; Wang, X.M.; Iliev, M.N.; Chen, C.L.; Yu, X.K.; Liu, J.R.; Ma, K.; Chu, W.K.

    2005-01-01

    Planarization of wide-band-gap semiconductor ZnO surface is crucial for thin-film device performance. In this study, the rough initial surfaces of ZnO films deposited by r.f. magnetron sputtering on Si substrates were smoothed by gas cluster ion beams. AFM measurements show that the average surface roughness (R a ) of the ZnO films could be reduced considerably from 16.1 nm to 0.9 nm. Raman spectroscopy was used to monitor the structure of both the as-grown and the smoothed ZnO films. Rutherford back-scattering in combination with channeling effect was used to study the damage production induced by the cluster bombardment

  15. Development of neutral beam source using electron beam excited plasma

    International Nuclear Information System (INIS)

    Hara, Yasuhiro; Hamagaki, Manabu; Mise, Takaya; Hara, Tamio

    2011-01-01

    A low-energy neutral beam (NB) source, which consists of an electron-beam-excited plasma (EBEP) source and two carbon electrodes, has been developed for damageless etching of ultra-large-scale integrated (ULSI) devices. It has been confirmed that the Ar ion beam energy was controlled by the acceleration voltage and the beam profile had good uniformity over the diameter of 80 mm. Dry etching of a Si wafer at the floating potential has been carried out by Ar NB. Si sputtering yield by an Ar NB clearly depends on the acceleration voltage. This result shows that the NB has been generated through the charge exchange reaction from the ion beam in the process chamber. (author)

  16. Semi-empirical formulas for sputtering yield

    International Nuclear Information System (INIS)

    Yamamura, Yasumichi

    1994-01-01

    When charged particles, electrons, light and so on are irradiated on solid surfaces, the materials are lost from the surfaces, and this phenomenon is called sputtering. In order to understand sputtering phenomenon, the bond energy of atoms on surfaces, the energy given to the vicinity of surfaces and the process of converting the given energy to the energy for releasing atoms must be known. The theories of sputtering and the semi-empirical formulas for evaluating the dependence of sputtering yield on incident energy are explained. The mechanisms of sputtering are that due to collision cascade in the case of heavy ion incidence and that due to surface atom recoil in the case of light ion incidence. The formulas for the sputtering yield of low energy heavy ion sputtering, high energy light ion sputtering and the general case between these extreme cases, and the Matsunami formula are shown. At the stage of the publication of Atomic Data and Nuclear Data Tables in 1984, the data up to 1983 were collected, and about 30 papers published thereafter were added. The experimental data for low Z materials, for example Be, B and C and light ion sputtering data were reported. The combination of ions and target atoms in the collected sputtering data is shown. The new semi-empirical formula by slightly adjusting the Matsunami formula was decided. (K.I.)

  17. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  18. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  19. Ion beam production with sub-milligram samples of material from an ECR source for AMS

    Energy Technology Data Exchange (ETDEWEB)

    Scott, R., E-mail: scott@phy.anl.gov; Palchan-Hazan, T.; Pardo, R.; Vondrasek, R. [Argonne Tandem Linac Accelerator System (ATLAS), Argonne National Laboratory, Lemont, Illinois 60439 (United States); Bauder, W. [Argonne Tandem Linac Accelerator System (ATLAS), Argonne National Laboratory, Lemont, Illinois 60439 (United States); Nuclear Structure Laboratory, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2016-02-15

    Current accelerator mass spectrometry experiments at the Argonne Tandem Linac Accelerator System facility at Argonne National Laboratory push us to improve the ion source performance with a large number of samples and a need to minimize cross contamination. These experiments can require the creation of ion beams from as little as a few micrograms of material. These low concentration samples push the limit of our current efficiency and stability capabilities of the electron cyclotron resonance ion source. A combination of laser ablation and sputtering techniques coupled with a newly modified multi-sample changer has been used to meet this demand. We will discuss performance, stability, and consumption rates as well as planned improvements.

  20. The role of space charge compensation for ion beam extraction and ion beam transport (invited)

    International Nuclear Information System (INIS)

    Spädtke, Peter

    2014-01-01

    Depending on the specific type of ion source, the ion beam is extracted either from an electrode surface or from a plasma. There is always an interface between the (almost) space charge compensated ion source plasma, and the extraction region in which the full space charge is influencing the ion beam itself. After extraction, the ion beam is to be transported towards an accelerating structure in most cases. For lower intensities, this transport can be done without space charge compensation. However, if space charge is not negligible, the positive charge of the ion beam will attract electrons, which will compensate the space charge, at least partially. The final degree of Space Charge Compensation (SCC) will depend on different properties, like the ratio of generation rate of secondary particles and their loss rate, or the fact whether the ion beam is pulsed or continuous. In sections of the beam line, where the ion beam is drifting, a pure electrostatic plasma will develop, whereas in magnetic elements, these space charge compensating electrons become magnetized. The transport section will provide a series of different plasma conditions with different properties. Different measurement tools to investigate the degree of space charge compensation will be described, as well as computational methods for the simulation of ion beams with partial space charge compensation

  1. Crystal structure of TiNi nanoparticles obtained by Ar ion beam deposition

    International Nuclear Information System (INIS)

    Castro, A. Torres; Cuellar, E. Lopez; Mendez, U. Ortiz; Yacaman, M. Jose

    2008-01-01

    Nanoparticles are a state of matter that have properties different from either molecules or bulk solids, turning them into a very interesting class of materials to study. In the present work, the crystal structure of TiNi nanoparticles obtained by ion beam deposition is characterized. TiNi nanoparticles were obtained from TiNi wire samples by sputtering with Ar ions using a Gatan precision ion polishing system. The TiNi nanoparticles were deposited on a Lacey carbon film that was used for characterization by transmission electron microscopy. The nanoparticles were characterized by high-resolution transmission electron microscopy, high-angle annular dark-field imaging, electron diffraction, scanning transmission electron microscopy and energy-dispersive X-ray spectroscopy. Results of nanodiffraction seem to indicate that the nanoparticles keep the same B2 crystal structure as the bulk material but with a decreased lattice parameter

  2. Ion beams as a means of deposition and in-situ characterization of thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Gruen, D.M.; Lin, Y.P.; Schmidt, H.; Liu, Y.L.; Barr, T.; Chang, R.P.H.

    1992-01-01

    Ion beam-surface interactions produce many effects in thin film deposition which are similar to those encountered in plasma deposition processes. However, because of the lower pressures and higher directionality associated with the ion beam process, it is easier to avoid some sources of film contamination and to provide better control of ion energies and fluxes. Additional effects occur in the ion beam process because of the relatively small degree of thermalization resulting from gas phase collisions with both the ion beam and atoms sputtered from the target. These effects may be either beneficial or detrimental to the film properties, depending on the material and deposition conditions. Ion beam deposition is particularly suited to the deposition of multi-component films and layered structures, and can in principle be extended to a complete device fabrication process. However, complex phenomena occur in the deposition of many materials of high technical interest which make it desirable to monitor the film growth at the monolayer level. It is possible to make use of ion-surface interactions to provide a full suite of surface analytical capabilities in one instrument, and this data may be obtained at ambient pressures which are far too high for conventional surface analysis techniques. Such an instrument is under development and its current performance characteristics and anticipated capabilities are described

  3. End point detection in ion milling processes by sputter-induced optical emission spectroscopy

    International Nuclear Information System (INIS)

    Lu, C.; Dorian, M.; Tabei, M.; Elsea, A.

    1984-01-01

    The characteristic optical emission from the sputtered material during ion milling processes can provide an unambiguous indication of the presence of the specific etched species. By monitoring the intensity of a representative emission line, the etching process can be precisely terminated at an interface. Enhancement of the etching end point is possible by using a dual-channel photodetection system operating in a ratio or difference mode. The installation of the optical detection system to an existing etching chamber has been greatly facilitated by the use of optical fibers. Using a commercial ion milling system, experimental data for a number of etching processes have been obtained. The result demonstrates that sputter-induced optical emission spectroscopy offers many advantages over other techniques in detecting the etching end point of ion milling processes

  4. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO3 capacitor application

    International Nuclear Information System (INIS)

    Lee, S.-Y.; Wang, S.-C.; Chen, J.-S.; Huang, J.-L.

    2008-01-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO 3 (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of ± 2.5 MV/cm and a leakage current density of about 1 x 10 -5 A/cm 2 at an applied field of ± 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO 2 /Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors

  5. Ion beam generation and focusing

    International Nuclear Information System (INIS)

    Miller, P.A.; Mendel, C.W.; Swain, D.W.; Goldstein, S.A.

    1975-01-01

    Calculations have shown that efficiently generated and focused ion beams could have significant advantages over electron beams in achieving ignition of inertially-confined thermonuclear fuel. Efficient ion beam generation implies use of a good ion source and suppression of net electron current. Net electron flow can be reduced by allowing electrons to reflex through a highly transparent anode or by use of transverse magnetic fields (either beam self-fields or externally applied fields). Geometric focusing can be achieved if the beam is generated by appropriately shaped electrodes. Experimental results are presented which demonstrate ion beam generation in both reflexing and pinched-flow diodes. Spherically shaped electrodes are used to concentrate a proton beam, and target response to proton deposition is studied

  6. Electronic sputtering

    International Nuclear Information System (INIS)

    Johnson, R.E.

    1989-01-01

    Electronic sputtering covers a range of phenomena from electron and photon stimulated desorption from multilayers to fast heavy ion-induced desorption (sputtering) of biomolecules. In this talk the author attempted. Therefore, to connect the detailed studies of argon ejection from solid argon by MeV ions and keV electrons to the sputtering of low temperatures molecular ices by MeV ions then to biomolecule ejection from organic solids. These are related via changing (dE/dx) e , molecular size, and transport processes occurring in materials. In this regard three distinct regions of (dE/dx) e have been identified. Since the talk this picture has been made explicit using a simple spike model for individual impulsive events in which spike interactions are combined linearly. Since that time also the molecular dynamics programs (at Virginia and Uppsala) have quantified both single atom and dimer processes in solid Ar and the momentum transport in large biomolecule sputtering. 5 refs

  7. Dual beam organic depth profiling using large argon cluster ion beams

    Science.gov (United States)

    Holzweber, M; Shard, AG; Jungnickel, H; Luch, A; Unger, WES

    2014-01-01

    Argon cluster sputtering of an organic multilayer reference material consisting of two organic components, 4,4′-bis[N-(1-naphthyl-1-)-N-phenyl- amino]-biphenyl (NPB) and aluminium tris-(8-hydroxyquinolate) (Alq3), materials commonly used in organic light-emitting diodes industry, was carried out using time-of-flight SIMS in dual beam mode. The sample used in this study consists of a ∽400-nm-thick NPB matrix with 3-nm marker layers of Alq3 at depth of ∽50, 100, 200 and 300 nm. Argon cluster sputtering provides a constant sputter yield throughout the depth profiles, and the sputter yield volumes and depth resolution are presented for Ar-cluster sizes of 630, 820, 1000, 1250 and 1660 atoms at a kinetic energy of 2.5 keV. The effect of cluster size in this material and over this range is shown to be negligible. © 2014 The Authors. Surface and Interface Analysis published by John Wiley & Sons Ltd. PMID:25892830

  8. Post-acceleration of sup 7 Be at the Louvain-la-Neuve radioactive ion beam facility

    CERN Document Server

    Gaelens, M; Loiselet, M; Ryckewaert, G

    2003-01-01

    The development of an intense and pure post-accelerated sup 7 Be beam at Louvain-la-Neuve will be discussed. Given its properties (metallic nature, long half-life (53 days)) and the special beam parameters required (multi-charge ions, high purity), a range of special techniques had to be investigated. At Louvain-la-Neuve, sup 7 Be is produced by irradiating a lithium target with 30 mu A of 27 MeV protons and is extracted using offline chemical separation techniques. Because of the large amounts of activity required, the chemistry has to be adapted for use in hotcells. The ionization is performed with an Electron Cyclotron Resonance ion source with the sup 7 Be injected in the source by means of sputtering. Special techniques have to be used to prevent the beryllium atoms from being lost on the plasma chamber walls. A dedicated heated plasma chamber for the ion source was developed. The ionization efficiency was increased by studying the chemistry involved in the ion source. The atoms are ionized to the 1+ or ...

  9. Ion source operating at the Unilac injector

    International Nuclear Information System (INIS)

    Mueller, M.; Jacoby, W.

    1977-01-01

    The Unilac injection velocity (v = 0.005 X c) and the maximum potential difference between ion source and ground (320 kV) limit positive ion acceleration to a specific charge of not less than 0.0336 (corresponding to 238 U 8+ ). Ion sources qualified for the Unilac must be able to produce a charge spectrum with high intensities in the required charge states (1 - 10 particle μA). This requirement is satisfied for all elements by the Dubna type heated cathode penning ion source. Obviously, for isotopes of low natural abundance high beam currents can only be produced by employing enriched isotopes as feeding materials. Presently the injector is equipped with one penning ion source and one duoplasmatron ion source. 90% of the noble gas ions are provided by the duoplasmatron ion source, whereas ion beams of solids are exclusively furnished by the penning source. In particular, this latter source is well suited and highly developped for producing ion beams from solids by means of the sputtering process. In the future, however, we intend to produce metal ions up to a mass of 100 by a sputter version of the duoplasmatron. (orig.) [de

  10. Modification of SRIM-calculated dose and injected ion profiles due to sputtering, injected ion buildup and void swelling

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jing, E-mail: jing.wang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA 99354 (United States); Texas A& M University, College Station, TX 77843 (United States); Toloczko, Mychailo B. [Pacific Northwest National Laboratory, Richland, WA 99354 (United States); Bailey, Nathan [University of California, Berkeley, CA 94720 (United States); Garner, Frank A.; Gigax, Jonathan; Shao, Lin [Texas A& M University, College Station, TX 77843 (United States)

    2016-11-15

    In radiation effects on materials utilizing self-ion irradiations, it is necessary to calculate the local displacement damage level and ion injection profile because of the short distance that self-ions travel in a material and because of the strong variation of displacement rate with depth in a specimen. The most frequently used tool for this is the software package called Stopping and Range of Ions in Matter (SRIM). A SRIM-calculated depth-dependent dose level is usually determined under the implicit assumption that the target does not undergo any significant changes in volume during the process, in particular SRIM ignores the effect of sputtering, injected ions, and void swelling on the redistribution of the dose and injected ion profiles. This approach become increasingly invalid as the ion fluence reaches ever higher levels, especially for low energy ion irradiations. The original surface is not maintained due to sputter-induced erosion, while within the irradiated region of the specimen, injected ions are adding material, and if void swelling is occurring, it is creating empty space. An iterative mathematical treatment of SRIM outputs to produce corrected dose and injected ion profiles based on these phenomenon and without regard to diffusion is presented along with examples of differences between SRIM-calculated values and corrected values over a range of typical ion energies. The intent is to provide the reader with a convenient tool for more accurately calculating dose and injected ion profiles for heavy-ion irradiations.

  11. Ion-Beam-Excited Electrostatic Ion Cyclotron Waves

    DEFF Research Database (Denmark)

    Michelsen, Poul; Pécseli, Hans; Juul Rasmussen, Jens

    1976-01-01

    Self-excited electrostatic ion cyclotron waves were observed in an ion-beam-plasma system produced in a DP-operated Q-machine. The frequency of the waves showed the theoretically predicted variation with the magnetic field.......Self-excited electrostatic ion cyclotron waves were observed in an ion-beam-plasma system produced in a DP-operated Q-machine. The frequency of the waves showed the theoretically predicted variation with the magnetic field....

  12. Low energy ion beam dynamics of NANOGAN ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sarvesh, E-mail: sarvesh@iuac.res.in; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  13. The mechanism of sputter-induced orientation change in YBCO films on MgO (001)

    International Nuclear Information System (INIS)

    Huang, Y.; Vuchic, B.V.; Baldo, P.; Merkle, K.L.; Buchholz, D.B.; Mahajan, S.; Lei, J.S.; Markworth, P.R.; Chang, R.P.H.

    1996-12-01

    The mechanisms of the sputter-induced orientation change in YBa 2 Cu 3 O 7-x (YBCO) films grown on MgO (001) substrates by pulsed organometallic beam epitaxy (POMBE) are investigated by x-ray diffraction. Rutherford backscatter spectroscopy (RBS), cross-section TEM (XTEM) and microanalysis. It is found that the W atom implantation concurring with the ion sputtering plays an important role in effecting the orientation change. This implantation changes the surface structure of the substrate and induces an intermediate layer in the initial growth of the YBCO film, which in turn acts as a template that induces the orientation change. It seems that the surface morphology change caused by ion sputtering has only a minor effect on the orientation change

  14. Simple model of surface roughness for binary collision sputtering simulations

    Science.gov (United States)

    Lindsey, Sloan J.; Hobler, Gerhard; Maciążek, Dawid; Postawa, Zbigniew

    2017-02-01

    It has been shown that surface roughness can strongly influence the sputtering yield - especially at glancing incidence angles where the inclusion of surface roughness leads to an increase in sputtering yields. In this work, we propose a simple one-parameter model (the "density gradient model") which imitates surface roughness effects. In the model, the target's atomic density is assumed to vary linearly between the actual material density and zero. The layer width is the sole model parameter. The model has been implemented in the binary collision simulator IMSIL and has been evaluated against various geometric surface models for 5 keV Ga ions impinging an amorphous Si target. To aid the construction of a realistic rough surface topography, we have performed MD simulations of sequential 5 keV Ga impacts on an initially crystalline Si target. We show that our new model effectively reproduces the sputtering yield, with only minor variations in the energy and angular distributions of sputtered particles. The success of the density gradient model is attributed to a reduction of the reflection coefficient - leading to increased sputtering yields, similar in effect to surface roughness.

  15. Study of SiO{sub 2} surface sputtering by a 250-550 keV He{sup +} ion beam during high-resolution Rutherford backscattering measurements

    Energy Technology Data Exchange (ETDEWEB)

    Kusanagi, Susumu [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)]. E-mail: susumu.kusanagi@jp.sony.com; Kobayashi, Hajime [Materials Analysis Laboratory, Advanced Design Technology Center, Sony Corporation, 4-16-1 Okata Atsugi-shi, Kanagawa 243-0021 (Japan)

    2006-08-15

    Decreases in oxygen signal intensities in spectra of high-resolution Rutherford backscattering spectrometry (HRBS) were observed during measurements on a 5-nm thick SiO{sub 2} layer on a Si substrate when irradiated by 250-550 keV He{sup +} ions. Shifts in an implanted arsenic profile in a 5-nm thick SiO{sub 2}/Si substrate were also observed as a result of He{sup +} ion irradiation. These results lead to the conclusion that the SiO{sub 2} surface was sputtered by He{sup +} ions in this energy range.

  16. Cluster ion formation during sputtering processes: a complementary investigation by ToF-SIMS and plasma ion mass spectrometry

    International Nuclear Information System (INIS)

    Welzel, T; Ellmer, K; Mändl, S

    2014-01-01

    Plasma ion mass spectrometry using a plasma process monitor (PPM) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) have been complementarily employed to investigate the sputtering and ion formation processes of Al-doped zinc oxide. By comparing the mass spectra, insights on ion formation and relative cross-sections have been obtained: positive ions as measured during magnetron sputtering by PPM are originating from the plasma while those in SIMS start at the surface leading to large differences in the mass spectra. In contrast, negative ions originating at the surface will be accelerated through the plasma sheath. They arrive at the PPM after traversing the plasma nearly collisionless as seen from the rather similar spectra. Hence, it is possible to combine the high mass resolution of ToF-SIMS to obtain insight for separating cluster ions, e.g. Zn x and ZnO y , and the energy resolution of PPM to find fragmentation patterns for negative ions. While the ion formation processes during both experiments can be assumed to be similar, differences may arise due to the lower volume probed by SIMS. In the latter case, there is a chance of small target inhomogeneities being able to be enhanced and lower surface temperatures leading to less outgassing and, thus, retention of volatile compounds. (paper)

  17. Targets on the basis of ferrites and high-temperature superconductors for ion-plasma sputtering

    International Nuclear Information System (INIS)

    Lepeshev, A.A.; Saunin, V.N.; Telegin, S.V.; Polyakova, K.P.; Seredkin, V.A.; Pol'skij, A.I.

    2000-01-01

    Paper describes a method to produce targets for ion-plasma sputtering using plasma splaying of the appropriate powders on a cooled metal basis. Application of the plasma process was demonstrated to enable to produce complex shaped targets under the controlled atmosphere on the basis of ceramic materials ensuring their high composition homogeneity, as well as, reliable mechanical and thermal contact of the resultant coating with the base. One carried out experiments in ion-plasma sputtering of targets to prepare ferrite polycrystalline films to be used in magnetooptics and to prepare high-temperature superconductor epitaxial films [ru

  18. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  19. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  20. Fusion at counterstreaming ion beams - ion optic fusion (IOF)

    International Nuclear Information System (INIS)

    Gryzinski, M.

    1981-01-01

    The results of investigation are briefly reviewed in the field of ion optic fusion performed at the Institute of Nuclear Research in Swierk. The ion optic fusion concept is based on the possibility of obtaining fusion energy at highly ordered motion of ions in counterstreaming ion beams. For this purpose TW ion beams must be produced and focused. To produce dense and charge-neutralized ion beams the selective conductivity and ballistic focusing ideas were formulated and used in a series of RPI devices with low-pressure cylindrical discharge between grid-type electrodes. 100 kA, 30 keV deuteron beams were successfully produced and focused into the volume of 1 cm 3 , yielding 10 9 neutrons per 200 ns shot on a heavy ice target. Cylindrically convergent ion beams with magnetic anti-defocusing were proposed in order to reach a positive energy gain at reasonable energy level. (J.U.)

  1. Constrained treatment planning using sequential beam selection

    International Nuclear Information System (INIS)

    Woudstra, E.; Storchi, P.R.M.

    2000-01-01

    In this paper an algorithm is described for automated treatment plan generation. The algorithm aims at delivery of the prescribed dose to the target volume without violation of constraints for target, organs at risk and the surrounding normal tissue. Pre-calculated dose distributions for all candidate orientations are used as input. Treatment beams are selected in a sequential way. A score function designed for beam selection is used for the simultaneous selection of beam orientations and weights. In order to determine the optimum choice for the orientation and the corresponding weight of each new beam, the score function is first redefined to account for the dose distribution of the previously selected beams. Addition of more beams to the plan is stopped when the target dose is reached or when no additional dose can be delivered without violating a constraint. In the latter case the score function is modified by importance factor changes to enforce better sparing of the organ with the limiting constraint and the algorithm is run again. (author)

  2. SiO2-Ta2O5 sputtering yields: simulated and experimental results

    International Nuclear Information System (INIS)

    Vireton, E.; Ganau, P.; Mackowski, J.M.; Michel, C.; Pinard, L.; Remillieux, A.

    1994-09-01

    To improve mirrors coating, we have modeled sputtering of binary oxide targets using TRIM code. First, we have proposed a method to calculate TRIM input parameters using on the one hand thermodynamic cycle and on the other hand Malherbe's results. Secondly, an iterative processing has provided for oxide steady targets caused by ionic bombardment. Thirdly, we have exposed a model to get experimental sputtering yields. Fourthly, for (Ar - SiO 2 ) pair, we have determined that steady target is a silica one. A good agreement between simulated and experimental yields versus ion incident angle has been found. For (Ar - Ta 2 O 5 ) pair, we have to introduce preferential sputtering concept to explain discrepancy between simulation and experiment. In this case, steady target is tantalum monoxide. For (Ar - Ta(+O 2 ) pair, tantalum sputtered by argon ions in reactive oxygen atmosphere, we have to take into account new concept of oxidation stimulated by ion beam. We have supposed that tantalum target becomes a Ta 2 O 5 one in reactive oxygen atmosphere. Then, following mechanism is similar to previous pair. We have obtained steady target of tantalum monoxide too. Comparison between simulated and experimental sputtering yields versus ion incident angle has given very good agreement. By simulation, we have found that tantalum monoxide target has at least 15 angstrom thickness. Those results are compatible with Malherbe's and Taglauer's ones. (authors)

  3. Ion-Ion Plasmas Produced by Electron Beams

    Science.gov (United States)

    Fernsler, R. F.; Leonhardt, D.; Walton, S. G.; Meger, R. A.

    2001-10-01

    The ability of plasmas to etch deep, small-scale features in materials is limited by localized charging of the features. The features charge because of the difference in electron and ion anisotropy, and thus one solution now being explored is to use ion-ion plasmas in place of electron-ion plasmas. Ion-ion plasmas are effectively electron-free and consist mainly of positive and negative ions. Since the two ion species behave similarly, localized charging is largely eliminated. However, the only way to produce ion-ion plasmas at low gas pressure is to convert electrons into negative ions through two-body attachment to neutrals. While the electron attachment rate is large at low electron temperatures (Te < 1 eV) in many of the halogen gases used for processing, these temperatures occur in most reactors only during the afterglow when the heating fields are turned off and the plasma is decaying. By contrast, Te is low nearly all the time in plasmas produced by electron beams, and therefore electron beams can potentially produce ion-ion plasmas continuously. The theory of ion-ion plasmas formed by pulsed electron beams is examined in this talk and compared with experimental results presented elsewhere [1]. Some general limitations of ion-ion plasmas, including relatively low flux levels, are discussed as well. [1] See the presentation by D. Leonhardt et al. at this conference.

  4. Precise atomic-scale investigations of material sputtering process by light gas ions in pre-threshold energy region

    CERN Document Server

    Suvorov, A L

    2002-01-01

    Foundation and prospects of the new original technique of the sputtering yield determination of electro-conducting materials and sub-atomic layers on their surface by light gas ions the pre-threshold energy region (from 10 to 500 eV) are considered. The technique allows to identify individual surface vacancies, i.e., to count individual sputtered atoms directly. A short review of the original results obtained by using the developed techniques is given. Data are presented and analyzed concerning energy thresholds of the sputtering onset and energy dependences of sputtering yield in the threshold energy region for beryllium, tungsten, tungsten oxide, alternating tungsten-carbon layers, three carbon materials as well as for sub-atomic carbon layers on surface of certain metals at their bombardment by hydrogen, deuterium and/or helium ions

  5. Plasma ion sources and ion beam technology in microfabrications

    International Nuclear Information System (INIS)

    Ji, Lili

    2007-01-01

    For over decades, focused ion beam (FIB) has been playing a very important role in microscale technology and research, among which, semiconductor microfabrication is one of its biggest application area. As the dimensions of IC devices are scaled down, it has shown the need for new ion beam tools and new approaches to the fabrication of small-scale devices. In the meanwhile, nanotechnology has also deeply involved in material science research and bioresearch in recent years. The conventional FIB systems which utilize liquid gallium ion sources to achieve nanometer scale resolution can no longer meet the various requirements raised from such a wide application area such as low contamination, high throughput and so on. The drive towards controlling materials properties at nanometer length scales relies on the availability of efficient tools. In this thesis, three novel ion beam tools have been developed and investigated as the alternatives for the conventional FIB systems in some particular applications. An integrated focused ion beam (FIB) and scanning electron microscope (SEM) system has been developed for direct doping or surface modification. This new instrument employs a mini-RF driven plasma source to generate focused ion beam with various ion species, a FEI two-lens electron (2LE) column for SEM imaging, and a five-axis manipulator system for sample positioning. An all-electrostatic two-lens column has been designed to focus the ion beam extracted from the source. Based on the Munro ion optics simulation, beam spot sizes as small as 100 nm can be achieved at beam energies between 5 to 35 keV if a 5 (micro)m-diameter extraction aperture is used. Smaller beam spot sizes can be obtained with smaller apertures at sacrifice of some beam current. The FEI 2LE column, which utilizes Schottky emission, electrostatic focusing optics, and stacked-disk column construction, can provide high-resolution (as small as 20 nm) imaging capability, with fairly long working distance

  6. Formation of metal nanoparticles by short-distance sputter deposition in a reactive ion etching chamber

    International Nuclear Information System (INIS)

    Nie Min; Meng, Dennis Desheng; Sun Kai

    2009-01-01

    A new method is reported to form metal nanoparticles by sputter deposition inside a reactive ion etching chamber with a very short target-substrate distance. The distribution and morphology of nanoparticles are found to be affected by the distance, the ion concentration, and the sputtering time. Densely distributed nanoparticles of various compositions were fabricated on the substrates that were kept at a distance of 130 μm or smaller from the target. When the distance was increased to 510 μm, island structures were formed, indicating the tendency to form continuous thin film with longer distance. The observed trend for nanoparticle formation is opposite to the previously reported mechanism for the formation of nanoparticles by sputtering. A new mechanism based on the seeding effect of the substrate is proposed to interpret the experimental results.

  7. Uniform elemental analysis of materials by sputtering and photoionization mass spectrometry

    International Nuclear Information System (INIS)

    Chun, He; Basler, J.N.; Becker, C.H.

    1997-01-01

    Analysis of the elemental composition of surfaces commonly involves techniques in which atoms or ions are ablated from the material's surface and detected by mass spectrometry. Secondary-ion mass spectrometry is widely used for detection with high sensitivity (down to a few parts per billion) but technical problems prevent it from being truly quantitative. Some of these problems are circumvented by nonresonant laser post-ionization of sputtered atoms followed by time-of-flight mass spectrometry (surface analysis by laser ionization: SALI). But when there are large differences in ionization probabilities amongst different elements in the material, the detection sensitivity can be non-uniform and accurate quantification remains out of reach. Here we report that highly uniform, quantitative and sensitive analysis of materials can be achieved using a high-energy (5-keV) ion beam for sputtering coupled with a very-high-intensity laser to induce multiphoton ionization of the sputtered atoms. We show uniform elemental sensitivity for several samples containing elements with very different ionization potentials, suggesting that this approach can now be regarded as quantitative for essentially any material. (author)

  8. Imaging of fullerene-like structures in CNx thin films by electron microscopy; sample preparation artefacts due to ion-beam milling

    International Nuclear Information System (INIS)

    Czigany, Zs.; Neidhardt, J.; Brunell, I.F.; Hultman, L.

    2003-01-01

    The microstructure of CN x thin films, deposited by reactive magnetron sputtering, was investigated by transmission electron microscopy (TEM) at 200 kV in plan-view and cross-sectional samples. Imaging artefacts arise in high-resolution TEM due to overlap of nm-sized fullerene-like features for specimen thickness above 5 nm. The thinnest and apparently artefact-free areas were obtained at the fracture edges of plan-view specimens floated-off from NaCl substrates. Cross-sectional samples were prepared by ion-beam milling at low energy to minimize sample preparation artefacts. The depth of the ion-bombardment-induced surface amorphization was determined by TEM cross sections of ion-milled fullerene-like CN x surfaces. The thickness of the damaged surface layer at 5 deg. grazing incidence was 13 and 10 nm at 3 and 0.8 keV, respectively, which is approximately three times larger than that observed on Si prepared under the same conditions. The shallowest damage depth, observed for 0.25 keV, was less than 1 nm. Chemical changes due to N loss and graphitization were also observed by X-ray photoelectron spectroscopy. As a consequence of chemical effects, sputtering rates of CN x films were similar to that of Si, which enables relatively fast ion-milling procedure compared to carbon compounds. No electron beam damage of fullerene-like CN x was observed at 200 kV

  9. Recent negative ion source developments at ORNL

    International Nuclear Information System (INIS)

    Alton, G.D.

    1979-01-01

    According to specifications written for the 25 MV ORNL tandem accelerator, the ion source used during acceptance testing must be capable of producing a negative ion beam of intensity greater than or equal to 7.5 μA within a phase space of less than or equal to 1 π cm-mrad (MeV)/sup 1/2/. The specifications were written prior to the development of an ion source with such capabilities but fortunately Andersen and Tykesson introduced a source in 1975 which could easily meet the specified requirements. The remarkable beam intensity and quality properties of this source has motivated the development of other sources which utilize sputtering in the presence of a diffuse cesium plasma - some of which will be described in these proceedings. This report describes results of studies associated with the development of a modified Aarhus geometry and an axial geometry source which utilize sputtering in the presence of a diffuse cesium plasma for the production of negative ion beams

  10. Intense ion beam generator

    International Nuclear Information System (INIS)

    Humphries, S. Jr.; Sudan, R.N.

    1977-01-01

    Methods and apparatus for producing intense megavolt ion beams are disclosed. In one embodiment, a reflex triode-type pulsed ion accelerator is described which produces ion pulses of more than 5 kiloamperes current with a peak energy of 3 MeV. In other embodiments, the device is constructed so as to focus the beam of ions for high concentration and ease of extraction, and magnetic insulation is provided to increase the efficiency of operation

  11. Ion beam assisted film growth

    CERN Document Server

    Itoh, T

    2012-01-01

    This volume provides up to date information on the experimental, theoretical and technological aspects of film growth assisted by ion beams.Ion beam assisted film growth is one of the most effective techniques in aiding the growth of high-quality thin solid films in a controlled way. Moreover, ion beams play a dominant role in the reduction of the growth temperature of thin films of high melting point materials. In this way, ion beams make a considerable and complex contribution to film growth. The volume will be essential reading for scientists, engineers and students working in thi

  12. Microstructural and plasmonic modifications in Ag–TiO2 and Au–TiO2 nanocomposites through ion beam irradiation

    Directory of Open Access Journals (Sweden)

    Venkata Sai Kiran Chakravadhanula

    2014-09-01

    Full Text Available The development of new fabrication techniques of plasmonic nanocomposites with specific properties is an ongoing issue in the plasmonic and nanophotonics community. In this paper we report detailed investigations on the modifications of the microstructural and plasmonic properties of metal–titania nanocomposite films induced by swift heavy ions. Au–TiO2 and Ag–TiO2 nanocomposite thin films with varying metal volume fractions were deposited by co-sputtering and were subsequently irradiated by 100 MeV Ag8+ ions at various ion fluences. The morphology of these nanocomposite thin films before and after ion beam irradiation has been investigated in detail by transmission electron microscopy studies, which showed interesting changes in the titania matrix. Additionally, interesting modifications in the plasmonic absorption behavior for both Au–TiO2 and Ag–TiO2 nanocomposites were observed, which have been discussed in terms of ion beam induced growth of nanoparticles and structural modifications in the titania matrix.

  13. Ion beam analysis, corrosion resistance and nanomechanical properties of TiAlCN/CN{sub x} multilayer grown by reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Alemón, B.; Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, J. Guadalupe Zuno 48, Los Belenes, Zapopan, Jal. 45101 (Mexico); Canto, C. [Instituto de Física, UNAM, Avenida de la Investigación S/N, Coyoacán, Mexico, DF 04510 (Mexico); Andrade, E., E-mail: andrade@fisica.unam.mx [Instituto de Física, UNAM, Avenida de la Investigación S/N, Coyoacán, Mexico, DF 04510 (Mexico); Lucio, O.G. de [Instituto de Física, UNAM, Avenida de la Investigación S/N, Coyoacán, Mexico, DF 04510 (Mexico); Rocha, M.F. [ESIME-Z, Instituto Politécnico Nacional, ALM Zacatenco, Mexico, DF 07738 (Mexico); Broitman, E. [Thin Films Physics Division, IFM, Linköping University, SE-58183 Linköping (Sweden)

    2014-07-15

    A novel TiAlCN/CN{sub x} multilayer coating, consisting of nine TiAlCN/CN{sub x} periods with a top layer 0.5 μm of CN{sub x}, was designed to enhance the corrosion resistance of CoCrMo biomedical alloy. The multilayers were deposited by dc and RF reactive magnetron sputtering from Ti{sub 0.5}Al{sub 0.5} and C targets respectively in a N{sub 2}/Ar plasma. The corrosion resistance and mechanical properties of the multilayer coatings were analyzed and compared to CoCrMo bulk alloy. Ion beam analysis (IBA) and X-ray diffraction tests were used to measure the element composition profiles and crystalline structure of the films. Corrosion resistance was evaluated by means of potentiodynamic polarization measurements using simulated body fluid (SBF) at typical body temperature and the nanomechanical properties of the multilayer evaluated by nanoindentation tests were analyzed and compared to CoCrMo bulk alloy. It was found that the multilayer hardness and the elastic recovery are higher than the substrate of CoCrMo. Furthermore the coated substrate shows a better general corrosion resistance than that of the CoCrMo alloy alone with no observation of pitting corrosion.

  14. Electrostatic mechanism of shaping the wave micro-relief on the surface of a semiconductor, sputtered by an ion beam

    International Nuclear Information System (INIS)

    Grigor'ev, A.I.

    2000-01-01

    The effect of the electric field formed due to the surface charging, is not accounted for in the weakly-developed theoretical models for the ordered micro-relief formation on the surface of a semiconductor under the impact of an ion beam. It is shown, that the problem on modeling the physical mechanism of forming the ordered wave micro-relief on the semiconductor surface under the impact of a high-energy ion beam may be interpreted as an electrostatic one [ru

  15. Fundamental aspects on ion-beam surface modification: defect production and migration processes

    International Nuclear Information System (INIS)

    Rehn, L.E.; Averback, R.S.; Okamoto, P.R.

    1984-09-01

    Ion-beam modification of metals is generating increasing scientific interest not only because it has exciting technological potential, but also because it has raised fundamental questions concerning radiation-induced diffusion processes. In addition to the implanted species, several defect production and migration mechanisms contribute to changes in the near-surface composition of an alloy during ion bombardment, e.g., atoms exchange positions via displacements and replacement sequences; preferential sputtering effects arise; radiation-enhanced diffusion and radiation-induced segregation occur. The latter two defect migration mechanisms are of particular significance since they can alter the composition to depths which are much greater than the implanted ion range. By altering various parameters such as irradiation temperature, ion mass, energy, and current density, and initial alloying distributions, a rich variety of near-surface composition profiles can be created. We have utilized changes in ion mass and energy, and irradiation temperature to distinguish defect production from defect migration effects. Experimental results are presented which provide a guide to the relative efficiencies of different mechanisms under various irradiation conditions. 46 references

  16. (Ba+Sr)/Ti ratio dependence of the dielectric properties for (Ba0.5Sr0.5)TiO3 thin films prepared by ion beam sputtering

    Science.gov (United States)

    Yamamichi, Shintaro; Yabuta, Hisato; Sakuma, Toshiyuki; Miyasaka, Yoichi

    1994-03-01

    (Ba0.5Sr0.5)TiO3 thin films were prepared by ion beam sputtering from powder targets with (Ba+Sr)/Ti ratios ranging from 0.80 to 1.50. All of the perovskite (Ba,Sr)TiO3 films were single phase except for the film with a (Ba+Sr)/Ti ratio of 1.41. The dielectric constant values notably depended on the (Ba+Sr)/Ti ratio for films thicker than 70 nm. The highest dielectric constant of 580 was achieved for the 5% (Ba+Sr) rich film. This (Ba+Sr)/Ti ratio dependence was diminished by the thickness dependence for thinner films. The grain sizes for the 9% (Ba+Sr) rich film and for the 6% (Ba+Sr) poor film ranged from 70 to 100 nm and from 30 to 60 nm, respectively. This grain size difference could explain why slightly A-site rich (Ba,Sr)TiO3 films have a larger dielectric constant than A-site poor films.

  17. The statistics of sputtering

    International Nuclear Information System (INIS)

    Robinson, M.T.

    1993-01-01

    The MARLOWE program was used to study the statistics of sputtering on the example of 1- to 100-keV Au atoms normally incident on static (001) and (111) Au crystals. The yield of sputtered atoms was examined as a function of the impact point of the incident particles (''ions'') on the target surfaces. There were variations on two scales. The effects of the axial and planar channeling of the ions could be traced, the details depending on the orientation of the target and the energies of the ions. Locally, the sputtering yield was very sensitive to the impact point, small changes in position often producing large changes yield. Results indicate strongly that the sputtering yield is a random (''chaotic'') function of the impact point

  18. Beam-loss induced pressure rise of Large Hadron Collider collimator materials irradiated with 158 GeV/u $In^{49+}$ ions at the CERN Super Proton Synchrotron

    CERN Document Server

    Mahner, Edgar; Hansen, Jan; Page, Eric; Vincke, H

    2004-01-01

    During heavy ion operation, large pressure rises, up to a few orders of magnitude, were observed at CERN, GSI, and BNL. The dynamic pressure rises were triggered by lost beam ions that impacted onto the vacuum chamber walls and desorbed about 10/sup 4/ to 10/sup 7/ molecules per ion. The deterioration of the dynamic vacuum conditions can enhance charge-exchange beam losses and can lead to beam instabilities or even to beam abortion triggered by vacuum interlocks. Consequently, a dedicated measurement of heavy-ion induced molecular desorption in the GeV/u energy range is important for Large Hadron Collider (LHC) ion operation. In 2003, a desorption experiment was installed at the super proton synchrotron to measure the beam-loss induced pressure rise of potential LHC collimator materials. Samples of bare graphite, sputter coated (Cu, TiZrV) graphite, and 316 LN (low carbon with nitrogen) stainless steel were irradiated under grazing angle with 158 GeV/u indium ions. After a description of the new experimental ...

  19. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  20. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  1. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO{sub 3} capacitor application

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.-Y. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China); Wang, S.-C. [Department of Mechanical Engineering, Southern Taiwan University of Technology, No. 1, Nantai St, Yung-Kang City, Tainan, Taiwan (China); Chen, J.-S. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China); Huang, J.-L. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China)], E-mail: jlh888@mail.ncku.edu.tw

    2008-09-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO{sub 3} (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of {+-} 2.5 MV/cm and a leakage current density of about 1 x 10{sup -5} A/cm{sup 2} at an applied field of {+-} 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO{sub 2}/Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors.

  2. Film sputtering with ion mixing in a pulse explosion-emission ion diode

    International Nuclear Information System (INIS)

    Korenev, S.A.; Balalykin, N.I.; Sikolenko, V.V.; Orelovich, O.L.; Shirokov, D.M.

    1995-01-01

    A possibility is confirmed of obtaining mixed composition films from mutually nonsoluble metals in conditions when a film is irradiated with an ion beam in the process of deposition and afterwards with an electron beam. In the experiments was used an explosion-emission ion diode. The experiments showed a possibility of obtaining a new structural material-aluminium with titanium film. 12 refs.; 7 figs.; 1 tab

  3. High-power sputtering employed for film deposition

    International Nuclear Information System (INIS)

    Shapovalov, V I

    2017-01-01

    The features of high-power magnetron sputtering employed for the films’ deposition are reviewed. The main physical phenomena accompanying high-power sputtering including ion-electron emission, gas rarefaction, ionization of sputtered atoms, self-sputtering, ion sound waves and the impact of the target heating are described. (paper)

  4. Hydrophobization of track membrane surface by ion-plasma sputtering method

    Science.gov (United States)

    Kuklin, I. E.; Khlebnikov, N. A.; Barashev, N. R.; Serkov, K. V.; Polyakov, E. V.; Zdorovets, M. V.; Borgekov, D. B.; Zhidkov, I. S.; Cholakh, S. O.; Kozlovskiy, A. L.

    2017-09-01

    This article reviews the possibility of applying inorganic coatings of metal compounds on PTM by ion-plasma sputtering. The main aim of this research is to increase the contact angle of PTM surfaces and to impart the properties of a hydrophobic material to it. After the modification, the initial contact angle increased from 70° to 120°.

  5. The use of an ion-beam source to alter the surface morphology of biological implant materials

    Science.gov (United States)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  6. Focused particle beam nano-machining: the next evolution step towards simulation aided process prediction

    International Nuclear Information System (INIS)

    Plank, Harald

    2015-01-01

    During the last decade, focused ion beam processing has been developed from traditionally used Ga + liquid ion sources towards higher resolution gas field ion sources (He + and Ne + ). Process simulations not only improve the fundamental understanding of the relevant ion–matter interactions, but also enable a certain predictive power to accelerate advances. The historic ‘gold’ standard in ion–solid simulations is the SRIM/TRIM Monte Carlo package released by Ziegler, Ziegler and Biersack 2010 Nucl. Instrum. Methods B 268 1818–23. While SRIM/TRIM is very useful for a myriad of applications, it is not applicable for the understanding of the nanoscale evolution associated with ion beam nano-machining as the substrate does not evolve with the sputtering process. As a solution for this problem, a new, adapted simulation code is briefly overviewed and finally addresses these contributions. By that, experimentally observed Ne + beam sputter profiles can be explained from a fundamental point of view. Due to their very good agreement, these simulations contain the potential for computer aided optimization towards predictable sputter processes for different nanotechnology applications. With these benefits in mind, the discussed simulation approach represents an enormous step towards a computer based master tool for adaptable ion beam applications in the context of industrial applications. (viewpoint)

  7. Control of colliding ion beams

    International Nuclear Information System (INIS)

    Salisbury, W.W.

    1985-01-01

    This invention relates to a method and system for enhancing the power-producing capability of a nuclear fusion reactor, and more specifically to methods and structure for enhancing the ion density in a directed particle fusion reactor. In accordance with the invention, oppositely directed ion beams constrained to helical paths pass through an annular reaction zone. The object is to produce fusion reactions due to collisions between the ion beams. The reaction zone is an annulus as between an inner-cylindrical electrode and an outer-cylindrical coaxial electrode. The beams are enhanced in ion density at spaced points along the paths by providing spline structures protruding from the walls of the electrodes into the reaction zone. This structure causes variations in the electric field along the paths followed by the ion beams. Such fields cause the beams to be successively more and less concentrated as the beams traverse the reaction zone. Points of high concentration are the points at which fusion-producing collisions are most likely to take place

  8. Ion beam enhancement in magnetically insulated ion diodes for high-intensity pulsed ion beam generation in non-relativistic mode

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, X. P. [Key Laboratory of Materials Modification by Laser, Ion, and Electron Beams, Ministry of Education, Dalian University of Technology, Dalian 116024 (China); Surface Engineering Laboratory, School of Materials Science and Engineering, Dalian University of Technology, Dalian 116024 (China); Zhang, Z. C.; Lei, M. K., E-mail: surfeng@dlut.edu.cn [Surface Engineering Laboratory, School of Materials Science and Engineering, Dalian University of Technology, Dalian 116024 (China); Pushkarev, A. I. [Surface Engineering Laboratory, School of Materials Science and Engineering, Dalian University of Technology, Dalian 116024 (China); Laboratory of Beam and Plasma Technology, High Technologies Physics Institute, Tomsk Polytechnic University, 30, Lenin Ave, 634050 Tomsk (Russian Federation)

    2016-01-15

    High-intensity pulsed ion beam (HIPIB) with ion current density above Child-Langmuir limit is achieved by extracting ion beam from anode plasma of ion diodes with suppressing electron flow under magnetic field insulation. It was theoretically estimated that with increasing the magnetic field, a maximal value of ion current density may reach nearly 3 times that of Child-Langmuir limit in a non-relativistic mode and close to 6 times in a highly relativistic mode. In this study, the behavior of ion beam enhancement by magnetic insulation is systematically investigated in three types of magnetically insulated ion diodes (MIDs) with passive anode, taking into account the anode plasma generation process on the anode surface. A maximal enhancement factor higher than 6 over the Child-Langmuir limit can be obtained in the non-relativistic mode with accelerating voltage of 200–300 kV. The MIDs differ in two anode plasma formation mechanisms, i.e., surface flashover of a dielectric coating on the anode and explosive emission of electrons from the anode, as well as in two insulation modes of external-magnetic field and self-magnetic field with either non-closed or closed drift of electrons in the anode-cathode (A-K) gap, respectively. Combined with ion current density measurement, energy density characterization is employed to resolve the spatial distribution of energy density before focusing for exploring the ion beam generation process. Consistent results are obtained on three types of MIDs concerning control of neutralizing electron flows for the space charge of ions where the high ion beam enhancement is determined by effective electron neutralization in the A-K gap, while the HIPIB composition of different ion species downstream from the diode may be considerably affected by the ion beam neutralization during propagation.

  9. Doubly charged ion emission in sputtering of monocrystalline fluorides

    Czech Academy of Sciences Publication Activity Database

    Lörinčík, Jan; Šroubek, Zdeněk

    2002-01-01

    Roč. 187, č. 4 (2002), s. 447-450 ISSN 0168-583X. [Atomic Collisions in Solids ICACS /19./. Paris, 29.07.2001-03.08.2001] R&D Projects: GA AV ČR IAA1067801; GA ČR GA202/99/0881 Institutional research plan: CEZ:AV0Z2067918 Keywords : sputtering * molecular electronic states * secondary ion emission Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.158, year: 2002

  10. Electron beam based transversal profile measurements of intense ion beams

    International Nuclear Information System (INIS)

    El Moussati, Said

    2014-01-01

    A non-invasive diagnostic method for the experimental determination of the transverse profile of an intense ion beam has been developed and investigated theoretically as well as experimentally within the framework of the present work. The method is based on the deflection of electrons when passing the electromagnetic field of an ion beam. To achieve this an electron beam is employed with a specifically prepared transversal profile. This distinguish this method from similar ones which use thin electron beams for scanning the electromagnetic field [Roy et al. 2005; Blockland10]. The diagnostic method presented in this work will be subsequently called ''Electron-Beam-Imaging'' (EBI). First of all the influence of the electromagnetic field of the ion beam on the electrons has been theoretically analyzed. It was found that the magnetic field causes only a shift of the electrons along the ion beam axis, while the electric field only causes a shift in a plane transverse to the ion beam. Moreover, in the non-relativistic case the magnetic force is significantly smaller than the Coulomb one and the electrons suffer due to the magnetic field just a shift and continue to move parallel to their initial trajectory. Under the influence of the electric field, the electrons move away from the ion beam axis, their resulting trajectory shows a specific angle compared to the original direction. This deflection angle practically depends just on the electric field of the ion beam. Thus the magnetic field has been neglected when analysing the experimental data. The theoretical model provides a relationship between the deflection angle of the electrons and the charge distribution in the cross section of the ion beam. The model however only can be applied for small deflection angles. This implies a relationship between the line-charge density of the ion beam and the initial kinetic energy of the electrons. Numerical investigations have been carried out to clarify the

  11. Focused ion beam (FIB) milling of electrically insulating specimens using simultaneous primary electron and ion beam irradiation

    International Nuclear Information System (INIS)

    Stokes, D J; Vystavel, T; Morrissey, F

    2007-01-01

    There is currently great interest in combining focused ion beam (FIB) and scanning electron microscopy technologies for advanced studies of polymeric materials and biological microstructures, as well as for sophisticated nanoscale fabrication and prototyping. Irradiation of electrically insulating materials with a positive ion beam in high vacuum can lead to the accumulation of charge, causing deflection of the ion beam. The resultant image drift has significant consequences upon the accuracy and quality of FIB milling, imaging and chemical vapour deposition. A method is described for suppressing ion beam drift using a defocused, low-energy primary electron beam, leading to the derivation of a mathematical expression to correlate the ion and electron beam energies and currents with other parameters required for electrically stabilizing these challenging materials

  12. Investigation of energy thresholds of atomic and cluster sputtering of some elements under ion bombardment

    CERN Document Server

    Atabaev, B G; Lifanova, L F

    2002-01-01

    Threshold energies of sputtering of negative cluster ions from the Si(111) surface were measured at bombardment by Cs sup + , Rb sup + , and Na sup + ions with energy of 0.1-3.0 keV. These results are compared with the calculations of the similar thresholds by Bohdansky etc. formulas (3) for clusters Si sub n sup - and Cu sub n sup - with n=(1-5) and also for B, C, Al, Si, Fe, Cu atoms. Threshold energies of sputtering for the above elements were also estimated using the data from (5). Satisfactory agreement between the experimental and theoretical results was obtained. (author)

  13. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  14. Nature of gallium focused ion beam induced phase transformation in 316L austenitic stainless steel

    International Nuclear Information System (INIS)

    Babu, R. Prasath; Irukuvarghula, S.; Harte, A.; Preuss, M.

    2016-01-01

    The microstructural evolution and chemistry of the ferrite phase (α), which transforms from the parent austenite phase (γ) of 316L stainless steel during gallium (Ga) ion beam implantation in Focused Ion Beam (FIB) instrument was systematically studied as a function of Ga"+ ion dose and γ grain orientations. The propensity for initiation of γ → α phase transformation was observed to be strongly dependent on the orientation of the γ grain with respect to the ion beam direction and correlates well with the ion channelling differences in the γ orientations studied. Several α variants formed within a single γ orientation and the sputtering rate of the material, after the γ → α transformation, is governed by the orientation of α variants. With increased ion dose, there is an evolution of orientation of the α variants towards a variant of higher Ga"+ channelling. Unique topographical features were observed within each specific γ orientation that can be attributed to the orientation of defects formed during the ion implantation. In most cases, γ and α were related by either Kurdjumov-Sachs (KS) or Nishiyama-Wassermann (NW) orientation relationship (OR) while in few, no known OR's were identified. While our results are consistent with gallium enrichment being the cause for the γ → α phase transformation, some observations also suggest that the strain associated with the presence of gallium atoms in the lattice has a far field stress effect that promotes the phase transformation ahead of gallium penetration.

  15. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  16. Chemical Bonding States of TiC Films before and after Hydrogen Ion Irradiation

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    TiC films deposited by rf magnetron sputtering followed by Ar+ ion bombardment were irradiated with a hydrogen ion beam. X-ray photoelectron spectroscopy (XPS) was used for characterization of the chemical bonding states of C and Ti elements of the TiC films before and after hydrogen ion irradiation, in order to understand the effect of hydrogen ion irradiation on the films and to study the mechanism of hydrogen resistance of TiC films. Conclusions can be drawn that ion bombardment at moderate energy can cause preferential physical sputtering of carbon atoms from the surface of low atomic number (Z) material. This means that ion beam bombardment leads to the formation of a non-stoichiometric composition of TiC on the surface.TiC films prepared by ion beam mixing have the more excellent characteristic of hydrogen resistance. One important cause, in addition to TiC itself, is that there are many vacant sites in TiC created by ion beam mixing.These defects can easily trap hydrogen and effectively enhance the effect of hydrogen resistance.

  17. Intense non-relativistic cesium ion beam

    International Nuclear Information System (INIS)

    Lampel, M.C.

    1984-02-01

    The Heavy Ion Fusion group at Lawrence Berkeley Laboratory has constructed the One Ampere Cesium Injector as a proof of principle source to supply an induction linac with a high charge density and high brightness ion beam. This is studied here. An electron beam probe was developed as the major diagnostic tool for characterizing ion beam space charge. Electron beam probe data inversion is accomplished with the EBEAM code and a parametrically adjusted model radial charge distribution. The longitudinal charge distribution was not derived, although it is possible to do so. The radial charge distribution that is derived reveals an unexpected halo of trapped electrons surrounding the ion beam. A charge fluid theory of the effect of finite electron temperature on the focusing of neutralized ion beams (Nucl. Fus. 21, 529 (1981)) is applied to the problem of the Cesium beam final focus at the end of the injector. It is shown that the theory's predictions and assumptions are consistent with the experimental data, and that it accounts for the observed ion beam radius of approx. 5 cm, and the electron halo, including the determination of an electron Debye length of approx. 10 cm

  18. Strong charge state dependence of H+ and H2+ sputtering induced by slow highly charged ions

    International Nuclear Information System (INIS)

    Kakutani, N.; Azuma, T.; Yamazaki, Y.; Komaki, K.; Kuroki, K.

    1995-01-01

    Secondary ion emission has been studied for very slow ( similar 0.01ν B ) highly charged Ar and N ions bombarding C 60 containing hydrogen as an impurity. It is found that the fragmentations of C 60 are very rare even for Ar 16+ bombardments. On the other hand, the sputtering of H + and H 2 + has been observed to increase drastically as a function of incident charge q like q γ (e.g., γ similar 4.6 for H + sputtering by 500 eV Ar q+ ). (orig.)

  19. Optimal conditions for high current proton irradiations at the university of Wisconsin's ion beam laboratory

    International Nuclear Information System (INIS)

    Wetteland, C. J.; Field, K. G.; Gerczak, T. J.; Eiden, T. J.; Maier, B. R.; Albakri, O.; Sridharan, K.; Allen, T. R.

    2013-01-01

    The National Electrostatics Corporation's (NEC) Toroidal Volume Ion Source (TORVIS) source is known for exceptionally high proton currents with minimal service downtime as compared to traditional sputter sources. It has been possible to obtain over 150μA of proton current from the source, with over 70μA on the target stage. However, beam fluxes above ∼1×10 17 /m2-s may have many undesirable effects, especially for insulators. This may include high temperature gradients at the surface, sputtering, surface discharge, cracking or even disintegration of the sample. A series of experiments were conducted to examine the role of high current fluxes in a suite of ceramics and insulating materials. Results will show the optimal proton irradiation conditions and target mounting strategies needed to minimize unwanted macro-scale damage, while developing a procedure for conducting preliminary radiation experiments.

  20. Negative ion beam processes

    International Nuclear Information System (INIS)

    Hayward, T.D.; Lawrence, G.P.; Bentley, R.F.; Malanify, J.J.; Jackson, J.A.

    1975-06-01

    Los Alamos Scientific Laboratory fiscal year 1975 work on production of intense, very bright, negative hydrogen (H - ), ion beams and conversion of a high-energy (a few hundred MeV) negative beam into a neutral beam are described. The ion source work has used a cesium charge exchange source that has produced H - ion beams greater than or equal to 10 mA (about a factor of 10 greater than those available 1 yr ago) with a brightness of 1.4 x 10 9 A/m 2 -rad 2 (about 18 times brighter than before). The high-energy, neutral beam production investigations have included measurements of the 800-MeV H - -stripping cross section in hydrogen gas (sigma/sub -10/, tentatively 4 x 10 -19 cm 2 ), 3- to 6-MeV H - -stripping cross sections in a hydrogen plasma (sigma/sub -10/, tentatively 2 to 4 x 10 -16 cm 2 ), and the small-angle scattering that results from stripping an 800-MeV H - ion beam to a neutral (H 0 ) beam in hydrogen gas. These last measurements were interrupted by the Los Alamos Meson Physics Facility shutdown in December 1974, but should be completed early in fiscal year 1976 when the accelerator resumes operation. Small-angle scattering calculations have included hydrogen gas-stripping, plasma-stripping, and photodetachment. Calculations indicate that the root mean square angular spread of a 390-MeV negative triton (T - ) beam stripped in a plasma stripper may be as low as 0.7 μrad

  1. Evaluation of ion-sputtered molybdenum disulfide bearings for spacecraft gimbals

    Science.gov (United States)

    Loewenthal, S. H.; Chou, R. G.; Hopple, G. B.; Wenger, W. L.

    1994-07-01

    High-density, sputtered molybdenum disulfide films (MoS2) were investigated as lubricants for the next generation of spacecraft gimbal bearings where low torque signatures and long life are required. Low friction in a vacuum environment, virturally no out-gassing, insensitivity to low temperature, and radiation resistance of these lubricant films are valued in such applications. One hundred and twenty five thousand hours of acumulated bearing test time were obtained on 24 pairs of flight-quality bearings ion-sputtered with three types of advanced MoS2 films. Life tests were conducted in a vacuum over a simulated duty cycle for a space payload gimbal. Optimum retainer and ball material composition were investigated. Comparisions were made with test bearings lubricated with liquid space lubricants. Self-lubricating PTFE retainers were required for long life, i.e., greater than 40 million gimbal cycles. Bearings with polyimide retainers, silicon nitride ceramic balls, or steel balls sputtered with MoS2 film suffered early torque failure, irrespective of the type of race-sputtered MoS2 film. Failure generally resulted from excess film or retainer debris deposited in the ball track which tended to jam the bearing. Both grease lubricated and the better MoS2 film lubricated bearings produced long lives, although the torque with liquid lubricants was lower and less irregular.

  2. Ion beam processing of surfaces and interfaces. Modeling and atomistic simulations

    International Nuclear Information System (INIS)

    Liedke, Bartosz

    2011-01-01

    Self-organization of regular surface pattern under ion beam erosion was described in detail by Navez in 1962. Several years later in 1986 Bradley and Harper (BH) published the first self-consistent theory on this phenomenon based on the competition of surface roughening described by Sigmund's sputter theory and surface smoothing by Mullins-Herring diffusion. Many papers that followed BH theory introduced other processes responsible for the surface patterning e.g. viscous flow, redeposition, phase separation, preferential sputtering, etc. The present understanding is still not sufficient to specify the dominant driving forces responsible for self-organization. 3D atomistic simulations can improve the understanding by reproducing the pattern formation with the detailed microscopic description of the driving forces. 2D simulations published so far can contribute to this understanding only partially. A novel program package for 3D atomistic simulations called TRIDER (TRansport of Ions in matter with DEfect Relaxation), which unifies full collision cascade simulation with atomistic relaxation processes, has been developed. The collision cascades are provided by simulations based on the Binary Collision Approximation, and the relaxation processes are simulated with the 3D lattice kinetic Monte-Carlo method. This allows, without any phenomenological model, a full 3D atomistic description on experimental spatiotemporal scales. Recently discussed new mechanisms of surface patterning like ballistic mass drift or the dependence of the local morphology on sputtering yield are inherently included in our atomistic approach. The atomistic 3D simulations do not depend so much on experimental assumptions like reported 2D simulations or continuum theories. The 3D computer experiments can even be considered as 'cleanest' possible experiments for checking continuum theories. This work aims mainly at the methodology of a novel atomistic approach, showing that: (i) In general

  3. Ion beam processing of surfaces and interfaces. Modeling and atomistic simulations

    Energy Technology Data Exchange (ETDEWEB)

    Liedke, Bartosz

    2011-03-24

    Self-organization of regular surface pattern under ion beam erosion was described in detail by Navez in 1962. Several years later in 1986 Bradley and Harper (BH) published the first self-consistent theory on this phenomenon based on the competition of surface roughening described by Sigmund's sputter theory and surface smoothing by Mullins-Herring diffusion. Many papers that followed BH theory introduced other processes responsible for the surface patterning e.g. viscous flow, redeposition, phase separation, preferential sputtering, etc. The present understanding is still not sufficient to specify the dominant driving forces responsible for self-organization. 3D atomistic simulations can improve the understanding by reproducing the pattern formation with the detailed microscopic description of the driving forces. 2D simulations published so far can contribute to this understanding only partially. A novel program package for 3D atomistic simulations called TRIDER (TRansport of Ions in matter with DEfect Relaxation), which unifies full collision cascade simulation with atomistic relaxation processes, has been developed. The collision cascades are provided by simulations based on the Binary Collision Approximation, and the relaxation processes are simulated with the 3D lattice kinetic Monte-Carlo method. This allows, without any phenomenological model, a full 3D atomistic description on experimental spatiotemporal scales. Recently discussed new mechanisms of surface patterning like ballistic mass drift or the dependence of the local morphology on sputtering yield are inherently included in our atomistic approach. The atomistic 3D simulations do not depend so much on experimental assumptions like reported 2D simulations or continuum theories. The 3D computer experiments can even be considered as 'cleanest' possible experiments for checking continuum theories. This work aims mainly at the methodology of a novel atomistic approach, showing that: (i) In

  4. Pseudo ribbon metal ion beam source

    International Nuclear Information System (INIS)

    Stepanov, Igor B.; Ryabchikov, Alexander I.; Sivin, Denis O.; Verigin, Dan A.

    2014-01-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface

  5. Pseudo ribbon metal ion beam source.

    Science.gov (United States)

    Stepanov, Igor B; Ryabchikov, Alexander I; Sivin, Denis O; Verigin, Dan A

    2014-02-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface.

  6. Ion-beam Plasma Neutralization Interaction Images

    Energy Technology Data Exchange (ETDEWEB)

    Igor D. Kaganovich; Edward Startsev; S. Klasky; Ronald C. Davidson

    2002-04-09

    Neutralization of the ion beam charge and current is an important scientific issue for many practical applications. The process of ion beam charge and current neutralization is complex because the excitation of nonlinear plasma waves may occur. Computer simulation images of plasma neutralization of the ion beam pulse are presented.

  7. Ion-beam Plasma Neutralization Interaction Images

    International Nuclear Information System (INIS)

    Igor D. Kaganovich; Edward Startsev; S. Klasky; Ronald C. Davidson

    2002-04-01

    Neutralization of the ion beam charge and current is an important scientific issue for many practical applications. The process of ion beam charge and current neutralization is complex because the excitation of nonlinear plasma waves may occur. Computer simulation images of plasma neutralization of the ion beam pulse are presented

  8. Cluster ion beam facilities

    International Nuclear Information System (INIS)

    Popok, V.N.; Prasalovich, S.V.; Odzhaev, V.B.; Campbell, E.E.B.

    2001-01-01

    A brief state-of-the-art review in the field of cluster-surface interactions is presented. Ionised cluster beams could become a powerful and versatile tool for the modification and processing of surfaces as an alternative to ion implantation and ion assisted deposition. The main effects of cluster-surface collisions and possible applications of cluster ion beams are discussed. The outlooks of the Cluster Implantation and Deposition Apparatus (CIDA) being developed in Guteborg University are shown

  9. Ion induced spinodal dewetting of thin solid films

    Energy Technology Data Exchange (ETDEWEB)

    Repetto, Luca; Setina Batic, Barbara; Firpo, Giuseppe; Piano, Emanuele; Valbusa, Ugo [Dipartimento di Fisica, Universita di Genova, Via Dodecaneso 33, 16146 Genova (Italy)

    2012-05-28

    We present experimental data and numerical simulations in order to show that the mechanism of spinodal dewetting is active during ion beam irradiation of thin solid films. The expected scaling law for the characteristic wavelengths versus the initial film thickness is modified by the presence of sputtering. The conclusion is fully supported by model simulation which shows a square law dependence for null sputtering yield and a bimodal trend when sputtering is included. This result is in contrast to earlier studies and opens the possibility to control and use ion induced dewetting for the fabrication of functional nanostructures.

  10. Study of uranium dioxyde sputtering induced by multicharged heavy ions at low and very low kinetic energy: projectile charge effect; Etude de la pulverisation du dioxyde d'uranium induite par des ions lourds multicharges de basse et tres basse energie cinetique; effet de la charge du projectile

    Energy Technology Data Exchange (ETDEWEB)

    Haranger, F

    2003-12-01

    Ion beam irradiation of a solid can lead to the emission of neutral or ionized atoms, molecules or clusters from the surface. This comes as a result of the atomic motion in the vicinity of the surface, induced by the transfer of the projectile energy. Then, the study of the sputtering process appears as a means to get a better understanding of the excited matter state around the projectile trajectory. In the case of slow multicharged ions, a strong electronic excitation can be achieved by the projectile neutralization above the solid surface and / or its deexcitation below the surface. Parallel to this, the slowing down of such ions is essentially related to elastic collision with the target atoms. The study of the effect of the initial charge state of slow multicharged ions, in the sputtering process, has been carried out by measuring the absolute angular distributions of emission of uranium atoms from a uranium dioxide surface. The experiments have been performed in two steps. First, the emitted particles are collected onto a substrate during irradiation. Secondly, the surface of the collectors is analyzed by Rutherford Backscattering Spectrometry (RBS). This method allows the characterization of the emission of neutrals, which are the vast majority of the sputtered particles. The results obtained provide an access to the evolution of the sputtering process as a function of xenon projectile ions charge state. The measurements have been performed over a wide kinetic energy range, from 81 down to 1.5 keV. This allowed a clear separation of the contribution of the kinetic energy and initial projectile charge state to the sputtering phenomenon. (author)

  11. An electron cyclotron resonance ion source based low energy ion beam platform

    International Nuclear Information System (INIS)

    Sun, L. T.; Shang, Y.; Ma, B. H.; Zhang, X. Z.; Feng, Y. C.; Li, X. X.; Wang, H.; Guo, X. H.; Song, M. T.; Zhao, H. Y.; Zhang, Z. M.; Zhao, H. W.; Xie, D. Z.

    2008-01-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed

  12. An electron cyclotron resonance ion source based low energy ion beam platform.

    Science.gov (United States)

    Sun, L T; Shang, Y; Ma, B H; Zhang, X Z; Feng, Y C; Li, X X; Wang, H; Guo, X H; Song, M T; Zhao, H Y; Zhang, Z M; Zhao, H W; Xie, D Z

    2008-02-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed.

  13. Broad beam ion sources and some surface processes

    International Nuclear Information System (INIS)

    Neumann, H.; Scholze, F.; Tarz, M.; Schindler, A.; Wiese, R.; Nestler, M.; Blum, T.

    2005-01-01

    Modern broad-beam multi-aperture ion sources are widely used in material and surface technology applications. Customizing the generated ion beam properties (i. e. the ion current density profile) for specific demands of the application is a main challenge in the improvement of the ion beam technologies. First we introduce ion sources based on different plasma excitation principles shortly. An overview of source plasma and ion beam measurement methods deliver input data for modelling methods. This beam profile modelling using numerical trajectory codes and the validation of the results by Faraday cup measurements as a basis for ion beam profile design are described. Furthermore possibilities for ex situ and in situ beam profile control are demonstrated, like a special method for in situ control of a linear ion source beam profile, a grid modification for circular beam profile design and a cluster principle for broad beam sources. By means of these methods, the beam shape may be adapted to specific technological demands. Examples of broad beam source application in ion beam figuring of optical surfaces, modification of stainless steel, photo voltaic processes and deposition of EUVL-multilayer stacks are finally presented. (Author)

  14. Cooling of molecular ion beams

    International Nuclear Information System (INIS)

    Wolf, A.; Krohn, S.; Kreckel, H.; Lammich, L.; Lange, M.; Strasser, D.; Grieser, M.; Schwalm, D.; Zajfman, D.

    2004-01-01

    An overview of the use of stored ion beams and phase space cooling (electron cooling) is given for the field of molecular physics. Emphasis is given to interactions between molecular ions and electrons studied in the electron cooler: dissociative recombination and, for internally excited molecular ions, electron-induced ro-vibrational cooling. Diagnostic methods for the transverse ion beam properties and for the internal excitation of the molecular ions are discussed, and results for phase space cooling and internal (vibrational) cooling are presented for hydrogen molecular ions

  15. Comparative analysis of electrophysical properties of ceramic tantalum pentoxide coatings, deposited by electron beam evaporation and magnetron sputtering methods

    Science.gov (United States)

    Donkov, N.; Mateev, E.; Safonov, V.; Zykova, A.; Yakovin, S.; Kolesnikov, D.; Sudzhanskaya, I.; Goncharov, I.; Georgieva, V.

    2014-12-01

    Ta2O5 ceramic coatings have been deposited on glass substrates by e-beam evaporation and magnetron sputtering methods. For the magnetron sputtering process Ta target was used. X-ray diffraction measurements show that these coatings are amorphous. XPS survey spectra of the ceramic Ta2O5 coatings were obtained. All spectra consist of well-defined XPS lines of Ta 4f, 4d, 4p and 4s; O 1s; C 1s. Ta 4f doublets are typical for Ta2O5 coatings with two main peaks. Scanning electron microscopy and atomic force microscopy images of the e-beam evaporated and magnetron sputtered Ta2O5 ceramic coatings have revealed a relatively flat surface with no cracks. The dielectric properties of the tantalum pentoxide coatings have been investigated in the frequency range of 100 Hz to 1 MHz. The electrical behaviour of e-beam evaporated and magnetron sputtered Ta2O5 ceramic coatings have also been compared. The deposition process conditions principally effect the structure parameters and electrical properties of Ta2O5 ceramic coatings. The coatings deposited by different methods demonstrate the range of dielectric parameters due to the structural and stoichiometric composition changes

  16. Space-charge compensation of highly charged ion beam from laser ion source

    International Nuclear Information System (INIS)

    Kondrashev, S.A.; Collier, J.; Sherwood, T.R.

    1996-01-01

    The problem of matching an ion beam delivered by a high-intensity ion source with an accelerator is considered. The experimental results of highly charged ion beam transport with space-charge compensation by electrons are presented. A tungsten thermionic cathode is used as a source of electrons for beam compensation. An increase of ion beam current density by a factor of 25 is obtained as a result of space-charge compensation at a distance of 3 m from the extraction system. The process of ion beam space-charge compensation, requirements for a source of electrons, and the influence of recombination losses in a space-charge-compensated ion beam are discussed. (author)

  17. Isotope puzzle in sputtering

    International Nuclear Information System (INIS)

    Zheng Liping

    1998-01-01

    Mechanisms affecting multicomponent material sputtering are complex. Isotope sputtering is the simplest in the multicomponent materials sputtering. Although only mass effect plays a dominant role in the isotope sputtering, there is still an isotope puzzle in sputtering by ion bombardment. The major arguments are as follows: (1) At the zero fluence, is the isotope enrichment ejection-angle-independent or ejection-angle-dependent? (2) Is the isotope angular effect the primary or the secondary sputter effect? (3) How to understand the action of momentum asymmetry in collision cascade on the isotope sputtering?

  18. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    Science.gov (United States)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  19. Molecular dynamics simulations with electronic stopping can reproduce experimental sputtering yields of metals impacted by large cluster ions

    Science.gov (United States)

    Tian, Jiting; Zhou, Wei; Feng, Qijie; Zheng, Jian

    2018-03-01

    An unsolved problem in research of sputtering from metals induced by energetic large cluster ions is that molecular dynamics (MD) simulations often produce sputtering yields much higher than experimental results. Different from the previous simulations considering only elastic atomic interactions (nuclear stopping), here we incorporate inelastic electrons-atoms interactions (electronic stopping, ES) into MD simulations using a friction model. In this way we have simulated continuous 45° impacts of 10-20 keV C60 on a Ag(111) surface, and found that the calculated sputtering yields can be very close to the experimental results when the model parameter is appropriately assigned. Conversely, when we ignore the effect of ES, the yields are much higher, just like the previous studies. We further expand our research to the sputtering of Au induced by continuous keV C60 or Ar100 bombardments, and obtain quite similar results. Our study indicates that the gap between the experimental and the simulated sputtering yields is probably induced by the ignorance of ES in the simulations, and that a careful treatment of this issue is important for simulations of cluster-ion-induced sputtering, especially for those aiming to compare with experiments.

  20. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  1. Production of ion beam by conical pinched electron beam diode

    International Nuclear Information System (INIS)

    Matsukawa, Y.; Nakagawa, Y.

    1982-01-01

    Some properties of the ion beam produced by pinched electron beam diode having conical shape electrodes and organic insulator anode was studied. Ion energy is about 200keV and the peak diode current is about 30 kA. At 11cm from the diode apex, not the geometrical focus point, concentrated ion beam was obtained. Its density is more than 500A/cm 2 . The mean ion current density within the radius of 1.6cm around the axis from conical diode is two or three times that from an usual pinched electron beam diode with flat parallel electrodes of same dimension and impedance under the same conditions. (author)

  2. Pumping mechanisms in sputter-ion pumps low pressure operation

    International Nuclear Information System (INIS)

    Welch, K.M.

    1991-01-01

    It is shown that significant H 2 pumping occurs in the walls of triode pumps. Also, H 2 is pumped in the anode cells of sputter-ion pumps. This pumping occurs in a manner similar to that by which the inert gases are pumped. That is, H 2 is pumped in the walls of the anode cells by high energy neutral burial. Hydrogen in the pump walls and anodes limits the base pressure of the pump

  3. Diffuse ions produced by electromagnetic ion beam instabilities

    International Nuclear Information System (INIS)

    Winske, D.; Leroy, M.M.

    1984-01-01

    The evolution of the electromagnetic ions beam instability driven by the reflected ion component backstreaming away from the earth's how shock into the foreshock region is studied by means computer simulation. The linear the quasi-linear states of the instability are found to be in good agreement with known results for the resonant model propagating parallel to the beam along the magnetic field and with theory developed in this paper for the nonresonant mode, which propagates antiparallel to the beam direction. The quasi-linear stage, which produces large amplitude 8Bapprox.B, sinusoidal transverse waves and ''intermediate'' ion distribution, is terminated by a nonlinear phase in which strongly nonlinear, compressive waves and ''diffuse'' ion distributions are produced. Additional processes by which the diffuse ions are accelerated to observed high energies are not addressed. The results are discussed in terms of the ion distributions and hydromagnetic waves observed in the foreshock of the earth's bow shock and of interplanetary shocks

  4. Thirty-centimeter-diameter ion milling source

    International Nuclear Information System (INIS)

    Robinson, R.S.

    1978-01-01

    A 30 cm beam diameter ion source has been designed and fabricated for micromachining and sputtering applications. An argon ion current density of 1 mA/cm 2 at 500 eV ion energy was selected as a design operating condition. The completed ion source met the design criteria at this operating condition with a uniform and well-collimated beam having an average variation in current density of +- 5% over the center of 20 cm of the beam. This ion source has a multipole magnetic field that employs permanent magnets between permeable pole pieces. Langmuir probe surveys of the source plasma support the design concepts of a multipole field and a circumferential cathode to enhance plasma uniformity

  5. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  6. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  7. Thermally induced formation of SiC nanoparticles from Si/C/Si multilayers deposited by ultra-high-vacuum ion beam sputtering

    International Nuclear Information System (INIS)

    Chung, C-K; Wu, B-H

    2006-01-01

    A novel approach for the formation of SiC nanoparticles (np-SiC) is reported. Deposition of Si/C/Si multilayers on Si(100) wafers by ultra-high-vacuum ion beam sputtering was followed by thermal annealing in vacuum for conversion into SiC nanoparticles. The annealing temperature significantly affected the size, density, and distribution of np-SiC. No nanoparticles were formed for multilayers annealed at 500 0 C, while a few particles started to appear when the annealing temperature was increased to 700 0 C. At an annealing temperature of 900 0 C, many small SiC nanoparticles, of several tens of nanometres, surrounding larger submicron ones appeared with a particle density approximately 16 times higher than that observed at 700 0 C. The higher the annealing temperature was, the larger the nanoparticle size, and the higher the density. The higher superheating at 900 0 C increased the amount of stable nuclei, and resulted in a higher particle density compared to that at 700 0 C. These particles grew larger at 900 0 C to reduce the total surface energy of smaller particles due to the higher atomic mobility and growth rate. The increased free energy of stacking defects during particle growth will limit the size of large particles, leaving many smaller particles surrounding the large ones. A mechanism for the np-SiC formation is proposed in this paper

  8. Effects of surface relief on the high-dose sputtering of amorphous silicon and graphite by Ar ions

    International Nuclear Information System (INIS)

    Shulga, V.I.

    2014-01-01

    The effects of ion-induced surface relief on high-dose sputtering of amorphous silicon and graphite targets have been studied using binary-collision computer simulation. The relief was modeled as a wavelike surface along two mutually perpendicular surface axes (a 3D hillock-and-valley relief). Most simulations were carried out for normally-incident 30-keV Ar ions. It was shown that the surface relief can both increase and decrease the sputtering yield compared to that for a flat surface. The results of simulations suggest that stabilization of the surface relief is possible even in the absence of any smoothing processes such as surface diffusion of atoms. Effects of a surface relief on the experimentally measurable angular and energy distributions of sputtered atoms are also considered. The fitting parameters of these distributions are shown to be non-monotonic functions of the relief aspect ratio. The angular distribution of atoms sputtered from a relief surface is modulated to a great extent by the shape of the relief. For a rough surface, azimuthal isotropy of the angular distribution of sputtered atoms was found, but at high bombarding energies only

  9. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  10. Preparation and characterization of nanocrystalline ITO thin films on glass and clay substrates by ion-beam sputter deposition method

    International Nuclear Information System (INIS)

    Venkatachalam, S.; Nanjo, H.; Kawasaki, K.; Wakui, Y.; Hayashi, H.; Ebina, T.

    2011-01-01

    Nanocrystalline indium tin oxide (ITO) thin films were prepared on clay-1 (Clay-TPP-LP-SA), clay-2 (Clay-TPP-SA) and glass substrates using ion-beam sputter deposition method. X-ray diffraction (XRD) patterns showed that the as-deposited ITO films on both clay-1 and clay-2 substrates were a mixture of amorphous and polycrystalline. But the as-deposited ITO films on glass substrates were polycrystalline. The surface morphologies of as-deposited ITO/glass has smooth surface; in contrast, ITO/clay-1 has rough surface. The surface roughnesses of ITO thin films on glass and clay-1 substrate were calculated as 4.3 and 83 nm, respectively. From the AFM and SEM analyses, the particle sizes of nanocrystalline ITO for a film thickness of 712 nm were calculated as 19.5 and 20 nm, respectively. Optical study showed that the optical transmittance of ITO/clay-2 was higher than that of ITO/clay-1. The sheet resistances of as-deposited ITO/clay-1 and ITO/clay-2 were calculated as 76.0 and 63.0 Ω/□, respectively. The figure of merit value for as-deposited ITO/clay-2 (12.70 x 10 -3 /Ω) was also higher than that of ITO/clay-1 (9.6 x 10 -3 /Ω), respectively. The flexibilities of ITO/clay-1 and ITO/clay-2 were evaluated as 13 and 12 mm, respectively. However, the ITO-coated clay-2 substrate showed much better optical and electrical properties as well as flexibility as compared to clay-1.

  11. Quantitative evaluation of sputtering induced surface roughness and its influence on AES depth profiles of polycrystalline Ni/Cu multilayer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yan, X.L.; Coetsee, E. [Department of Physics, University of the Free State, P O Box 339, Bloemfontein, ZA9300 (South Africa); Wang, J.Y., E-mail: wangjy@stu.edu.cn [Department of Physics, Shantou University, 243 Daxue Road, Shantou, 515063, Guangdong (China); Swart, H.C., E-mail: swartHC@ufs.ac.za [Department of Physics, University of the Free State, P O Box 339, Bloemfontein, ZA9300 (South Africa); Terblans, J.J., E-mail: terblansjj@ufs.ac.za [Department of Physics, University of the Free State, P O Box 339, Bloemfontein, ZA9300 (South Africa)

    2017-07-31

    Highlights: • Linear Least Square (LLS) method used to separate Ni and Cu Auger spectra. • The depth-dependent ion sputtering induced roughness was quantitatively evaluated. • The depth resolution better when profiling with dual-ion beam vs. a single-ion beam. • AES depth profiling with a lower ion energy results in a better depth resolution. - Abstract: The polycrystalline Ni/Cu multilayer thin films consisting of 8 alternating layers of Ni and Cu were deposited on a SiO{sub 2} substrate by means of electron beam evaporation in a high vacuum. Concentration-depth profiles of the as-deposited multilayered Ni/Cu thin films were determined with Auger electron spectroscopy (AES) in combination with Ar{sup +} ion sputtering, under various bombardment conditions with the samples been stationary as well as rotating in some cases. The Mixing-Roughness-Information depth (MRI) model used for the fittings of the concentration-depth profiles accounts for the interface broadening of the experimental depth profiling. The interface broadening incorporates the effects of atomic mixing, surface roughness and information depth of the Auger electrons. The roughness values extracted from the MRI model fitting of the depth profiling data agrees well with those measured by atomic force microscopy (AFM). The ion sputtering induced surface roughness during the depth profiling was accordingly quantitatively evaluated from the fitted MRI parameters with sample rotation and stationary conditions. The depth resolutions of the AES depth profiles were derived directly from the values determined by the fitting parameters in the MRI model.

  12. Morphological change of self-organized protrusions of fluoropolymer surface by ion beam irradiation

    International Nuclear Information System (INIS)

    Kitamura, Akane; Kobayashi, Tomohiro; Satoh, Takahiro; Koka, Masashi; Kamiya, Tomihiro; Suzuki, Akihiro; Terai, Takayuki

    2013-01-01

    Polytetrafluoroethylene (PTFE) and fluorinated ethylene propylene (FEP) are typical fluoropolymers displaying several desirable technological properties such as electrical insulation and high chemical resistance. When their surfaces are irradiated with ion beams, dense micro-protrusions formed after the emergence and spread of micropores across the entire irradiated area, allowing culture cells to spread on the top of the protrusions. In this study, we investigate the morphological changes introduced in the fluoropolymer surfaces by ion beams as the energy of the beams is increased. When an FEP sample was irradiated with a nitrogen ion beam with an energy of less than 350 keV at 1.0 μA/cm 2 , protrusions were formed with a density between 2 × 10 7 /cm 2 and 2 × 10 8 /cm 2 . However, at energies higher than 350 keV, the protrusions became sparse, and the density dropped to 5 × 10 2 /cm 2 . Protrusions appeared sporadically during irradiation at high energies, and the top of the protrusions appeared as spots inside the sample, which were difficult to etch and became elongated as the erosion of the surface progressed. Erosion was caused by sputtering of FEP molecules and evaporation at notably elevated temperatures on the surface. Analysis based on attenuated total reflectance/Fourier transform infrared spectroscopy showed the presence of C=C bonds as well as –COOH, –C=O, and –OH bonds on all irradiated samples. Their concentration on the surface densely covered with micro-protrusions was higher than that on the surface with sparse protrusions after irradiation at energies exceeding 350 keV. Thus, we determined a suitable range for the ion energy for creating FEP surfaces densely covered with protrusions

  13. Thermal spike model interpretation of sputtering yield data for Bi thin films irradiated by MeV {sup 84}Kr{sup 15+} ions

    Energy Technology Data Exchange (ETDEWEB)

    Mammeri, S. [Centre de Recherche Nucléaire d’Alger, B.P. 399, 02 Bd. Frantz Fanon, Alger-gare, Algiers (Algeria); Ouichaoui, S., E-mail: souichaoui@gmail.com [Université des Sciences et de la Technologie H. Boumediene (USTHB), Faculté de Physique, Laboratoire SNIRM, B.P. 32, El-Alia, 16111 Bab Ezzouar, Algiers (Algeria); Ammi, H. [Centre de Recherche Nucléaire d’Alger, B.P. 399, 02 Bd. Frantz Fanon, Alger-gare, Algiers (Algeria); Pineda-Vargas, C.A. [iThemba LABS, National Research Foundation, P.O. Box 722, Somerset West 7129 (South Africa); Faculty of Health and Wellness Sciences, CPUT, P.O. Box 1906, Bellville 7535 (South Africa); Dib, A. [Centre de Recherche Nucléaire d’Alger, B.P. 399, 02 Bd. Frantz Fanon, Alger-gare, Algiers (Algeria); Msimanga, M. [iThemba LABS, National Research Foundation, P. Bag 11, Wits 2050, Johannesburg (South Africa); Department of Physics, Tshwane University of Technology, P. Bag X680, Pretoria 001 (South Africa)

    2015-07-01

    A modified thermal spike model initially proposed to account for defect formation in metals within the high heavy ion energy regime is adapted for describing the sputtering of Bi thin films under MeV Kr ions. Surface temperature profiles for both the electronic and atomic subsystems have been carefully evaluated versus the radial distance and time with introducing appropriate values of the Bi target electronic stopping power for multi-charged Kr{sup 15+} heavy ions as well as different target physical proprieties like specific heats and thermal conductivities. Then, the total sputtering yields of the irradiated Bi thin films have been determined from a spatiotemporal integration of the local atomic evaporation rate. Besides, an expected non negligible contribution of elastic nuclear collisions to the Bi target sputtering yields and ion-induced surface effects has also been considered in our calculation. Finally, the latter thermal spike model allowed us to derive numerical sputtering yields in satisfactorily agreement with existing experimental data both over the low and high heavy ion energy regions, respectively, dominated by elastic nuclear collisions and inelastic electronic collisions, in particular with our data taken recently for Bi thin films irradiated by 27.5 MeV Kr{sup 15+} heavy ions. An overall consistency of our model calculation with the predictions of sputtering yield theoretical models within the target nuclear stopping power regime was also pointed out.

  14. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Science.gov (United States)

    Horak, P.; Bejsovec, V.; Vacik, J.; Lavrentiev, V.; Vrnata, M.; Kormunda, M.; Danis, S.

    2016-12-01

    Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C-600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C-600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu2O phase was identified. However, the oxidation at 200 °C led to a more complicated composition - in the depth Cu2O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH)2. A limited amount of Cu2O was also found in samples annealed at 600 °C. The sheet resistance RS of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing RS was measured in the range 2.64 MΩ/□-2.45 GΩ/□. The highest RS values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the 16O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed an increased response to hydrogen at 300 °C, while Au-covered films were more sensitive to methanol vapours at 350 °C.

  15. Beam losses in heavy ion drivers

    CERN Document Server

    Mustafin, E R; Hofmann, I; Spiller, P J

    2002-01-01

    While beam loss issues have hardly been considered in detail for heavy ion fusion scenarios, recent heavy ion machine developments in different labs (European Organization for Nuclear Research (CERN), Gesellschaft fur Schwerionenforschung (GSI), Institute for Theoretical and Experimental Physics (ITEP), Relativistic Heavy-Ion Collider (RHIC)) have shown the great importance of beam current limitations due to ion losses. Two aspects of beam losses in heavy ion accelerators are theoretically considered: (1) secondary neutron production due to lost ions, and (2) vacuum pressure instability due to charge exchange losses. Calculations are compared and found to be in good agreement with measured data. The application to a Heavy-Ion Driven Inertial Fusion (HIDIF) scenario is discussed. 12 Refs.

  16. Processing of La(1.8)Sr(0.2)CuO4 and YBa2Cu3O7 superconducting thin films by dual-ion-beam sputtering

    Science.gov (United States)

    Madakson, P.; Cuomo, J. J.; Yee, D. S.; Roy, R. A.; Scilla, G.

    1988-03-01

    High-quality La(1.8)Sr(0.2)CuO4 and YBa2Cu3O7 superconducting thin films, with zero resistance at 88 K, have been made by dual-ion-beam sputtering of metal and oxide targets at elevated temperatures. The films are about 1.0 micron thick and are single phase after annealing. The substrates investigated are Nd-YAP, MgO, SrF2, Si, CaF2, ZrO2-(9 pct)Y2O3, BaF2, Al2O3, and SrTiO3. Characterization of the films was carried out using Rutherford backscattering spectroscopy, resistivity measurements, TEM, X-ray diffraction, and SIMS. Substrate/film interaction was observed in every case. This generally involves diffusion of the substrate into the film, which is accompanied by, for example, the replacement of Ba by Sr in the YBa2Cu2O7 structure, in the case of SrTiO3 substrate. The best substrates were those that did not significantly diffuse into the film and which did not react chemically with the film.

  17. Domain structure and magnetic properties of epitaxial SrRuO sub 3 films grown on SrTiO sub 3 (100) substrates by ion beam sputtering

    CERN Document Server

    Oh, S H

    2000-01-01

    The domain structure of epitaxial SrRuO sub 3 thin films grown on SrTiO sub 3 (100) substrates by using ion beam sputtering has been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). The SrRuO sub 3 films grown in the present study revealed a unique cube-on-cube epitaxial relationship, i.e., (100) sub S sub R sub O ll (100) sub S sub T sub O , [010] sub S sub R sub O ll [101] sub S sub T sub O , prevailing with a cubic single-domain structure. The cubic SrRuO sub 3 thin films that were inherently with free from RuO sub 6 octahedron tilting exhibited higher resistivity with suppressed magnetic properties. The Curie temperature of the thin films was suppressed by 60 K from 160 K for the bulk specimen, and the saturation magnetic moment was reduced by a significant amount. The tetragonal distortion of the SrRuO sub 3 thin films due to coherent growth with the substrate seemed to result in a strong magnetic anisotropy.

  18. Ion source for ion beam deposition employing a novel electrode assembly

    Science.gov (United States)

    Hayes, A. V.; Kanarov, V.; Yevtukhov, R.; Hegde, H.; Druz, B.; Yakovlevitch, D.; Cheesman, W.; Mirkov, V.

    2000-02-01

    A rf inductively coupled ion source employing a novel electrode assembly for focusing a broad ion beam on a relatively small target area was developed. The primary application of this ion source is the deposition of thin films used in the fabrication of magnetic sensors and optical devices. The ion optics consists of a three-electrode set of multiaperture concave dished grids with a beam extraction diameter of 150 mm. Also described is a variation in the design providing a beam extraction diameter of 120 mm. Grid hole diameters and grid spacing were optimized for low beamlet divergence and low grid impingement currents. The radius of curvature of the grids was optimized to obtain an optimally focused ion beam at the target location. A novel grid fabrication and mounting design was employed which overcomes typical limitations of such grid assemblies, particularly in terms of maintaining optimum beam focusing conditions after multiple cycles of operation. Ion beam generation with argon and xenon gases in energy ranges from 0.3 to 2.0 keV was characterized. For operation with argon gas, beam currents greater than 0.5 A were obtained with a beam energy of 800 eV. At optimal beam formation conditions, beam profiles at distances about equal to the radius of curvature were found to be close to Gaussian, with 99.9% of the beam current located within a 150 mm target diameter. Repeatability of the beam profile over long periods of operation is also reported.

  19. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  20. Heavy-Ion-Induced Electronic Desorption of Gas from Metals

    CERN Document Server

    Molvik, A W; Mahner, E; Kireeff Covo, M; Bellachioma, M C; Bender, M; Bieniosek, F M; Hedlund, E; Krämer, A; Kwan, J; Malyshev, O B; Prost, L; Seidl, P A; Westenskow, G; Westerberg, L

    2007-01-01

    During heavy-ion operation in several particle accelerators worldwide, dynamic pressure rises of orders of magnitude were triggered by lost beam ions that bombarded the vacuum chamber walls. This ion-induced molecular desorption, observed at CERN, GSI, and BNL, can seriously limit the ion beam lifetime and intensity of the accelerator. From dedicated test stand experiments we have discovered that heavy-ion-induced gas desorption scales with the electronic energy loss (dEe/dx) of the ions slowing down in matter; but it varies only little with the ion impact angle, unlike electronic sputtering.

  1. Pumping mechanisms in sputter-ion pumps low pressure operation

    International Nuclear Information System (INIS)

    Welch, K.M.

    1991-01-01

    It is shown that significant H 2 pumping occurs in the walls of triode pumps. Also, H 2 is pumped in the anode cells of sputter-ion pumps. This pumping occurs in a manner similar to that by which the inert gases are pumped. That is, H 2 pumped in the walls of the anode cells by high energy neutral burial. Hydrogen in the pump walls and anodes limits the base pressure of the pump. 13 refs., 5 figs., 1 tab

  2. Ion Beam Extraction by Discrete Ion Focusing

    DEFF Research Database (Denmark)

    2010-01-01

    An apparatus (900) and methods are disclosed for ion beam extraction. In an implementation, the apparatus includes a plasma source (or plasma) (802) and an ion extractor (804). The plasma source is adapted to generate ions and the ion extractor is immersed in the plasma source to extract a fracti...

  3. ECR ion source based low energy ion beam facility

    Indian Academy of Sciences (India)

    Mass analyzed highly charged ion beams of energy ranging from a few keV to a few MeV plays an important role in various aspects of research in modern physics. In this paper a unique low energy ion beam facility (LEIBF) set up at Nuclear Science Centre (NSC) for providing low and medium energy multiply charged ion ...

  4. Intense pulsed heavy ion beam technology

    International Nuclear Information System (INIS)

    Masugata, Katsumi; Ito, Hiroaki

    2010-01-01

    Development of intense pulsed heavy ion beam accelerator technology is described for the application of materials processing. Gas puff plasma gun and vacuum arc discharge plasma gun were developed as an active ion source for magnetically insulated pulsed ion diode. Source plasma of nitrogen and aluminum were successfully produced with the gas puff plasma gun and the vacuum arc plasma gun, respectively. The ion diode was successfully operated with gas puff plasma gun at diode voltage 190 kV, diode current 2.2 kA and nitrogen ion beam of ion current density 27 A/cm 2 was obtained. The ion composition was evaluated by a Thomson parabola spectrometer and the purity of the nitrogen ion beam was estimated to be 86%. The diode also operated with aluminum ion source of vacuum arc plasma gun. The ion diode was operated at 200 kV, 12 kA, and aluminum ion beam of current density 230 A/cm 2 was obtained. The beam consists of aluminum ions (Al (1-3)+ ) of energy 60-400 keV, and protons (90-130 keV), and the purity was estimated to be 89%. The development of the bipolar pulse accelerator (BPA) was reported. A double coaxial type bipolar pulse generator was developed as the power supply of the BPA. The generator was tested with dummy load of 7.5 ohm, bipolar pulses of -138 kV, 72 ns (1st pulse) and +130 kV, 70 ns (2nd pulse) were successively generated. By applying the bipolar pulse to the drift tube of the BPA, nitrogen ion beam of 2 A/cm 2 was observed in the cathode, which suggests the bipolar pulse acceleration. (author)

  5. Ion cyclotron emission due to collective instability of fusion products and beam ions in TFTR and JET

    International Nuclear Information System (INIS)

    Dendy, R.O.; Clements, K.G.; Lashmore-Davies, C.N.; Cottrell, G.A.; Majeski, R.; Cauffman, S.

    1995-06-01

    Ion cyclotron emission (ICE) has been observed from neutral beam-heated TFTR and JET tritium experiments at sequential cyclotron harmonics of both fusion products and beam ions. The emission originates from the outer mid-plane plasma, where fusion products and beam ions are likely to have a drifting ring-type velocity-space distribution which is anisotropic and sharply peaked. Fusion product-driven ICE in both TFTR and JET can be attributed to the magnetoacoustic cyclotron instability, which involves the excitation of obliquely propagating waves on the fast Alfven/ion Bernstein branch at cyclotron harmonics of the fusion products. Differences between ICE observations in JET and TFTR appear to reflect the sensitivity of the instability growth rate to the ratio υ birth /c A , where υ birth is the fusion product birth speed and c A is the local Alfven speed:for fusion products in the outer midplane edge of TFTR, υ birth A ; for alpha-particles in the outer midplane edge of JET, the opposite inequality applies. If sub-Alfvenic fusion products are isotropic or have undergone even a moderate degree of thermalization, the magnetoacoustic instability cannot occur. In contrast, the super-Alfvenic alpha-particles which are present in the outer mid-plane of JET can drive the magnetoacoustic cyclotron instability even if they are isotropic or have a relatively broad distribution of speeds. These conclusions may account for the observation that fusion product-driven ICE in JET persists for longer than fusion product-driven ICE in TFTR. (Author)

  6. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  7. Local in-depth analysis of ceramic materials by neutral beam secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Borchardt, G.; Scherrer, H.; Weber, S.; Scherrer, S.

    1980-01-01

    Local microanalysis of non-conducting surfaces by means of modern physical methods which use charged low-energy primary particles brings about severe problems because of the electrostatic charge accumulated on the sample surface. This is also true of secondary ion mass spectrometry (SIMS) where ions are usually used as primary particles. In the present work the basic features for production of neutral primary beams in commercial SIMS instruments by a simple technique are described. With suitably high sputtering rates, surface analyses and in-depth profiles can be made in reasonable measuring times. Results are given for chemical concentration distributions in the near-surface regions of an oxide glass and for the isotopic diffusion of Si-30 in a crystalline silicate with olivine structure (Co 2 SiO 4 ). (orig.)

  8. Modified betatron for ion beam fusion

    International Nuclear Information System (INIS)

    Rostoker, N.; Fisher, A.

    1986-01-01

    An intense neutralized ion beam can be injected and trapped in magnetic mirror or tokamak geometry. The details of the process involve beam polarization so that the beam crosses the fringing fields without deflection and draining the polarization when the beam reaches the plasma. Equilibrium requires that a large betatron field be added in tokamak geometry. In mirror geometry a toroidal field must be added by means of a current along the mirror axis. In either case, the geometry becomes that of the modified betatron which has been studied experimentally and theoretically in recent years. We consider beams of d and t ions with a mean energy of 500 kev and a temperature of about 50 kev. The plasma may be a proton plasma with cold ions. It is only necessary for beam trapping or to carry currents. The ion energy for slowing down is initially 500 kev and thermonuclear reactions depend only on the beam temperature of 50 kev which changes very slowly. This new configuration for magnetic confinement fusion leads to an energy gain of 10--20 for d-t reactions whereas previous studies of beam target interaction predicted a maximum energy gain of 3--4. The high beam energy available with pulsed ion diode technology is also essential for advanced fuels. 16 refs., 3 figs

  9. Simple model of surface roughness for binary collision sputtering simulations

    Energy Technology Data Exchange (ETDEWEB)

    Lindsey, Sloan J. [Institute of Solid-State Electronics, TU Wien, Floragasse 7, A-1040 Wien (Austria); Hobler, Gerhard, E-mail: gerhard.hobler@tuwien.ac.at [Institute of Solid-State Electronics, TU Wien, Floragasse 7, A-1040 Wien (Austria); Maciążek, Dawid; Postawa, Zbigniew [Institute of Physics, Jagiellonian University, ul. Lojasiewicza 11, 30348 Kraków (Poland)

    2017-02-15

    Highlights: • A simple model of surface roughness is proposed. • Its key feature is a linearly varying target density at the surface. • The model can be used in 1D/2D/3D Monte Carlo binary collision simulations. • The model fits well experimental glancing incidence sputtering yield data. - Abstract: It has been shown that surface roughness can strongly influence the sputtering yield – especially at glancing incidence angles where the inclusion of surface roughness leads to an increase in sputtering yields. In this work, we propose a simple one-parameter model (the “density gradient model”) which imitates surface roughness effects. In the model, the target’s atomic density is assumed to vary linearly between the actual material density and zero. The layer width is the sole model parameter. The model has been implemented in the binary collision simulator IMSIL and has been evaluated against various geometric surface models for 5 keV Ga ions impinging an amorphous Si target. To aid the construction of a realistic rough surface topography, we have performed MD simulations of sequential 5 keV Ga impacts on an initially crystalline Si target. We show that our new model effectively reproduces the sputtering yield, with only minor variations in the energy and angular distributions of sputtered particles. The success of the density gradient model is attributed to a reduction of the reflection coefficient – leading to increased sputtering yields, similar in effect to surface roughness.

  10. Simple model of surface roughness for binary collision sputtering simulations

    International Nuclear Information System (INIS)

    Lindsey, Sloan J.; Hobler, Gerhard; Maciążek, Dawid; Postawa, Zbigniew

    2017-01-01

    Highlights: • A simple model of surface roughness is proposed. • Its key feature is a linearly varying target density at the surface. • The model can be used in 1D/2D/3D Monte Carlo binary collision simulations. • The model fits well experimental glancing incidence sputtering yield data. - Abstract: It has been shown that surface roughness can strongly influence the sputtering yield – especially at glancing incidence angles where the inclusion of surface roughness leads to an increase in sputtering yields. In this work, we propose a simple one-parameter model (the “density gradient model”) which imitates surface roughness effects. In the model, the target’s atomic density is assumed to vary linearly between the actual material density and zero. The layer width is the sole model parameter. The model has been implemented in the binary collision simulator IMSIL and has been evaluated against various geometric surface models for 5 keV Ga ions impinging an amorphous Si target. To aid the construction of a realistic rough surface topography, we have performed MD simulations of sequential 5 keV Ga impacts on an initially crystalline Si target. We show that our new model effectively reproduces the sputtering yield, with only minor variations in the energy and angular distributions of sputtered particles. The success of the density gradient model is attributed to a reduction of the reflection coefficient – leading to increased sputtering yields, similar in effect to surface roughness.

  11. Development of the Holifield Radioactive Ion Beam Facility

    International Nuclear Information System (INIS)

    Tatum, B.A.

    1997-01-01

    The Holifield Radioactive Ion Beam Facility (HRIBF) construction project has been completed and the first radioactive ion beam has been successfully accelerated. The project, which began in 1992, has involved numerous facility modifications. The Oak Ridge Isochronous Cyclotron has been converted from an energy booster for heavy ion beams to a light ion accelerator with internal ion source. A target-ion source and mass analysis system have been commissioned as key components of the facility's radioactive ion beam injector to the 25MV tandem electrostatic accelerator. Beam transport lines have been completed, and new diagnostics for very low intensity beams have been developed. Work continues on a unified control system. Development of research quality radioactive beams for the nuclear structure and nuclear astrophysics communities continues. This paper details facility development to date

  12. Generation and focusing of intense ion beams with an inverse pinch ion diode

    International Nuclear Information System (INIS)

    Hashimoto, Yoshiyuki; Sato, Morihiko; Yatsuzuka, Mitsuyasu; Nobuhara, Sadao

    1992-01-01

    Generation and focusing of ion beams using an inverse pinch ion diode with a flat anode has been studied. The ion beams generated with the inverse pinch ion diode were found to be focused at 120 mm from the anode by the electrostatic field in the diode. The energy and maximum current density of the ion beams were 180 keV and 420 A/cm 2 , respectively. The focusing angle of the ion beams was 4.3deg. The beam brightness was estimated to be 1.3 GW/cm 2 ·rad 2 . The focusing distance of the ion beams was found to be controllable by changing the diameters of the anode and cathode. (author)

  13. Surface damage through grazing incidence ions investigated by scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Redinger, Alex

    2009-01-01

    Surface damage, caused by grazing incidence ions, is investigated with variable temperature scanning tunneling microscopy. The experiments are carried out on a Pt(111) crystal. The kinetic energy of noble gas ions is varied between 1-15 keV and the angle of incidence can be adjusted between θ = 78.5 and θ = 90 measured with respect to the surface normal. The damage patterns of single ion impacts, on flat terraces and at step edges of monoatomic height, are investigated at low surface temperatures. Ions hitting a flat terrace are usually specular reflected. The energy transfer from the ion to the crystal atoms is small and only little damage is produced. In contrast, at ascending step edges, which are illuminated by the ion beam, large angle scattering events occur. Sputtering, adatom and vacancy production is induced. However, a significant fraction of the ions, which hit step edges, enter the crystal and are guided in between two atomic layers parallel to the surface via small angle binary collisions. This steering process is denoted as subsurface channeling. The energy loss per length scale of the channeled particles is low, which results in long ion trajectories (up to 1000A). During the steering process, the ions produce surface damage. Depending on the ion species and the ion energy, adatom and vacancies or surface vacancy trenches of monoatomic width are observed. The surface damage can be used to track the path of the ion. This makes the whole trajectory of single ions with keV energy visible. The number of sputtered atoms per incident ion at ascending step edges, i.e. the step edge sputtering yield, is measured experimentally for different irradiation conditions. For θ = 86 , the sputtering yield is determined from the fluence dependent retraction of pre-existing illuminated step edges. An alternative method for the step edge sputtering yield determination, is the analysis of the concentration of ascending steps and of the removed amount of material as a

  14. Surface damage through grazing incidence ions investigated by scanning tunneling microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Redinger, Alex

    2009-07-10

    Surface damage, caused by grazing incidence ions, is investigated with variable temperature scanning tunneling microscopy. The experiments are carried out on a Pt(111) crystal. The kinetic energy of noble gas ions is varied between 1-15 keV and the angle of incidence can be adjusted between {theta} = 78.5 and {theta} = 90 measured with respect to the surface normal. The damage patterns of single ion impacts, on flat terraces and at step edges of monoatomic height, are investigated at low surface temperatures. Ions hitting a flat terrace are usually specular reflected. The energy transfer from the ion to the crystal atoms is small and only little damage is produced. In contrast, at ascending step edges, which are illuminated by the ion beam, large angle scattering events occur. Sputtering, adatom and vacancy production is induced. However, a significant fraction of the ions, which hit step edges, enter the crystal and are guided in between two atomic layers parallel to the surface via small angle binary collisions. This steering process is denoted as subsurface channeling. The energy loss per length scale of the channeled particles is low, which results in long ion trajectories (up to 1000A). During the steering process, the ions produce surface damage. Depending on the ion species and the ion energy, adatom and vacancies or surface vacancy trenches of monoatomic width are observed. The surface damage can be used to track the path of the ion. This makes the whole trajectory of single ions with keV energy visible. The number of sputtered atoms per incident ion at ascending step edges, i.e. the step edge sputtering yield, is measured experimentally for different irradiation conditions. For {theta} = 86 , the sputtering yield is determined from the fluence dependent retraction of pre-existing illuminated step edges. An alternative method for the step edge sputtering yield determination, is the analysis of the concentration of ascending steps and of the removed amount

  15. Energy sharing and sputtering in low-energy collision cascades

    International Nuclear Information System (INIS)

    Weller, R.A.; Weller, M.R.

    1982-01-01

    Using a non-linear transport equation to describe the energy-sharing process in an isotropic collision cascade, we have numerically calculated sputtered particle velocity spectra for several very low energy (=< 10 eV) primary recoil distributions. Our formulation of the sputtering process is essentially that used in the linear model and our equations yield the familiar linear model results in the appropriate limit. Discrepancies between our calculations and the linear model results in other cases may be understood by considering the effects of the linear model assumptions on the sputtering yield at very low energies. Our calculations are also compared with recent experimental results investigating ion-explosion sputtering. The results of this comparison support the conclusion that in insulators sputtering is initiated by very low energy recoil atoms when the energy of the incident beam is high enough that the stopping power is dominated by the electronic contribution. The calculations also suggest that energy spectra similar to those for evaporation may result from non-equilibrium processes but that the apparent temperatures of evaporation are not related in a simple way to any real temperature within the target. (author)

  16. Applications of ion beam analysis workshop. Workshop handbook

    International Nuclear Information System (INIS)

    1995-01-01

    A workshop on applications of ion beam analysis was held at ANSTO, immediate prior to the IBMM-95 Conference in Canberra. It aims was to review developments and current status on use of ion beams for analysis, emphasizing the following aspects: fundamental ion beam research and secondary effects of ion beams; material sciences, geological, life sciences, environmental and industrial applications; computing codes for use in accelerator research; high energy heavy ion scattering and recoil; recent technological development using ion beams. The handbook contains the workshop's program, 29 abstracts and a list of participants

  17. Cooled heavy ion beams at the ESR

    International Nuclear Information System (INIS)

    Steck, M.; Beckert, K.; Bosch, F.; Eickhoff, H.; Franzke, B.; Klepper, O.; Nolden, F.; Reich, H.; Schlitt, B.; Spaedtke, P.; Winkler, T.

    1996-01-01

    The storage ring ESR has been used in various operational modes for experiments with electron cooled heavy ion beams. Besides the standard storage mode including injection and beam accumulation the deceleration of highly charged ions has been demonstrated. Beams of highly charged ions have been injected and accumulated and finally decelerated to a minimum energy of 50 MeV/u. An ultraslow extraction method using charge changing processes is now also available for cooled beams of highly charged ions. For in ring experiments the internal gas jet and the cold electron beam of the cooling system are applied as targets. High precision mass spectrometry by Schottky noise detection has been demonstrated. Operation at transition energy has been achieved with cooled beams opening the field for experiments which require an isochronous revolution of the ions. (orig.)

  18. Characterization and modification of the interface of superconducting Nb/Cu cavities by ion beams

    International Nuclear Information System (INIS)

    El Bouanani, M.

    1990-05-01

    Radiofrequency superconducting Nb/Cu cavities for electron beam acceleration are studied in a collaboration between CEA and IN2P3 (CNRS). The quality of superconducting cavity is closely related to the purity of the surface material. In such an aim, carbon and oxygen contaminations at the surface and at the interface of the Nb/Cu device has been measured. Since the niobium film is deposited on copper by magnetron sputtering under argon atmosphere, argon analysis is performed using the resonance in the nuclear reaction Ar 40 (p,γ) 41 K at the proton energy of 1102 keV. In order to simulate the energy deposition occurring during particle acceleration, Nb/Cu samples have been irradiated with a 600 keV argon beam. The element distribution evolution is then followed by RBS and NBS. Ion beam mixing effect in the case of samples with oxygen interface contamination (Nb/Nb + Ox + Cu/Cu) is shown to be enhanced [fr

  19. Intense beams of light ions

    International Nuclear Information System (INIS)

    Camarcat, Noel

    1985-01-01

    Results of experiments performed in order to accelerate intense beams of light and heavier ions are presented. The accelerating diodes are driven by existing pulsed power generators. Optimization of the generator structure is described in chapter I. Nuclear diagnostics of the accelerated light ion beams are presented in chapter II. Chapter III deals with the physics of intense charged particle beams. The models developed are applied to the calculation of the performances of the ion diodes described in the previous chapters. Chapter IV reports preliminary results on a multiply ionized carbon source driven by a 0.1 TW pulsed power generator. (author) [fr

  20. Constraints on ion beam handling for intersecting beam experiments

    International Nuclear Information System (INIS)

    Kruse, T.

    1981-01-01

    The intense synchrotron radiation beams from the NSLS uv or x-ray storage rings still do not compare in monochromatized photon flux with a laser beam, a fact which becomes apparent in considering reaction rates for interaction of photon and ion beams. There are two prototypical interaction geometries, parallel and perpendicular. Calculations should properly be done in the rest frame of the ion beam; however, expected beta values are small, so the lab frame will be employed and aberration and Doppler shift effects neglected

  1. Measurement of ultra-low ion energy of decelerated ion beam using a deflecting electric field

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P.; Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In investigation on ultra-low-energy ion bombardment effect on DNA, an ion beam deceleration lens was developed for high-quality ultra-low-energy ion beam. Measurement of the ion energy after deceleration was necessary to confirm the ion beam really decelerated as theoretically predicted. In contrast to conventional methods, this work used a simple deflecting electrostatic field after the deceleration lens to bend the ion beam. The beam bending distance depended on the ion energy and was described and simulated. A system for the measurement of the ion beam energy was constructed. It consisted of a pair of parallel electrode plates to generate the deflecting electrical field, a copper rod measurement piece to detect ion beam current, a vernier caliper to mark the beam position, a stepping motor to translate the measurement rod, and a webcam-camera to read the beam bending distance. The entire system was installed after the ion-beam deceleration lens inside the large chamber of the bioengineering vertical ion beam line. Moving the measurement rod across the decelerated ion beam enabled to obtain beam profiles, from which the beam bending distance could be known and the ion beam energy could be calculated. The measurement results were in good agreement with theoretical and simulated results.

  2. Direct evidence for a thermal effect of Ar+ ion bombardment in a conventional sputtering mode

    International Nuclear Information System (INIS)

    Okuyama, F.; Fujimoto, Y.

    1986-01-01

    Evidence is presented that the Ar + ion bombardment for sputtering in Auger electron spectroscopy can heat the target up to 2000 0 C if the target has poor heat conduction. Polycrystalline microneedles of Cr exhibited spherical tips after being exposed to 3 keV Ar + ions, proving that the needle tips were melted by impacting Ar + ions. Microneedles of Mo ion bombarded under the same condition were bent plastically, which perhaps reflects the thermal annealing of the needles during ion bombardment

  3. Ion beam analysis

    International Nuclear Information System (INIS)

    Bethge, K.

    1995-01-01

    Full text: Ion beam analysis is an accelerator application area for the study of materials and the structure of matter; electrostatic accelerators of the Van de Graaff or Dynamitron type are often used for energies up to a few MeV. Two types of machines are available - the single-ended accelerator type with higher beam currents and greater flexibility of beam management, or the tandem accelerator, limited to atomic species with negative ions. The accelerators are not generally installed at specialist accelerator laboratories and have to be easy to maintain and simple to operate. The most common technique for industrial research is Rutherford Back Scattering Spectrometry (RBS). Helium ions are the preferred projectiles, since at elevated energies (above 3 MeV) nuclear resonance scattering can be used to detect photons associated with target molecules containing elements such as carbon, nitrogen or oxygen. Due to the large amount of available data on nuclear reactions in this energy range, activation analysis (detecting trace elements by irradiating the sample) can be performed with charged particles from accelerators over a wider range of atoms than with the conventional use of neutrons, which is more suited to light elements. Resonance reactions have been used to detect trace metals such as aluminium, titanium and vanadium. Hydrogen atoms are vital to the material performance of several classes of materials, such as semiconductors, insulators and ceramics. Prudent selection of the projectile ion aids the analysis of hydrogen composition; the technique is then a simple measurement of the emitted gamma radiation. Solar cell material and glass can be analysed in this way. On a world-wide basis, numerous laboratories perform ion beam analysis for research purposes; considerable work is carried out in cooperation between scientific laboratories and industry, but only a few laboratories provide a completely commercial service

  4. An ion beam deceleration lens for ultra-low-energy ion bombardment of naked DNA

    Energy Technology Data Exchange (ETDEWEB)

    Thopan, P.; Prakrajang, K. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongkumkoon, P. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuldyuld@gmail.com [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Highlights: ► An ion beam deceleration lens was designed and constructed. ► The deceleration lens was installed and tested. ► The decelerated ion beam energy was measured using an electrical field. ► Decelerated ultra-low-energy ion beam bombarded naked DNA. ► Ion beam with energy of a few tens of eV could break DNA strands. -- Abstract: Study of low-energy ion bombardment effect on biological living materials is of significance. High-energy ion beam irradiation of biological materials such as organs and cells has no doubt biological effects. However, ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range. To investigate effects from very-low-energy ion bombardment on biological materials, an ion beam deceleration lens is necessary for uniform ion energy lower than keV. A deceleration lens was designed and constructed based on study of the beam optics using the SIMION program. The lens consisted of six electrodes, able to focus and decelerate primary ion beam, with the last one being a long tube to obtain a parallel uniform exiting beam. The deceleration lens was installed to our 30-kV bioengineering-specialized ion beam line. The final decelerated-ion energy was measured using a simple electrostatic field to bend the beam to range from 10 eV to 1 keV controlled by the lens parameters and the primary beam condition. In a preliminary test, nitrogen ion beam at 60 eV decelerated from a primary 20-keV beam bombarded naked plasmid DNA. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks. The study demonstrated that the ion bombardment with energy as low as several-tens eV was possible to break DNA strands and thus potential to cause genetic modification of biological cells.

  5. An ion beam deceleration lens for ultra-low-energy ion bombardment of naked DNA

    International Nuclear Information System (INIS)

    Thopan, P.; Prakrajang, K.; Thongkumkoon, P.; Suwannakachorn, D.; Yu, L.D.

    2013-01-01

    Highlights: ► An ion beam deceleration lens was designed and constructed. ► The deceleration lens was installed and tested. ► The decelerated ion beam energy was measured using an electrical field. ► Decelerated ultra-low-energy ion beam bombarded naked DNA. ► Ion beam with energy of a few tens of eV could break DNA strands. -- Abstract: Study of low-energy ion bombardment effect on biological living materials is of significance. High-energy ion beam irradiation of biological materials such as organs and cells has no doubt biological effects. However, ion energy deposition in the ion-bombarded materials dominantly occurs in the low-energy range. To investigate effects from very-low-energy ion bombardment on biological materials, an ion beam deceleration lens is necessary for uniform ion energy lower than keV. A deceleration lens was designed and constructed based on study of the beam optics using the SIMION program. The lens consisted of six electrodes, able to focus and decelerate primary ion beam, with the last one being a long tube to obtain a parallel uniform exiting beam. The deceleration lens was installed to our 30-kV bioengineering-specialized ion beam line. The final decelerated-ion energy was measured using a simple electrostatic field to bend the beam to range from 10 eV to 1 keV controlled by the lens parameters and the primary beam condition. In a preliminary test, nitrogen ion beam at 60 eV decelerated from a primary 20-keV beam bombarded naked plasmid DNA. The original DNA supercoiled form was found to change to relaxed and linear forms, indicating single or double strand breaks. The study demonstrated that the ion bombardment with energy as low as several-tens eV was possible to break DNA strands and thus potential to cause genetic modification of biological cells

  6. Intense ion beams for inertial confinement fusion

    International Nuclear Information System (INIS)

    Mehlhorn, T.A.

    1997-01-01

    Intense beams of light of heavy ions are being studied as inertial confinement fusion (ICF) drivers for high yield and energy. Heavy and light ions have common interests in beam transport, targets, and alternative accelerators. Self-pinched transport is being jointly studied. This article reviews the development of intense ion beams for ICF. Light-ion drivers are highlighted because they are compact, modular, efficient and low cost. Issues facing light ions are: (1) decreasing beam divergence; (2) increasing beam brightness; and (3) demonstrating self-pinched transport. Applied-B ion diodes are favored because of efficiency, beam brightness, perceived scalability, achievable focal intensity, and multistage capability. A light-ion concept addressing these issues uses: (1) an injector divergence of ≤ 24 mrad at 9 MeV; (2) two-stage acceleration to reduce divergence to ≤ 12 mrad at 35 MeV; and (3) self-pinched transport accepting divergences up to 12 mrad. Substantial progress in ion-driven target physics and repetitive ion diode technology is also presented. Z-pinch drivers are being pursued as the shortest pulsed power path to target physics experiments and high-yield fusion. However, light ions remain the pulsed power ICF driver of choice for high-yield fusion energy applications that require driver standoff and repetitive operation. 100 refs

  7. Evaluation of Negative-Ion-Beam Driver Concepts for Heavy Ion Fusion

    International Nuclear Information System (INIS)

    Grisham, Larry R.

    2002-01-01

    We evaluate the feasibility of producing and using atomically neutral heavy ion beams produced from negative ions as drivers for an inertial confinement fusion reactor. Bromine and iodine appear to be the most attractive elements for the driver beams. Fluorine and chlorine appear to be the most appropriate feedstocks for initial tests of extractable negative ion current densities. With regards to ion sources, photodetachment neutralizers, and vacuum requirements for accelerators and beam transport, this approach appears feasible within existing technology, and the vacuum requirements are essentially identical to those for positive ion drivers except in the target chamber. The principal constraint is that this approach requires harder vacuums in the target chamber than do space-charge-neutralized positive ion drivers. With realistic (but perhaps pessimistic) estimates of the total ionization cross section, limiting the ionization of a neutral beam to less than 5% while traversing a four -meter path would require a chamber pressure of no more than 5 x 10 -5 torr. Alternatively, even at chamber pressures that are too high to allow propagation of atomically neutral beams, the negative ion approach may still have appeal, since it precludes the possibly serious problem of electron contamination of a positive ion beam during acceleration, drift compression, and focusing

  8. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  9. Ion beam studies

    International Nuclear Information System (INIS)

    Freeman, J.H.; Chivers, D.J.; Gard, G.A.; Temple, W.

    1977-04-01

    A description of techniques for the production of intense beams of heavy ions is given. A table of recommended operational procedures for most elements is included. The ionisation of boron is considered in some detail because of its particular importance as a dopant for ion implantation. (author)

  10. Beam-loss induced pressure rise of Large Hadron Collider collimator materials irradiated with 158  GeV/u In^{49+} ions at the CERN Super Proton Synchrotron

    Directory of Open Access Journals (Sweden)

    E. Mahner

    2004-10-01

    Full Text Available During heavy ion operation, large pressure rises, up to a few orders of magnitude, were observed at CERN, GSI, and BNL. The dynamic pressure rises were triggered by lost beam ions that impacted onto the vacuum chamber walls and desorbed about 10^{4} to 10^{7} molecules per ion. The deterioration of the dynamic vacuum conditions can enhance charge-exchange beam losses and can lead to beam instabilities or even to beam abortion triggered by vacuum interlocks. Consequently, a dedicated measurement of heavy-ion induced molecular desorption in the GeV/u energy range is important for Large Hadron Collider (LHC ion operation. In 2003, a desorption experiment was installed at the Super Proton Synchrotron to measure the beam-loss induced pressure rise of potential LHC collimator materials. Samples of bare graphite, sputter coated (Cu, TiZrV graphite, and 316 LN (low carbon with nitrogen stainless steel were irradiated under grazing angle with 158  GeV/u indium ions. After a description of the new experimental setup, the results of the pressure rise measurements are presented, and the derived desorption yields are compared with data from other experiments.

  11. Ion beam techniques for analyzing polymers irradiated by ions

    International Nuclear Information System (INIS)

    Rickards, J.; Zironi, E.P.; Andrade, E.; Dominguez, B.

    1992-01-01

    In the study of the effects of ion beam irradiation of polymers very large doses can be administered in short times. Thousands of MGy can be produced in a small volume of a sample in a few minutes by bombarding with typical ion beam currents. For instance, in an experiment done to observe the effects of 750 keV proton irradiation PVC, using a collimator of 1 mm diameter, 1 μC of charge integration deposits a dose of 50 MGy. The use of ion beams also opens up the possibility of using the same beam for irradiation and for analysis of the effects, using the well known ion beam analysis techniques. PIXE allows the measurement of chlorine in PVC. Polymers containing fluorine can be measured with the resonant nuclear reaction (RNR) technique, which is specific only to certain elements. The amount of hydrogen in the sample and its profile can be obtained using energy recoil detection analysis (ERDA); carbon, oxygen, and nitrogen can be measured and profiled using Rutherford backscattering (RBS) and also using the (d,p) and (d, α) nuclear reactions (NR). Loss of mass is one effect that can be studied using these techniques. It was studied in two different polymers, PVC and CR-39, in order to determine carbon buildup during ion irradiation. It was concluded that carbon builds up following different mechanisms in these two materials, due to the different possibilities of forming volatile compounds. It is also suggested that CR-39 should be a good material for ion beam lithography. (author)

  12. Redeposition of sputtered material in a glow-discharge lamp measured by means of an ion microprobe mass analyser

    International Nuclear Information System (INIS)

    Ferreira, N.P.; Bueger, P.A.

    1978-01-01

    The redeposition of sputtered material on the target in a Grimm-type glow-discharge lamp was studied by means of an ion microprobe mass analyser (IMMA) using 16 O 2 + ions as bombarding species. The target was an aluminium disc with a cylindrical copper insertion, one mm in diameter. The lamp was operated at currents of 50 mA and 100 mA and a voltage of 1200 V. It is estimated that 17% of the copper atoms sputtered are redeposited and may be resputtered. (orig.) [de

  13. Formation of thin film of negative and positive ions

    Energy Technology Data Exchange (ETDEWEB)

    Horino, Yuji; Tsubouchi, Nobuteru [Osaka National Research Inst., AIST, Ikeda (Japan)

    1997-02-01

    Positive and negative ions deposition apparatus (PANDA) was developed by us as new synthesis method of materials. This apparatus is able to form simultaneously or independently the positive and negative ion beams to separate the mass and to control the energy from 10 eV to 3 KeV. It consists of positive beam line, negative beam line and a film formation room. Microwave discharge ion source and plasma sputtering source are used as the positive ion and the negative ion source, respectably. The beam generation test was carried out. The negative ion beams were generated from silicon wafer (target) and measured by MS. The mass spectrum of extracted negative silicon beams showed mass number 28, 29, and 30 of Si{sup -} and Si{sub 2}{sup -}. It proved that ions were separated in the isotope level. Therefore, film, it`s purity is isotope level, may be formed by such ion beams. (S.Y.)

  14. Conical pinched electron beam diode for intense ion beam source

    International Nuclear Information System (INIS)

    Matsukawa, Yoshinobu; Nakagawa, Yoshiro

    1982-01-01

    For the purpose of improvement of the pinched electron beam diode, the production of an ion beam by a diode with electrodes in a conical shape was studied at low voltage operation (--200 kV). The ion beam is emitted from a small region of the diode apex. The mean ion beam current density near the axis at 12 cm from the diode apex is two or three times that from an usual flat parallel diode with the same dimension and impedance. The brightness and the power brightness at the otigin are 450 MA/cm 2 sr and 0.12 TW/cm 2 sr respectively. (author)

  15. Sputtering of water ice

    International Nuclear Information System (INIS)

    Baragiola, R.A.; Vidal, R.A.; Svendsen, W.; Schou, J.; Shi, M.; Bahr, D.A.; Atteberrry, C.L.

    2003-01-01

    We present results of a range of experiments of sputtering of water ice together with a guide to the literature. We studied how sputtering depends on the projectile energy and fluence, ice growth temperature, irradiation temperature and external electric fields. We observed luminescence from the decay of H(2p) atoms sputtered by heavy ion impact, but not bulk ice luminescence. Radiolyzed ice does not sputter under 3.7 eV laser irradiation

  16. Developments of saddle field ion sources and their applications

    International Nuclear Information System (INIS)

    Abdelrahman, M.M.; Helal, A.G.

    2009-01-01

    Ion sources should have different performance parameters according to the various applications for which they are used, ranging from ion beam production to high energy ion implanters. There are many kinds of ion sources, which produce different ion beams with different characteristics. This paper deals with the developments and applications of some saddle field ion sources which were designed and constructed in our lab. Theory of operation and types of saddle field ion sources are discussed in details. Some experimental results are given. The saddle field ion sources operate at low gas pressure and require neither magnetic field nor filament. This type of ion sources is used for many different applications as ion beam machining, sputtering, cleaning and profiling for surface analysis etc

  17. A quadrupole ion trap as low-energy cluster ion beam source

    CERN Document Server

    Uchida, N; Kanayama, T

    2003-01-01

    Kinetic energy distribution of ion beams was measured by a retarding field energy analyzer for a mass-selective cluster ion beam deposition system that uses a quadrupole ion trap as a cluster ion beam source. The results indicated that the system delivers a cluster-ion beam with energy distribution of approx 2 eV, which corresponded well to the calculation results of the trapping potentials in the ion trap. Using this deposition system, mass-selected hydrogenated Si cluster ions Si sub n H sub x sup + were actually deposited on Si(111)-(7x7) surfaces at impact kinetic energy E sub d of 3-30 eV. Observation by using a scanning tunneling microscope (STM) demonstrated that Si sub 6 H sub x sup + cluster ions landed on the surface without decomposition at E sub d =3 eV, while the deposition was destructive at E sub d>=18 eV. (author)

  18. Ion Beam Analysis, structure and corrosion studies of nc-TiN/a-Si{sub 3}N{sub 4} nanocomposite coatings deposited by sputtering on AISI 316L

    Energy Technology Data Exchange (ETDEWEB)

    García, J. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, J. Guadalupe Zuno 48, Los Belenes, Zapopan, Jal. 45101 (Mexico); Canto, C.E. [Instituto de Física, UNAM, Avenida de la Investigación S/N, Coyoacán, México, D.F. 04510 (Mexico); Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, J. Guadalupe Zuno 48, Los Belenes, Zapopan, Jal. 45101 (Mexico); Andrade, E., E-mail: andrade@fisica.unam.mx [Instituto de Física, UNAM, Avenida de la Investigación S/N, Coyoacán, México, D.F. 04510 (Mexico); Rodríguez, E.; Jiménez, O. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, J. Guadalupe Zuno 48, Los Belenes, Zapopan, Jal. 45101 (Mexico); Solis, C.; Lucio, O.G. de [Instituto de Física, UNAM, Avenida de la Investigación S/N, Coyoacán, México, D.F. 04510 (Mexico); Rocha, M.F. [ESIME-Z, Instituto Politécnico Nacional, ALM Zacatenco, México, D.F. 07738 (Mexico)

    2014-07-15

    In this work, nanocomposite coatings of nc-TiN/a-Si{sub 3}N{sub 4}, were deposited on AISI 316L stainless steel substrate by a DC and RF reactive magnetron co-sputtering technique using an Ar–N{sub 2} plasma. The structure of the coatings was characterized by means of XRD (X-ray Diffraction). The substrate and coating corrosion resistance were evaluated by potentiodynamic polarization using a Ringer solution as electrolyte. Corrosion tests were conducted with the purpose to evaluate the potential of this coating to be used on biomedical alloys. IBA (Ion Beam Analysis) techniques were applied to measure the elemental composition profiles of the films and, XPS (X-ray Photoelectron Spectroscopy) were used as a complementary technique to obtain information about the compounds present in the films. The nanocomposite coatings of nc-TiN/a-Si{sub 3}N{sub 4} show crystalline (TiN) and amorphous (Si{sub 3}N{sub 4}) phases which confer a better protection against the corrosion effects compared with that of the AISI 316L.

  19. Ion beam inertial fusion

    International Nuclear Information System (INIS)

    Bangerter, R.O.

    1995-01-01

    About twenty years ago, A. W. Maschke of Brookhaven National Laboratory and R. L. Martin of Argonne National Laboratory recognized that the accelerators that have been developed for high energy and nuclear physics are, in many ways, ideally suited to the requirements of inertial fusion power production. These accelerators are reliable, they have a long operating life, and they can be efficient. Maschke and Martin noted that they can focus ion beams to small focal spots over distances of many meters and that they can readily operate at the high pulse repetition rates needed for commercial power production. Fusion, however, does impose some important new constraints that are not important for high energy or nuclear physics applications. The most challenging new constraint from a scientific standpoint is the requirement that the accelerator deliver more than 10 14 W of beam power to a small quantity (less than 100 mg) of matter. The most challenging constraint from an engineering standpoint is accelerator cost. Maschke showed theoretically that accelerators could produce adequate work. Heavy-ion fusion is widely recognized to be a promising approach to inertial fusion power production. It provides an excellent opportunity to apply methods and technology developed for basic science to an important societal need. The pulsed-power community has developed a complementary, parallel approach to ion beam fusion known as light-ion fusion. The talk will discuss both heavy-ion and light-ion fusion. It will explain target physics requirements and show how they lead to constraints on the usual accelerator parameters such as kinetic energy, current, and emittance. The talk will discuss experiments that are presently underway, specifically experiments on high-current ion sources and injectors, pulsed-power machines recirculating induction accelerators, and transverse beam combining. The talk will give a brief description of a proposed new accelerator called Elise

  20. Beam-front dynamics and ion acceleration in drifting intense relativistic electron beams

    International Nuclear Information System (INIS)

    Alexander, K.F.; Hintze, W.

    1976-01-01

    Collective ion acceleration at the injection of a relativistic electron beam into a low-pressure gas or a plasma is discussed and its strong dependence on the beam-front dynamics is shown. A simple one-dimensional model taking explicitly into account the motion and ionizing action of the ions in the beam-front region is developed for the calculation of the beam drift velocity. The obtained pressure dependence is in good agreement with experimental data. The energy distribution is shown of the ions accelerated in the moving potential well of the space charge region. Scaling laws for the beam-front dynamics and ion acceleration are derived. (J.U.)

  1. Xe{sup +} ion beam induced rippled structures on Si miscut wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hanisch, Antje; Grenzer, Joerg [Forschungszentrum Dresden-Rossendorf, Dresden (Germany); Biermanns, Andreas; Pietsch, Ullrich [Institute of Physics, University of Siegen (Germany)

    2009-07-01

    We report on the influence of the initial roughness and crystallography of the substrate on the formation of self-organized ripple structures on semiconductors surfaces by noble gas ion bombardment. The Bradley-Harper theory predicts that an initial roughness is most important for starting the sputtering process which in the ends leads to the evolution of regular patterns. We produced periodic structures with intermediate Xe{sup +} ion energies (5-70 keV) at different incidence and azimuthal angles which lead to the assumption that also crystallography plays a role at the beginning of ripple evolution. Most of the previous investigations started from the original roughness of a polished silicon wafer. We used (001) silicon wafers with a miscut angle of 1 , 5 and 10 towards[110]. We studied the ripple formation keeping the ion beam parallel to the[111],[-1-11] or[-111] direction, i.e. parallel, antiparallel or perpendicular to the miscut direction[110]. The parallel and antiparallel case implies a variation of the incidence angle with increased roughness over the surface step terraces. The perpendicular orientation means almost no roughness. The results were compared to normal Si(001) and Si(111) wafers.

  2. Steady State Sputtering Yields and Surface Compositions of Depleted Uranium and Uranium Carbide bombarded by 30 keV Gallium or 16 keV Cesium Ions.

    Energy Technology Data Exchange (ETDEWEB)

    Siekhaus, W. J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Teslich, N. E. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Weber, P. K. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2014-10-23

    Depleted uranium that included carbide inclusions was sputtered with 30-keV gallium ions or 16-kev cesium ions to depths much greater than the ions’ range, i.e. using steady-state sputtering. The recession of both the uranium’s and uranium carbide’s surfaces and the ion corresponding fluences were used to determine the steady-state target sputtering yields of both uranium and uranium carbide, i.e. 6.3 atoms of uranium and 2.4 units of uranium carbide eroded per gallium ion, and 9.9 uranium atoms and 3.65 units of uranium carbide eroded by cesium ions. The steady state surface composition resulting from the simultaneous gallium or cesium implantation and sputter-erosion of uranium and uranium carbide were calculated to be U₈₆Ga₁₄, (UC)₇₀Ga₃₀ and U₈₁Cs₉, (UC)₇₉Cs₂₁, respectively.

  3. Secondary ion mass spectroscopy (SIMS)

    International Nuclear Information System (INIS)

    Naik, P.K.

    1975-01-01

    Secondary Ion Mass Spectrometry (SIMS) which is primarily a method for investigating the chemical composition of the uppermost atomic layer of solid surfaces is explained. In this method, the specimen is bombarded with a primary positive ion beam of small current density monolayer. Positive and negative ions sputtered from the specimen are mass analysed to give the surface chemical composition. The analytical system which consists of a primary ion source, a target manipulator and a mass spectrometer housed in an ultrahigh vacuum system is described. This method can also be used for profile measurements in thin films by using higher current densities of the primary ions. Fields of application such as surface reactions, semiconductors, thin films emission processes, chemistry, metallurgy are touched upon. Various aspects of this method such as the sputtering process, instrumentation, and applications are discussed. (K.B.)

  4. The accumulation of disorder, subject to saturation and sputter limitation, in ion irradiated solids

    International Nuclear Information System (INIS)

    Carter, G.; Webb, R.; Collins, R.

    1978-01-01

    The depth distribution of disorder and the depth integrated disorder produced by ion irradiation of solids is analysed theoretically as a function of increasing ion fluence when disorder saturation processes operate at all depths and the solid surface is continuously uniformly eroded by sputtering. The resulting defining equations are evaluated numerically for a Gaussian approximation to the disorder depth function with parameters appropriate to low, equal and high projectile:substrate mass ratio conditions, for several values of sputtering coefficient and effective atom displacement energy. It is shown that the form, if not the magnitude, of the integrated disorder/projectile fluence function is only weakly dependent upon these parameters. More meaningful comparison with depth resolved disorder functions is, however, possible and such a comparison is made for 100 keV Sb projectiles on a Si substrate. (author)

  5. Monte Carlo calculations of ligth-ion sputtering as a function of the incident angle

    International Nuclear Information System (INIS)

    Haggmark, L.G.; Biersack, J.P.

    1980-01-01

    The sputtering of metal surfaces by light ions has been studied as a function of the incident angle using an extension of the TRIM Monte Carlo computer program. Sputtering yields were calculated at both normal and oblique angles of incidence for H, D, T, and 4 He impinging on Ni, Mo, and Au targets with energies <= 10 keV. Direct comparisons are made with the most recent experimental and theoretical results. There is generally good agreement with the experimental data although our calculated maximum in the yield usually occurs at a smaller incident angle, measured from the surface normal. The enhancement of the yield at large incident angles over that at normal incidence is observed to be a complex function of the incident ion's energy and mass and the target's atomic weight and surface binding energy. (orig.)

  6. Multicharged and intense heavy ion beam sources

    International Nuclear Information System (INIS)

    Kutner, V.B.

    1981-01-01

    The cyclotron plasma-are source (PIG), duoplasmatron (DP), laser source (LS), electron beam ion source (EBIS) and electron cyclotron resonance source (ECRS) from the viewpoint of generating intense and high charge state beams are considered. It is pointed out that for the last years three types of multicharged ion sources-EBIS, ECR and LS have been essentially developed. In the EBIS source the Xe 48+ ions are produced. The present day level of the development of the electron-beam ionization technique shows that by means of this technique intensive uranium nuclei beams production becomes a reality. On the ECR source Xe 26+ approximately 4x10 10 h/s, Asub(r)sup(12+) approximately 10 12 h/s intensive ion beams are produced. In the laser source a full number of C 6+ ions during one laser pulse constitutes not less than 10 10 from the 5x10mm 2 emission slit. At the present time important results are obtained pointing to the possibility to separate the ion component of laser plasma in the cyclotron central region. On the PIG source the Xe 15+ ion current up to 10μA per pulse is produced. In the duoplasmatron the 11-charge state of xenon ion beams is reached [ru

  7. Auroral ion beams and ion acoustic wave generation by fan instability

    Energy Technology Data Exchange (ETDEWEB)

    Vaivads, A

    1996-04-01

    Satellite observations indicate that efficient energy transport among various plasma particles and between plasma waves and plasma particles is taking place in auroral ion beam regions. These observations show that two characteristic wave types are associated with the auroral ion beam regions: electrostatic hydrogen cyclotron waves with frequencies above hydrogen gyrofrequency, and low frequency waves with frequencies below hydrogen gyrofrequency. We speculate that the low frequency waves can be ion acoustic waves generated through the fan instability. The presence of a cold background ion component is necessary for the onset of this instability. A cold ion component has been directly observed and has been indirectly suggested from observations of solitary wave structures. The wave-particle interaction during the development of the fan instability results in an efficient ion beam heating in the direction perpendicular to the ambient magnetic field. The fan instability development and the ion beam heating is demonstrated in a numerical particle simulation. 23 refs, 16 figs.

  8. Intense ion beam research at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Bartsch, R.R.; Davis, H.A.; Faehl, R.J.; Gautier, D.C.; Greenly, J.B.; Henins, I.; Linton, T.W.; Muenchausen, R.E.; Waganaar, W.J.

    1992-01-01

    Two new interdisciplinary programs are underway at Los Alamos involving the physics and technology of intense light ion beams. In contrast to high-power ICF applications, the LANL effort concentrates on the development of relatively low-voltage (50 to 800 kV) and long-pulsewidth (0.1 to 1 μs) beams. The first program involves the 1.2 MV, 300-kJ Anaconda generator which has been fitted with an extraction ion diode. Long pulsewidth ion beams have been accelerated, propagated, and extracted for a variety of magnetic field conditions. The primary application of this beam is the synthesis of novel materials. Initial experiments on the congruent evaporative deposition of metallic and ceramic thin films are reported. The second program involves the development of a 120-keV, 50-kA, 1-μs proton beam for the magnetic fusion program as an ion source for an intense diagnostic neutral beam. Ultra-bright, pulsed neutral beams will be required to successfully measure ion temperatures and thermalized alpha particle energy distributions in large, dense, ignited tokamaks such as ITER

  9. Intense ion beam research at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Bartsch, R.R.; Davis, H.A.; Faehl, R.J.; Gautier, D.C.; Greenly, J.B.; Henins, I.; Linton, T.W.; Muenchausen, R.E.; Waganaar, W.J.

    1993-01-01

    Two new interdisciplinary programs are underway at Los Alamos involving the physics and technology of intense light ion beams. In contrast to high-power ICF applications, the LANL effort concentrates on the development of relatively low-voltage (50 to 800 kV) and long pulsewidth (0.1 to 1 μs) beams. The first program involves the 1.2 MV, 300-kJ Anaconda generator which has been fitted with an extraction ion diode. Long pulsewidth ion beams have been accelerated, propagated, and extracted for a variety of magnetic field conditions. The primary application of this beam is the synthesis of novel materials. Initial experiments on the congruent evaporative deposition of metallic and ceramic thin films are reported. The second program involves the development of a 120-keV, 50-kA, 1-μs proton beam for the magnetic fusion program as an ion source for an intense diagnostic neutral beam. Ultra-bright, pulsed neutral beams will be required to successfully measure ion temperatures and thermalized alpha particle distributions in large, dense, ignited tokamaks such as ITER

  10. Development of ion/proton beam equipment for industrial uses

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Ho; Lee, J. H.; Cho, Y. S.; Joo, P. K.; Kang, S. S.; Song, W. S.; Kim, H. J.; Chang, G. H.; Bang, S. W

    1999-12-01

    KAERI has possessed design and fabrication technologies of various ion sources including Duoplasmatron and DuoPiGatron developed by R and D projects of the long-term nuclear technology development program. In order to industrialize ion beam equipments utilizing these ion sources, a technology transfer project for a technology transfer project for a domestic firm has been performed. Under this project, engineers of the firm have been trained through classroom lectures of ion beam principles and OJT, an ion/proton beam equipment (DEMO equipment) has been designed, assembled and commissioned jointly with the engineers. Quality of the ion sources has been quantified, and technologies for ion beam equipment construction, functional test and application research have been developed. The DEMO equipment, which consists of an ion source, power supplies, vacuum, cooling and target systems, has been fabricated and tested to secure stability and reliability for industrial uses. Various characteristic tests including high voltage insulation, beam extraction, beam current measuring, etc. have been performed. This DEMO can be utilized for ion sources development as well as ion beam process development for various industrial products. Engineers of the firm have been trained for the industrialization of ion beam equipment and joined in beam application technology development to create industrial needs of beam equipment. (author)

  11. Temperature-dependent ion beam mixing

    International Nuclear Information System (INIS)

    Rehn, L.E.; Alexander, D.E.

    1993-08-01

    Recent work on enhanced interdiffusion rates during ion-beam mixing at elevated temperatures is reviewed. As discussed previously, expected increase in ion-beam mixing rates due to 'radiation-enhanced diffusion' (RED), i.e. the free migration of isolated vacancy and interstitial defects, is well documented in single-crystal specimens in the range of 0.4 to 0.6 of absolute melting temperature. In contrast, the increase often observed at somewhat lower temperatures during ion-beam mixing of polycrystalline specimens is not well understood. However, sufficient evidence is available to show that this increase reflects intracascade enhancement of a thermally-activated process that also occurs without irradiation. Recent evidence is presented which suggests that this process is Diffusion-induced Grain-Boundary Migration (DIGM). An important complementary conclusion is that because ion-beam mixing in single-crystal specimens exhibits no significant temperature dependence below that of RED, models that invoke only irradiation-specific phenomena, e.g., cascade-overlap, thermal-spikes, or liquid-diffusion, and hence which predict no difference in mixing behavior between single- or poly-crystalline specimens, cannot account for the existing results

  12. An ion accelerator facility for the preparation of nuclear bombardement targets

    International Nuclear Information System (INIS)

    Grime, G.W.; Takacs, J.

    1981-01-01

    As a result of the demand for increasingly complex nuclear bombardment targets in this laboratory, work has started on the construction of a medium-energy accelerator facility capable of preparing targets both by ion implantation and by heavy-ion sputtering. Basic consideration was given in the design to flexibility and simplicity. The ion source chosen was the Harwell sputter ion gun which is capable of producing ions of practically any element at currents up to several hundred μA. This was modified to suit our specific requirement. The acceleration system was constructed to operate at a maximum of 100 kV, and the beam is focussed by a three-cylinder electrostatic lens. The ions are analysed by 50 0 magnet which is capable of a mass dispersion of 7 mm in the target chamber between adjacent mass numbers at mass 100. A slit feedback system is used to stabilise the energy against short-term fluctuations. The system is fitted with two target chambers; one after the magnet and one after the electrostatic lens. The latter is used for applications such as sputtering. Two dimensional scanning is available in both target chambers for ensuring uniformity of implantation over areas larger than the spot size. Using this apparatus, implanted targets of 3 He and 20 Ne have been prepared. In addition high quality films of refractory metals have been sputtered using Ar or Xe beams. (orig.)

  13. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  14. Part 1: characterization of beam synthesized catalytic materials. Part 2: further development of molecular SIMS

    International Nuclear Information System (INIS)

    Scheifers, S.M.

    1985-01-01

    Part I of this thesis concerns characterization of catalyst materials prepared by an ion beam implanter and by a multiple expansion cluster source. Ion beam synthesis was carried out on a 250-kev ion implanter. After assembling a special reaction chamber, zeolites were implanted with phosphorous and iron. This work contributed to development of a sputter reactor for ion beam synthesis. Silver catalysts were examined in a reactor designed, built and evaluated for catalysts produced by the sputter reactor and by a multiple expansion cluster source. Small surface area silver foil catalysts and silver cluster catalysts showed kinetic activity for epoxidation of ethylene. Positive results for the small surface area silver cluster catalyst demonstrate the feasibility of studying these catalysts with the special reactor. Part 2 concerns fundamentals and applications of secondary ion mass spectrometry. A data system was implemented for a secondary ion mass spectrometer that involved design and construction of a computer interface. Software routines for the interface were written in assembly language for increased operation efficiency

  15. Ion sources development at GANIL for radioactive beams and high charge state ions

    International Nuclear Information System (INIS)

    Leroy, R.; Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Gaubert, G.; Gibouin, S.; Huguet, Y.; Jardin, P.; Lecesne, N.; Leherissier, P.; Lemagnen, F.; Pacquet, J.Y.; Pellemoine-Landre, F.; Rataud, J.P.; Saint-Laurent, M.G.; Villari, A.C.C.; Maunoury, L.

    2001-01-01

    The GANIL laboratory has in charge the production of ion beams for nuclear and non nuclear physics. This article reviews the last developments that are underway in the fields of radioactive ion beam production, increase of the metallic ion intensities and production of highly charges ion beams. (authors)

  16. Beam emittance measurements on multicusp ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Sarstedt, M.; Lee, Y.; Leung, K.N. [and others

    1995-08-01

    Multicusp ion sources are used for various applications. Presently, the implementation of this type of ion source planned for the development of an ion beam lithography machine, which will be used for the projection of sub-0.2 {mu}m patterns onto a wafer substrate. Since, for this application, a very good beam quality and a small ion energy spread are required, emittance measurements have been performed on a multicusp ion source for various source conditions. It is shown that the installation of proper capacitors between the extraction electrodes is necessary to avoid rf-pickup, which otherwise leads to a distortion of the beam emittance. The influence of the magnetic filter field on the beam emittance has been investigated, and the beam emittance of a dc filament-discharge plasma has also been compared to that of an rf-generated plasma.

  17. Beam emittance measurements on multicusp ion sources

    International Nuclear Information System (INIS)

    Sarstedt, M.; Lee, Y.; Leung, K.N.

    1995-08-01

    Multicusp ion sources are used for various applications. Presently, the implementation of this type of ion source planned for the development of an ion beam lithography machine, which will be used for the projection of sub-0.2 μm patterns onto a wafer substrate. Since, for this application, a very good beam quality and a small ion energy spread are required, emittance measurements have been performed on a multicusp ion source for various source conditions. It is shown that the installation of proper capacitors between the extraction electrodes is necessary to avoid rf-pickup, which otherwise leads to a distortion of the beam emittance. The influence of the magnetic filter field on the beam emittance has been investigated, and the beam emittance of a dc filament-discharge plasma has also been compared to that of an rf-generated plasma

  18. Colliding-beams polarized ion source

    International Nuclear Information System (INIS)

    Trainor, T.A.; Douglas, J.G.; Badt, D.; Christiensen, C.; Herron, A.; Leach, D.; Olsen, J.; Osborne, J.L.; Zeps, V.

    1985-01-01

    This ion source was to be purchased from ANAC, Inc., a New Zealand-based supplier of beam optics hardware and atomic beam polarized ion sources in December 1982. Shortly before scheduled delivery ANAC went into receivership. During 1983 little work was done on the project as various steps were taken by us, first to get the ion source completed at ANAC, and then, failing that, to obtain the existing parts. In early 1984 we began work to finish the ion source in Seattle. The project is nearly complete, and this article presents progress to date. 2 refs

  19. Modeling of ion beam surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Stinnett, R W [Quantum Manufacturing Technologies, Inc., Albuquerque, NM (United States); Maenchen, J E; Renk, T J [Sandia National Laboratories, Albuquerque, NM (United States); Struve, K W [Mission Research Corporation, Albuquerque, NM (United States); Campbell, M M [PASTDCO, Albuquerque, NM (United States)

    1997-12-31

    The use of intense pulsed ion beams is providing a new capability for surface engineering based on rapid thermal processing of the top few microns of metal, ceramic, and glass surfaces. The Ion Beam Surface Treatment (IBEST) process has been shown to produce enhancements in the hardness, corrosion, wear, and fatigue properties of surfaces by rapid melt and re-solidification. A new code called IBMOD was created, enabling the modeling of intense ion beam deposition and the resulting rapid thermal cycling of surfaces. This code was used to model the effect of treatment of aluminum, iron, and titanium using different ion species and pulse durations. (author). 3 figs., 4 refs.

  20. Fabrication of electrocatalytic Ta nanoparticles by reactive sputtering and ion soft landing

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Grant E.; Moser, Trevor; Engelhard, Mark; Browning, Nigel D.; Laskin, Julia

    2016-11-07

    About 40 years ago, it was shown that tungsten carbide exhibits similar catalytic behavior to Pt for certain commercially relevant reactions, thereby suggesting the possibility of cheaper and earth-abundant substitutes for costly and rare precious metal catalysts. In this work, reactive magnetron sputtering of Ta in the presence of three model hydrocarbons (2-butanol, heptane, and m-xylene) combined with gas aggregation and ion soft landing was employed to prepare organic-inorganic hybrid nanoparticles (NPs) on surfaces for evaluation of catalytic activity and durability. The electro-catalytic behavior of the NPs supported on glassy carbon was evaluated in acidic aqueous solution by cyclic voltammetry. The Ta-heptane and Ta-xylene NPs were revealed to be active and robust toward promotion of the oxygen reduction reaction, an important process occurring at the cathode in fuel cells. In comparison, pure Ta and Ta-butanol NPs were essentially unreactive. Characterization techniques including atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM) were applied to probe how different sputtering conditions such as the flow rates of gases, sputtering current, and aggregation length affect the properties of the NPs. AFM images reveal the focused size of the NPs as well as their preferential binding along the step edges of graphite surfaces. In comparison, TEM images of the same NPs on carbon grids show that they bind randomly to the surface with some agglomeration but little coalescence. The TEM images also reveal morphologies with crystalline cores surrounded by amorphous regions for NPs formed in the presence of 2-butanol and heptane. In contrast, NPs formed in the presence of m-xylene are amorphous throughout. XPS spectra indicate that while the percentage of Ta, C, and O in the NPs varies depending on the sputtering conditions and hydrocarbon employed, the electron binding energies of the elements are similar