WorldWideScience

Sample records for sensitive chemical vapor

  1. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  2. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  3. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    Science.gov (United States)

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  4. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  5. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility

    Science.gov (United States)

    Kabadi, N. V.; Sio, H.; Glebov, V.; Gatu Johnson, M.; MacPhee, A.; Frenje, J. A.; Li, C. K.; Seguin, F.; Petrasso, R.; Forrest, C.; Knauer, J.; Rinderknecht, H. G.

    2016-11-01

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF's absolute sensitivity to neutrons. At Omega pTOF's sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At the NIF pTOF's sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. Some possible causes of this variability are ruled out.

  6. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  7. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  8. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  9. Dry transfer of chemical-vapor-deposition-grown graphene onto liquid-sensitive surfaces for tunnel junction applications

    International Nuclear Information System (INIS)

    Feng, Ying; Chen, Ke

    2015-01-01

    We report a dry transfer method that can tranfer chemical vapor deposition (CVD) grown graphene onto liquid-sensitive surfaces. The graphene grown on copper (Cu) foil substrate was first transferred onto a freestanding 4 μm thick sputtered Cu film using the conventional wet transfer process, followed by a dry transfer process onto the target surface using a polydimethylsiloxane stamp. The dry-transferred graphene has similar properties to traditional wet-transferred graphene, characterized by scanning electron microscopy, atomic force microscopy, Raman spectroscopy, and electrical transport measurements. It has a sheet resistance of 1.6 ∼ 3.4 kΩ/□, hole density of (4.1 ∼ 5.3) × 10 12 cm −2 , and hole mobility of 460 ∼ 760 cm 2 V −1 s −1 without doping at room temperature. The results suggest that large-scale CVD-grown graphene can be transferred with good quality and without contaminating the target surface by any liquid. Mg/MgO/graphene tunnel junctions were fabricated using this transfer method. The junctions show good tunneling characteristics, which demonstrates the transfer technique can also be used to fabricate graphene devices on liquid-sensitive surfaces. (paper)

  10. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  11. Sensitive coating for water vapors detection based on thermally sputtered calcein thin films.

    Science.gov (United States)

    Kruglenko, I; Shirshov, Yu; Burlachenko, J; Savchenko, A; Kravchenko, S; Manera, M G; Rella, R

    2010-09-15

    In this paper the adsorption properties of thermally sputtered calcein thin films towards water and other polar molecules vapors are studied by different characterization techniques: quartz crystal microbalance, surface plasmon resonance and visible spectroscopy. Sensitivity of calcein thin films to water vapors resulted much higher as compared with those of a number of dyes whose structure was close to that of calcein. All types of sensors with calcein coatings have demonstrated linear concentration dependences in the wide range of water vapor pressure from low concentrations up to 27,000 ppm (close to saturation). At higher concentrations of water vapor all sensors demonstrate the abrupt increase of the response (up to two orders). A theoretical model is advanced explaining the adsorption properties of calcein thin films taking into account their chemical structure and peculiarities of molecular packing. The possibility of application of thermally sputtered calcein films in sensing technique is discussed. Copyright (c) 2010 Elsevier B.V. All rights reserved.

  12. Highly sensitive and selective room-temperature NO_2 gas sensor based on bilayer transferred chemical vapor deposited graphene

    International Nuclear Information System (INIS)

    Seekaew, Yotsarayuth; Phokharatkul, Ditsayut; Wisitsoraat, Anurat; Wongchoosuk, Chatchawal

    2017-01-01

    Highlights: • Simple and low-cost fabrication of bilayer graphene gas sensor was presented. • Layer effects of graphene on NO_2 gas-sensing properties were investigated. • Bilayer graphene sensor exhibited a high linear NO_2 sensitivity of 1.409 ppm"−"1. • The NO_2-sensing mechanisms based on band diagram were highlighted. - Abstract: This work presents a highly sensitive room-temperature gas sensor based on bilayer graphene fabricated by an interfacial transfer of chemical vapor deposited graphene onto nickel interdigitated electrodes. Scanning electron microscopic and Raman spectroscopic characterizations confirm the presence of graphene on interdigitated nickel electrodes with varying numbers of graphene layers. The NO_2 detection performances of bilayer graphene gas sensor have been investigated in comparison with those of monolayer and multilayer graphene gas sensors at room temperature. From results, the bilayer graphene gas sensor exhibits higher response, sensitivity and selectivity to NO_2 than monolayer and multilayer graphene. The sensitivity of bilayer graphene gas sensor is 1.409 ppm"−"1 towards NO_2 over a concentration range of 1–25 ppm, which is more than twice higher than that of monolayer graphene. The NO_2-sensing mechanism of graphene sensing film has been explained based on the direct charge transfer process due to the adsorption of NO_2 molecules.

  13. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  14. A sensitive, handheld vapor sensor based on microcantilevers

    Science.gov (United States)

    Pinnaduwage, L. A.; Hedden, D. L.; Gehl, A.; Boiadjiev, V. I.; Hawk, J. E.; Farahi, R. H.; Thundat, T.; Houser, E. J.; Stepnowski, S.; McGill, R. A.; Deel, L.; Lareau, R. T.

    2004-11-01

    We report the development of a handheld sensor based on piezoresistive microcantilevers that does not depend on optical detection, yet has high detection sensitivity. The sensor is able to detect vapors from the plastic explosives pentaerythritol tetranitrate and hexahydro-1,3,5-triazine at levels below 10 parts per trillion within few seconds of exposure under ambient conditions. A differential measurement technique has yielded a rugged sensor that is unaffected by vibration and is able to function as a "sniffer." The microelectromechanical system sensor design allows for the incorporation of hundreds of microcantilevers with suitable coatings in order to achieve sufficient selectivity in the future, and thus could provide an inexpensive, unique platform for the detection of chemical, biological, and explosive materials.

  15. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  16. Highly sensitive and selective room-temperature NO{sub 2} gas sensor based on bilayer transferred chemical vapor deposited graphene

    Energy Technology Data Exchange (ETDEWEB)

    Seekaew, Yotsarayuth [Department of Physics, Faculty of Science, Kasetsart University, Chatuchak, Bangkok 10900 (Thailand); Phokharatkul, Ditsayut; Wisitsoraat, Anurat [Nanoelectronics and MEMS Laboratory, National Electronics and Computer Technology Center, Klong Luang, Pathumthani 12120 (Thailand); Wongchoosuk, Chatchawal, E-mail: chatchawal.w@ku.ac.th [Department of Physics, Faculty of Science, Kasetsart University, Chatuchak, Bangkok 10900 (Thailand)

    2017-05-15

    Highlights: • Simple and low-cost fabrication of bilayer graphene gas sensor was presented. • Layer effects of graphene on NO{sub 2} gas-sensing properties were investigated. • Bilayer graphene sensor exhibited a high linear NO{sub 2} sensitivity of 1.409 ppm{sup −1}. • The NO{sub 2}-sensing mechanisms based on band diagram were highlighted. - Abstract: This work presents a highly sensitive room-temperature gas sensor based on bilayer graphene fabricated by an interfacial transfer of chemical vapor deposited graphene onto nickel interdigitated electrodes. Scanning electron microscopic and Raman spectroscopic characterizations confirm the presence of graphene on interdigitated nickel electrodes with varying numbers of graphene layers. The NO{sub 2} detection performances of bilayer graphene gas sensor have been investigated in comparison with those of monolayer and multilayer graphene gas sensors at room temperature. From results, the bilayer graphene gas sensor exhibits higher response, sensitivity and selectivity to NO{sub 2} than monolayer and multilayer graphene. The sensitivity of bilayer graphene gas sensor is 1.409 ppm{sup −1} towards NO{sub 2} over a concentration range of 1–25 ppm, which is more than twice higher than that of monolayer graphene. The NO{sub 2}-sensing mechanism of graphene sensing film has been explained based on the direct charge transfer process due to the adsorption of NO{sub 2} molecules.

  17. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  18. Vaporization of chemical species and the production of aerosols during a core debris/concrete interaction

    International Nuclear Information System (INIS)

    Butland, A.T.D.; Mignanelli, M.A.; Potter, P.E.; Smith, P.N.

    1987-01-01

    The equilibrium chemical composition within gas bubbles sparging through isothermal molten corium-concrete mixtures has been evaluated theoretically. A series of sensitivity calculations gives some insight into a number of factors which are of importance in determining the radionuclide and non-radioactive releases during core-concrete interaction. The degree of mixing or layering of the pool has turned out to be of paramount importance in determining the magnitudes of the releases. The presence of unoxidized zirconium in the melt tends to enhance the release of a number of species and the type of concrete used for the base mat can have a significant effect. The predictions can be sensitive to the thermodynamic data used in the calculations. The vaporization of various species into the gas bubbles can require large amounts of heat; the loss of this heat from the melt can have an effect on the extent of the vaporization

  19. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  20. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  1. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  2. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  3. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  4. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  5. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    Science.gov (United States)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  6. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  7. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  8. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  9. Multiple chemical sensitivity

    DEFF Research Database (Denmark)

    Tran, Marie Thi Dao; Arendt-Nielsen, Lars; Kupers, Ron

    2013-01-01

    BACKGROUND: Multiple Chemical Sensitivity (MCS) is a chronic condition characterized by recurrent, non-specific symptoms in response to chemically unrelated exposures in non-toxic concentrations. Although the pathophysiology of MCS remains unknown, central sensitization may be an important factor...

  10. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  11. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  12. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  14. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  15. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  16. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  17. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  18. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    Science.gov (United States)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  19. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  20. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  1. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  2. Temperature Sensitivity of an Atomic Vapor Cell-Based Dispersion-Enhanced Optical Cavity

    Science.gov (United States)

    Myneni, K.; Smith, D. D.; Chang, H.; Luckay, H. A.

    2015-01-01

    Enhancement of the response of an optical cavity to a change in optical path length, through the use of an intracavity fast-light medium, has previously been demonstrated experimentally and described theoretically for an atomic vapor cell as the intracavity resonant absorber. This phenomenon may be used to enhance both the scale factor and sensitivity of an optical cavity mode to the change in path length, e.g. in gyroscopic applications. We study the temperature sensitivity of the on-resonant scale factor enhancement, S(sub o), due to the thermal sensitivity of the lower-level atom density in an atomic vapor cell, specifically for the case of the Rb-87 D(sub 2) transition. A semi-empirical model of the temperature-dependence of the absorption profile, characterized by two parameters, a(sub o)(T) and gamma(sub a)(T) allows the temperature-dependence of the cavity response, S(sub o)(T) and dS(sub o)/dT to be predicted over a range of temperature. We compare the predictions to experiment. Our model will be useful in determining the useful range for S(sub o), given the practical constraints on temperature stability for an atomic vapor cell.

  3. SAW RFID-Tags for Mass-Sensitive Detection of Humidity and Vapors

    Directory of Open Access Journals (Sweden)

    Gerhard Fischerauer

    2009-12-01

    Full Text Available One-port surface acoustic wave (SAW devices with defined reflector patterns give characteristic signal patterns in the time domain making them identifiable and leading to so-called RFID-Tags. Each sensor responds with a burst of signals, their timed positions giving the identification code, while the amplitudes can be related to the analyte concentration. This paper presents the first combination of such a transducer with chemically sensitive layer materials. These include crosslinked polyvinyl alcohol for determining relative humidity and tert-butylcalix[4]arene for detecting solvent vapors coated on the free space between the reflectors. In going from the time domain to the frequency domain by Fourier transformation, changes in frequency and phase lead to sensor responses. Hence, it is possible to measure the concentration of tetrachloroethene in air down to 50 ppm, as well as 1% changes in relative humidity.

  4. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  5. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  6. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  7. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  8. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  9. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  10. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  11. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  12. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  13. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  14. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    An electronic nose (e-nose) is a biologically inspired device designed to mimic the operation of the olfactory system. The e-nose utilizes a chemical sensor array consisting of broadly responsive vapor sensors, whose combined response produces a unique pattern for a given compound or mixture. The sensor array is inspired by the biological function of the receptor neurons found in the human olfactory system, which are inherently cross-reactive and respond to many different compounds. The use of an e-nose is an attractive approach to predict unknown odors and is used in many fields for quantitative and qualitative analysis. If properly designed, an e-nose has the potential to adapt to new odors it was not originally designed for through laboratory training and algorithm updates. This would eliminate the lengthy and costly R&D costs associated with materiel and product development. Although e-nose technology has been around for over two decades, much research is still being undertaken in order to find new and more diverse types of sensors. Graphene is a single-layer, 2D material comprised of carbon atoms arranged in a hexagonal lattice, with extraordinary electrical, mechanical, thermal and optical properties due to its 2D, sp2-bonded structure. Graphene has much potential as a chemical sensing material due to its 2D structure, which provides a surface entirely exposed to its surrounding environment. In this configuration, every carbon atom in graphene is a surface atom, providing the greatest possible surface area per unit volume, so that electron transport is highly sensitive to adsorbed molecular species. Graphene has gained much attention since its discovery in 2004, but has not been realized in many commercial electronics. It has the potential to be a revolutionary material for use in chemical sensors due to its excellent conductivity, large surface area, low noise, and versatile surface for functionalization. In this work, graphene is incorporated into a

  15. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  16. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  17. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  18. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  19. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  20. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  1. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  2. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  3. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  4. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  5. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  6. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  7. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  8. Using Satellites to Investigate the Sensitivity of Longwave Downward Radiation to Water Vapor at High Elevations

    Science.gov (United States)

    Naud, Catherine M.; Miller, James R.; Landry, Chris

    2012-01-01

    Many studies suggest that high-elevation regions may be among the most sensitive to future climate change. However, in situ observations in these often remote locations are too sparse to determine the feedbacks responsible for enhanced warming rates. One of these feedbacks is associated with the sensitivity of longwave downward radiation (LDR) to changes in water vapor, with the sensitivity being particularly large in many high-elevation regions where the average water vapor is often low. We show that satellite retrievals from the Moderate Resolution Imaging Spectroradiometer (MODIS) and Clouds and the Earth's Radiant Energy System (CERES) can be used to expand the current ground-based observational database and that the monthly averaged clear-sky satellite estimates of humidity and LDR are in good agreement with the well-instrumented Center for Snow and Avalanche Studies ground-based site in the southwestern Colorado Rocky Mountains. The relationship between MODIS-retrieved precipitable water vapor and surface specific humidity across the contiguous United States was found to be similar to that previously found for the Alps. More important, we show that satellites capture the nonlinear relationship between LDR and water vapor and confirm that LDR is especially sensitive to changes in water vapor at high elevations in several midlatitude mountain ranges. Because the global population depends on adequate fresh water, much of which has its source in high mountains, it is critically important to understand how climate will change there. We demonstrate that satellites can be used to investigate these feedbacks in high-elevation regions where the coverage of surface-based observations is insufficient to do so.

  9. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  10. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  11. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  12. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  13. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  14. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  15. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  16. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  17. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  18. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  19. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  20. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  3. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  4. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  5. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  6. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  7. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  8. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  9. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  10. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  11. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  12. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  13. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  14. Temperature sensitivity of differential absorption lidar measurements of water vapor in the 720-nm region

    Science.gov (United States)

    Browell, Edward V.; Ismail, Syed; Grossmann, Benoist E.

    1991-01-01

    Recently measured properties of water vapor (H2O) absorption lines have been used in calculations to evalute the temperature sensitivity of differential absorption lidar (Dial) H2O measurements. This paper estimates the temperature sensitivity of H2O lines in the 717-733-nm region for both H2O mixing ratio and number density measurements, and discusses the influence of the H2O line ground state energies E-double-prime, the H2O absorption linewidths, the linewidth temperature dependence parameter, and the atmospheric temperature and pressure variations with altitude and location on the temperature sensitivity calculations. Line parameters and temperature sensitivity calculations for 67 H2O lines in the 720-nm band are given which can be directly used in field experiments. Water vapor lines with E-double-prime values in the 100-300/cm range were found to be optimum for Dial measurements of H2O number densities, while E-double-prime values in the 250-500/cm range were found to be optimum for H2O mixing ratio measurements.

  15. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  16. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  17. Pursuing atmospheric water vapor retrieval through NDSA measurements between two LEO satellites: evaluation of estimation errors in spectral sensitivity measurements

    Science.gov (United States)

    Facheris, L.; Cuccoli, F.; Argenti, F.

    2008-10-01

    NDSA (Normalized Differential Spectral Absorption) is a novel differential measurement method to estimate the total content of water vapor (IWV, Integrated Water Vapor) along a tropospheric propagation path between two Low Earth Orbit (LEO) satellites. A transmitter onboard the first LEO satellite and a receiver onboard the second one are required. The NDSA approach is based on the simultaneous estimate of the total attenuations at two relatively close frequencies in the Ku/K bands and of a "spectral sensitivity parameter" that can be directly converted into IWV. The spectral sensitivity has the potential to emphasize the water vapor contribution, to cancel out all spectrally flat unwanted contributions and to limit the impairments due to tropospheric scintillation. Based on a previous Monte Carlo simulation approach, through which we analyzed the measurement accuracy of the spectral sensitivity parameter at three different and complementary frequencies, in this work we examine such accuracy for a particularly critical atmospheric status as simulated through the pressure, temperature and water vapor profiles measured by a high resolution radiosonde. We confirm the validity of an approximate expression of the accuracy and discuss the problems that may arise when tropospheric water vapor concentration is lower than expected.

  18. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  19. On the cross-sensitivity between water vapor mixing ratio and stable isotope measurements of in-situ analyzers

    KAUST Repository

    Parkes, Stephen

    2015-04-01

    In recent years there has been an increasing amount of water vapor stable isotope data collected using in-situ instrumentation. A number of papers have characterized the performance of these in-situ analyzers and suggested methods for calibrating raw measurements. The cross-sensitivity of the isotopic measurements on the mixing ratio has been shown to be a major uncertainty and a variety of techniques have been suggested to characterize this inaccuracy. However, most of these are based on relating isotopic ratios to water vapor mixing ratios from in-situ analyzers when the mixing ratio is varied and the isotopic composition kept constant. An additional correction for the span of the isotopic ratio scale is then applied by measuring different isotopic standards. Here we argue that the water vapor cross-sensitivity arises from different instrument responses (span and offset) of the parent H2O isotope and the heavier isotopes, rather than spectral overlap that could cause a true variation in the isotopic ratio with mixing ratio. This is especially relevant for commercial laser optical instruments where absorption lines are well resolved. Thus, the cross-sensitivity determined using more conventional techniques is dependent on the isotopic ratio of the standard used for the characterization, although errors are expected to be small. Consequently, the cross-sensitivity should be determined by characterizing the span and zero offset of each isotope mixing ratio. In fact, this technique makes the span correction for the isotopic ratio redundant. In this work we model the impact of changes in the span and offset of the heavy and light isotopes and illustrate the impact on the cross-sensitivity of the isotopic ratios on water vapor. This clearly shows the importance of determining the zero offset for the two isotopes. The cross-sensitivity of the isotopic ratios on water vapor is then characterized by determining the instrument response for the individual isotopes for a

  20. On the cross-sensitivity between water vapor mixing ratio and stable isotope measurements of in-situ analyzers

    KAUST Repository

    Parkes, Stephen; Wang,  Lixin; McCabe, Matthew

    2015-01-01

    In recent years there has been an increasing amount of water vapor stable isotope data collected using in-situ instrumentation. A number of papers have characterized the performance of these in-situ analyzers and suggested methods for calibrating raw measurements. The cross-sensitivity of the isotopic measurements on the mixing ratio has been shown to be a major uncertainty and a variety of techniques have been suggested to characterize this inaccuracy. However, most of these are based on relating isotopic ratios to water vapor mixing ratios from in-situ analyzers when the mixing ratio is varied and the isotopic composition kept constant. An additional correction for the span of the isotopic ratio scale is then applied by measuring different isotopic standards. Here we argue that the water vapor cross-sensitivity arises from different instrument responses (span and offset) of the parent H2O isotope and the heavier isotopes, rather than spectral overlap that could cause a true variation in the isotopic ratio with mixing ratio. This is especially relevant for commercial laser optical instruments where absorption lines are well resolved. Thus, the cross-sensitivity determined using more conventional techniques is dependent on the isotopic ratio of the standard used for the characterization, although errors are expected to be small. Consequently, the cross-sensitivity should be determined by characterizing the span and zero offset of each isotope mixing ratio. In fact, this technique makes the span correction for the isotopic ratio redundant. In this work we model the impact of changes in the span and offset of the heavy and light isotopes and illustrate the impact on the cross-sensitivity of the isotopic ratios on water vapor. This clearly shows the importance of determining the zero offset for the two isotopes. The cross-sensitivity of the isotopic ratios on water vapor is then characterized by determining the instrument response for the individual isotopes for a

  1. Multiple Chemical Sensitivity

    DEFF Research Database (Denmark)

    Junge, Anne Gram

    Et voksende antal mennesker i Danmark oplever at være overfølsomme over for dufte og kemikalier. Imidlertid er den tilskrevne diagnose Multiple Chemical Sensitivity (MCS) ikke medicinsk anerkendt i Danmark pga. mangel på organiske og patofysiologisk basis for symptomerne. Dette speciale bygger på...

  2. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  3. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  4. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  5. Development of an acoustic wave based biosensor for vapor phase detection of small molecules

    Science.gov (United States)

    Stubbs, Desmond

    For centuries scientific ingenuity and innovation have been influenced by Mother Nature's perfect design. One of her more elusive designs is that of the sensory olfactory system, an array of highly sensitive receptors responsible for chemical vapor recognition. In the animal kingdom this ability is magnified among canines where ppt (parts per trillion) sensitivity values have been reported. Today, detection dogs are considered an essential part of the US drug and explosives detection schemes. However, growing concerns about their susceptibility to extraneous odors have inspired the development of highly sensitive analytical detection tools or biosensors known as "electronic noses". In general, biosensors are distinguished from chemical sensors in that they use an entity of biological origin (e.g. antibody, cell, enzyme) immobilized onto a surface as the chemically-sensitive film on the device. The colloquial view is that the term "biosensors" refers to devices which detect the presence of entities of biological origin, such as proteins or single-stranded DNA and that this detection must take place in a liquid. Our biosensor utilizes biomolecules, specifically IgG monoclonal antibodies, to achieve molecular recognition of relatively small molecules in the vapor phase.

  6. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  7. International Space Science Institute Workshop on Shallow Clouds, Water Vapor, Circulation and Climate Sensitivity

    CERN Document Server

    Winker, David; Bony, Sandrine; Stevens, Bjorn

    2018-01-01

    This volume presents a series of overview articles arising from a workshop exploring the links among shallow clouds, water vapor, circulation, and climate sensitivity. It provides a state-of-the art synthesis of understanding about the coupling of clouds and water vapor to the large-scale circulation. The emphasis is on two phenomena, namely the self-aggregation of deep convection and interactions between low clouds and the large-scale environment, with direct links to the sensitivity of climate to radiative perturbations. Each subject is approached using simulations, observations, and synthesizing theory; particular attention is paid to opportunities offered by new remote-sensing technologies, some still prospective. The collection provides a thorough grounding in topics representing one of the World Climate Research Program’s Grand Challenges. Previously published in Surveys in Geophysics, Volume 38, Issue 6, 2017 The articles “Observing Convective Aggregation”, “An Observational View of Relationshi...

  8. Prevalence and effects of multiple chemical sensitivities in Australia

    Directory of Open Access Journals (Sweden)

    Anne Steinemann

    2018-06-01

    Full Text Available Multiple chemical sensitivities (MCS is a medical condition associated with exposure to common chemical pollutants. The aims of this study are to assess the prevalence of MCS, its overlaps with asthma and fragrance sensitivity, and its health and societal effects in Australia. Data were collected in June 2016 using an on-line survey with a representative national sample (N = 1098 of adults (ages 18–65 in Australia. Results found that, across the country, 6.5% report medically diagnosed MCS, 18.9% report chemical sensitivity (being unusually sensitive to everyday chemicals and chemically formulated products, and 19.9% either or both. Among people with MCS, 74.6% also have diagnosed asthma or an asthma-like condition, and 91.5% have fragrance sensitivity, reporting health problems (such as migraine headaches when exposed to fragranced consumer products (such as air fresheners and cleaning supplies. In addition, among people with MCS, 77.5% are prevented from access to places because of fragranced products, 52.1% lost workdays or a job in the past year due to fragranced product exposure in the workplace, and 55.4% report health effects considered potentially disabling. Results indicate that MCS is a widespread disease, affecting an estimated 1 million adult Australians, with chemical sensitivity affecting another 2 million. Reducing chemical exposure to problematic sources, such as fragranced consumer products, is critical to reduce adverse effects. Keywords: MCS, Multiple chemical sensitivities, Chemical sensitivity, Asthma, Fragrance sensitivity, Fragranced consumer products

  9. Multiple Chemical Sensitivity

    DEFF Research Database (Denmark)

    Dantoft, Thomas Meinertz

    Multiple chemical sensitivity (MCS) is a chronic disorder characterized by reports of symptoms from various organ systems attributed by the individuals to exposure to common odors and airborne chemicals in doses far below those known to induce toxic effects. There exists a general lack of knowledge......, significantly reduced levels of IL-13 in the MCS group and no group differences in the allergen specific IgE measures. The differences were independent of factors such as sex, age, Body Mass Index, asthma, smoking, depression, anxiety and allergen-specific IgE. In conclusion, the study identified a distinct...

  10. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  11. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  12. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  13. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  14. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  15. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  16. SAW Sensors for Chemical Vapors and Gases.

    Science.gov (United States)

    Devkota, Jagannath; Ohodnicki, Paul R; Greve, David W

    2017-04-08

    Surface acoustic wave (SAW) technology provides a sensitive platform for sensing chemicals in gaseous and fluidic states with the inherent advantages of passive and wireless operation. In this review, we provide a general overview on the fundamental aspects and some major advances of Rayleigh wave-based SAW sensors in sensing chemicals in a gaseous phase. In particular, we review the progress in general understanding of the SAW chemical sensing mechanism, optimization of the sensor characteristics, and the development of the sensors operational at different conditions. Based on previous publications, we suggest some appropriate sensing approaches for particular applications and identify new opportunities and needs for additional research in this area moving into the future.

  17. SAW Sensors for Chemical Vapors and Gases

    Science.gov (United States)

    Devkota, Jagannath; Ohodnicki, Paul R.; Greve, David W.

    2017-01-01

    Surface acoustic wave (SAW) technology provides a sensitive platform for sensing chemicals in gaseous and fluidic states with the inherent advantages of passive and wireless operation. In this review, we provide a general overview on the fundamental aspects and some major advances of Rayleigh wave-based SAW sensors in sensing chemicals in a gaseous phase. In particular, we review the progress in general understanding of the SAW chemical sensing mechanism, optimization of the sensor characteristics, and the development of the sensors operational at different conditions. Based on previous publications, we suggest some appropriate sensing approaches for particular applications and identify new opportunities and needs for additional research in this area moving into the future. PMID:28397760

  18. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  19. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  20. Chemically sensitive interfaces on SAW devices

    Energy Technology Data Exchange (ETDEWEB)

    Ricco, A.J.; Martin, S.J. [Sandia National Labs., Albuquerque, NM (United States); Crooks, R.M.; Xu, Chuanjing [Texas A and M Univ., College Station, TX (United States); Allred, R.E. [Adherent Technologies, Inc., Albuquerque, NM (United States)

    1993-11-01

    Using surface acoustic wave (SAW) devices, three approaches to the effective use of chemically sensitive interfaces that are not highly chemically selective have been examined: (1) molecular identification from time-resolved permeation transients; (2) using multifrequency SAW devices to determine the frequency dependence of analyte/film interactions; (3) use of an array of SAW devices bearing diverse chemically sensitive interfaces to produce a distinct response pattern for each analyte. In addition to their well-known sensitivity to mass changes (0.0035 monolayer of N{sub 2} can be measured), SAW devices respond to the mechanical and electronic properties of thin films, enhancing response information content but making a thorough understanding of the perturbation critical. Simultaneous measurement of changes in frequency and attenuation, which can provide the information necessary to determine the type of perturbation, are used as part of the above discrimination schemes.

  1. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  2. Genetic susceptibility factors for multiple chemical sensitivity revisited

    DEFF Research Database (Denmark)

    Berg, Nikolaj Drimer; Rasmussen, Henrik Berg; Linneberg, Allan

    2010-01-01

    of this study was to investigate genetic susceptibility factors for MCS and self-reported chemical sensitivity in a population sample. Ninety six MCS patients and 1,207 controls from a general population divided into four severity groups of chemical sensitivity were genotyped for variants in the genes encoding......Multiple chemical sensitivity (MCS) is characterised by adverse effects due to exposure to low levels of chemical substances. Various genes, especially genes of importance to the metabolism of xenobiotic compounds, have been associated with MCS, but findings are inconsistent. The purpose...... significant (OR=1.2, p=0.28). Fast arylamine N-acetyltransferase 2 metaboliser status was associated with severity of chemical sensitivity only in the most severely affected group in the population sample (OR=3.1, p=0.04). The cholecystokinin 2 receptor allele with 21 CT repeats was associated with MCS when...

  3. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  4. Detection of organic vapors on sputtered and annealed thin Au films

    Science.gov (United States)

    Kvitek, O.; Kopacek, V.; Reznickova, A.; Svorcik, V.

    2018-03-01

    Unique optical properties of metal nanostructures enable construction of new types of chemical sensors. Nanostructures composed of Au on glass substrate were prepared by annealing of 2-20 nm thick sputtered Au films at 300 °C for 1 h. The annealing leads to transformation of the as sputtered continuous Au layers to a nanoisland structure. The forming nanostructure shows a strong, well defined surface plasmon resonance absorption band in UV-Vis spectrum, which is useful for construction of a chemical sensor. The samples were used to detect vapors of acetone and water in an experimental testing apparatus. The achieved signal-to-noise ratio was 583 and 386 for acetone and water vapors, respectively on the nanostructure prepared from 4 nm thick Au layer. The nanostructured sensitive layers, however, showed poor signal stability; therefore a polymer overlayer was introduced to protect it. The employed polystyrene film prepared by spin-coating improved sensitivity and selectivity of the sensor, while the dynamic properties of the sensing influenced only slightly.

  5. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  6. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  7. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  8. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  9. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  10. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  11. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  12. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  13. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  14. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  17. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  18. 40 CFR 796.1950 - Vapor pressure.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) CHEMICAL FATE TESTING GUIDELINES Physical and Chemical Properties § 796.1950 Vapor pressure. (a.... In addition, chemicals that are likely to be gases at ambient temperatures and which have low water... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more...

  19. Defect-engineered graphene chemical sensors with ultrahigh sensitivity.

    Science.gov (United States)

    Lee, Geonyeop; Yang, Gwangseok; Cho, Ara; Han, Jeong Woo; Kim, Jihyun

    2016-05-25

    We report defect-engineered graphene chemical sensors with ultrahigh sensitivity (e.g., 33% improvement in NO2 sensing and 614% improvement in NH3 sensing). A conventional reactive ion etching system was used to introduce the defects in a controlled manner. The sensitivity of graphene-based chemical sensors increased with increasing defect density until the vacancy-dominant region was reached. In addition, the mechanism of gas sensing was systematically investigated via experiments and density functional theory calculations, which indicated that the vacancy defect is a major contributing factor to the enhanced sensitivity. This study revealed that defect engineering in graphene has significant potential for fabricating ultra-sensitive graphene chemical sensors.

  20. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  1. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  2. Facile fabrication of CNT-based chemical sensor operating at room temperature

    Science.gov (United States)

    Sheng, Jiadong; Zeng, Xian; Zhu, Qi; Yang, Zhaohui; Zhang, Xiaohua

    2017-12-01

    This paper describes a simple, low cost and effective route to fabricate CNT-based chemical sensors, which operate at room temperature. Firstly, the incorporation of silk fibroin in vertically aligned CNT arrays (CNTA) obtained through a thermal chemical vapor deposition (CVD) method makes the direct removal of CNT arrays from substrates without any rigorous acid or sonication treatment feasible. Through a simple one-step in situ polymerization of anilines, the functionalization of CNT arrays with polyaniline (PANI) significantly improves the sensing performance of CNT-based chemical sensors in detecting ammonia (NH3) and hydrogen chloride (HCl) vapors. Chemically modified CNT arrays also show responses to organic vapors like menthol, ethyl acetate and acetone. Although the detection limits of chemically modified CNT-based chemical sensors are of the same orders of magnitudes reported in previous studies, these CNT-based chemical sensors show advantages of simplicity, low cost and energy efficiency in preparation and fabrication of devices. Additionally, a linear relationship between the relative sensitivity and concentration of analyte makes precise estimations on the concentrations of trace chemical vapors possible.

  3. Fuel Evaporation in an Atmospheric Premixed Burner: Sensitivity Analysis and Spray Vaporization

    Directory of Open Access Journals (Sweden)

    Dávid Csemány

    2017-12-01

    Full Text Available Calculation of evaporation requires accurate thermophysical properties of the liquid. Such data are well-known for conventional fossil fuels. In contrast, e.g., thermal conductivity or dynamic viscosity of the fuel vapor are rarely available for modern liquid fuels. To overcome this problem, molecular models can be used. Currently, the measurement-based properties of n-heptane and diesel oil are compared with estimated values, using the state-of-the-art molecular models to derive the temperature-dependent material properties. Then their effect on droplet evaporation was evaluated. The critical parameters were liquid density, latent heat of vaporization, boiling temperature, and vapor thermal conductivity where the estimation affected the evaporation time notably. Besides a general sensitivity analysis, evaporation modeling in a practical burner ended up with similar results. By calculating droplet motion, the evaporation number, the evaporation-to-residence time ratio can be derived. An empirical cumulative distribution function is used for the spray of the analyzed burner to evaluate evaporation in the mixing tube. Evaporation number did not exceed 0.4, meaning a full evaporation prior to reaching the burner lip in all cases. As droplet inertia depends upon its size, the residence time has a minimum value due to the phenomenon of overshooting.

  4. Measurement of gas transport properties for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1996-12-01

    In the chemical vapor infiltration (CVI) process for fabricating ceramic matrix composites (CMCs), transport of gas phase reactant into the fiber preform is a critical step. The transport can be driven by pressure or by concentration. This report describes methods for measuring this for CVI preforms and partially infiltrated composites. Results are presented for Nicalon fiber cloth layup preforms and composites, Nextel fiber braid preforms and composites, and a Nicalon fiber 3-D weave composite. The results are consistent with a percolating network model for gas transport in CVI preforms and composites. This model predicts inherent variability in local pore characteristics and transport properties, and therefore, in local densification during processing; this may lead to production of gastight composites.

  5. SnO2 thin film synthesis for organic vapors sensing at ambient temperature

    Directory of Open Access Journals (Sweden)

    N.H. Touidjen

    2016-12-01

    Full Text Available The present work is a study of tin dioxide (SnO2 based thin sensitive layer dedicated to organic vapors detection at ambient temperature. SnO2 thin film was deposited by chemical spray pyrolysis technique. The glass substrate temperature was kept to 400 °C, using a starting solution of 0.1 M tin (II dichloride dihydrate (SnCl2, 2H2O. Films structural and morphological properties were characterized using X-ray diffraction (XRD, scanning electron microscopy (SEM and atomic force microscope (AFM respectively. Films optical characteristics were studied using UV-VIS spectrophotometer. XRD revealed the presence of pure SnO2 polycrystalline thin film with a tetragonal rutile structure. The SEM and AFM observations confirmed the granular morphology with presence of pores in the film surface. The prepared film was tested in various organic vapors (ethanol, methanol and acetone at ambient operating temperature (25 °C ± 2 °C. The obtained results suggested that SnO2 is more sensitive to ethanol vapor with a maximum sensitivity of 35% higher than to methanol and acetone vapors (1% and 3%. The realized SnO2 based sensor demonstrated fast response and recovery times as revealed by the values of 2 s to 3 s towards 47 ppm of ethanol vapor. Keywords: SnO2 thin film, Sensitivity, XRD, SEM, AFM, UV–visible

  6. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  7. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    Science.gov (United States)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  8. In situ synchrotron X-ray studies during metal-organic chemical vapor deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, Carol [Northern Illinois Univ., DeKalb, IL (United States); Argonne National Lab., Argonne, IL (United States); Highland, Matthew J.; Perret, Edith; Fuoss, Paul H.; Streiffer, Stephen K.; Stephenson, G. Brian [Argonne National Lab., Argonne, IL (United States); Richard, Marie-Ingrid [Universite Paul Cezanne Aix-Marseille, Marseille (France)

    2012-07-01

    In-situ, time-resolved techniques provide valuable insight into the complex interplay of surface structural and chemical evolution occurring during materials synthesis and processing of semiconductors. Our approach is to observe the evolution of surface structure and morphology at the atomic scale in real-time during metal organic vapor phase deposition (MOCVD) by using grazing incidence x-ray scattering and X-ray fluorescence, coupled with visible light scattering. Our vertical-flow MOCVD chamber is mounted on a 'z-axis' surface diffractometer designed specifically for these studies of the film growth, surface evolution and the interactions within a controlled growth environment. These techniques combine the ability of X-rays to penetrate a complex environment for measurements during growth and processing, with the sensitivity of surface scattering techniques to atomic and nanoscale structure. In this talk, we outline our program and discuss examples from our in-situ and real-time X-ray diffraction and fluorescence studies of InN, GaN, and InGaN growth on GaN(0001).

  9. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  10. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  11. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  12. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  13. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  14. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  15. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    Science.gov (United States)

    2018-04-27

    Final 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing 5a. CONTRACT...NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING...ORGANIZATION NAME(S) AND ADDRESS(ES) US Army Dugway Proving Ground West Desert Test Center (TEDT-DPW) Dugway, UT 84022-5000 8. PERFORMING ORGANIZATION

  16. Chemical vapor infiltration of TiB{sub 2} composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and test the materials in a static bath and lab-scale Hall cell.

  17. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  18. Chemical vapor detection using a capacitive micromachined ultrasonic transducer.

    Science.gov (United States)

    Lee, Hyunjoo J; Park, Kwan Kyu; Kupnik, Mario; Oralkan, O; Khuri-Yakub, Butrus T

    2011-12-15

    Distributed sensing of gas-phase chemicals using highly sensitive and inexpensive sensors is of great interest for many defense and consumer applications. In this paper we present ppb-level detection of dimethyl methylphosphonate (DMMP), a common simulant for sarin gas, with a ppt-level resolution using an improved capacitive micromachined ultrasonic transducer (CMUT) as a resonant chemical sensor. The improved CMUT operates at a higher resonant frequency of 47.7 MHz and offers an improved mass sensitivity of 48.8 zg/Hz/μm(2) by a factor of 2.7 compared to the previous CMUT sensors developed. A low-noise oscillator using the CMUT resonant sensor as the frequency-selective device was developed for real-time sensing, which exhibits an Allan deviation of 1.65 Hz (3σ) in the presence of a gas flow; this translates into a mass resolution of 80.5 zg/μm(2). The CMUT resonant sensor is functionalized with a 50-nm thick DKAP polymer developed at Sandia National Laboratory for dimethyl methylphosphonate (DMMP) detection. To demonstrate ppb-level detection of the improved chemical sensor system, the sensor performance was tested at a certified lab (MIT Lincoln Laboratory), which is equipped with an experimental chemical setup that reliably and accurately delivers a wide range of low concentrations down to 10 ppb. We report a high volume sensitivity of 34.5 ± 0.79 pptv/Hz to DMMP and a good selectivity of the polymer to DMMP with respect to dodecane and 1-octanol.

  19. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  20. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  1. Sensory irritation and multiple chemical sensitivity.

    Science.gov (United States)

    Anderson, R C; Anderson, J H

    1999-01-01

    Many of the symptoms described in Sick Building Syndrome (SBS) and multiple chemical sensitivity (MCS) resemble the symptoms known to be elicited by airborne irritant chemicals. Irritation of the eye, nose, and throat is common to SBS, MCS, and sensory irritation (SI). Difficulty of breathing is often seen with SBS, MCS, and pulmonary irritation (PI). We therefore asked the question: can indoor air pollutants cause SI and/or PI? In laboratory testing in which mice breathed the dilute volatile emissions of air fresheners, fabric softeners, colognes, and mattresses for 1 h, we measured various combinations of SI and PI as well as airflow decreases (analogous to asthma attacks). Air samples taken from sites associated with repeated human complaints of poor air quality also caused SI, PI, and airflow limitation (AFL) in the mice. In previous publications, we have documented numerous behavior changes in mice (which we formally studied with a functional observational battery) after exposure to product emissions or complaint site air; neurological complaints are a prominent part of SBS and MCS. All together, these data suggest that many symptoms of SBS and MCS can be described as SI, PI, AFL, and neurotoxicity. All these problems can be caused by airborne irritant chemicals such as those emitted by common commercial products and found in polluted indoor air. With some chemical mixtures (e.g., emissions of some fabric softeners, disposable diapers, and vinyl mattress covers) but not others (e.g., emissions of a solid air freshener), the SI response became larger (2- to 4-fold) when we administered a series of two or three 1-h exposures over a 24-h period. Since with each exposure the intensity of the stimulus was constant yet the magnitude of the response increased, we concluded that there was a change in the sensitivity of the mice to these chemicals. The response was not a generalized stress response because it occurred with only some mixtures of irritants and not others

  2. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  3. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  4. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  5. Chemical sensitivity: pathophysiology or pathopsychology?

    Science.gov (United States)

    Genuis, Stephen J

    2013-05-01

    Escalating numbers of people throughout the world are presenting to primary care physicians, allergists, and immunologists with myriad clinical symptoms after low-level exposure to assorted everyday chemicals such as smoke, perfumes, air fresheners, paints, glues, and other products. This clinical state is referred to by various diagnostic labels, including multiple chemical sensitivity disorder, environmental intolerance, chemical sensitivity (CS), and sensitivity-related illness, and has been the subject of much controversy within the health care community. The goal of this study was to provide a brief overview of the etiology, pathogenesis, clinical presentation, and management of CS. An evaluation of the medical community's response to this emerging diagnosis was also explored. This review was prepared by assessing available medical and scientific literature from MEDLINE, as well as by reviewing numerous books, toxicology journals, conference proceedings, government publications, and environmental health periodicals. A primary observation, however, is that there is limited scientific literature available on the issue of CS. The format of a traditional integrated review was chosen because such reviews play a pivotal role in scientific research and professional practice in medical issues with limited primary study and uncharted clinical territory. The sensitization state of CS seems to be initiated by a significant toxic exposure, occurring as a 1-time event, or on surpassing a threshold of toxicity after toxicant accrual from repeated lower-level exposures. Once sensitized through a toxicant-induced loss of tolerance, individuals exposed to inciting triggers such as minute amounts of diverse everyday chemicals may experience various clinical and immune sequelae, sometimes involving lymphocyte, antibody, or cytokine responses. Precautionary avoidance of inciting triggers will prevent symptoms, and desensitization immunotherapy or immune suppression may improve

  6. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  7. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  8. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  9. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  10. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  11. A Citizen's Guide to Vapor Intrusion Mitigation

    Science.gov (United States)

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  12. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  13. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  14. National Prevalence and Effects of Multiple Chemical Sensitivities.

    Science.gov (United States)

    Steinemann, Anne

    2018-03-01

    The aim of this study was to assess the prevalence of multiple chemical sensitivities (MCS), its co-occurrence with asthma and fragrance sensitivity, and effects from exposure to fragranced consumer products. A nationally representative cross-sectional population-based sample of adult Americans (n = 1137) was surveyed in June 2016. Among the population, 12.8% report medically diagnosed MCS and 25.9% report chemical sensitivity. Of those with MCS, 86.2% experience health problems, such as migraine headaches, when exposed to fragranced consumer products; 71.0% are asthmatic; 70.3% cannot access places that use fragranced products such as air fresheners; and 60.7% lost workdays or a job in the past year due to fragranced products in the workplace. Prevalence of diagnosed MCS has increased over 300%, and self-reported chemical sensitivity over 200%, in the past decade. Reducing exposure to fragranced products could help reduce adverse health and societal effects.

  15. DNA-decorated carbon-nanotube-based chemical sensors on complementary metal oxide semiconductor circuitry

    International Nuclear Information System (INIS)

    Chen, Chia-Ling; Yang, Chih-Feng; Dokmeci, Mehmet R; Agarwal, Vinay; Sonkusale, Sameer; Kim, Taehoon; Busnaina, Ahmed; Chen, Michelle

    2010-01-01

    We present integration of single-stranded DNA (ss-DNA)-decorated single-walled carbon nanotubes (SWNTs) onto complementary metal oxide semiconductor (CMOS) circuitry as nanoscale chemical sensors. SWNTs were assembled onto CMOS circuitry via a low voltage dielectrophoretic (DEP) process. Besides, bare SWNTs are reported to be sensitive to various chemicals, and functionalization of SWNTs with biomolecular complexes further enhances the sensing specificity and sensitivity. After decorating ss-DNA on SWNTs, we have found that the sensing response of the gas sensor was enhanced (up to ∼ 300% and ∼ 250% for methanol vapor and isopropanol alcohol vapor, respectively) compared with bare SWNTs. The SWNTs coupled with ss-DNA and their integration on CMOS circuitry demonstrates a step towards realizing ultra-sensitive electronic nose applications.

  16. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  17. Effects of Heat of Vaporization and Octane Sensitivity on Knock-Limited Spark Ignition Engine Performance

    Energy Technology Data Exchange (ETDEWEB)

    Ratcliff, Matthew A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Burton, Jonathan L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Sindler, Petr [National Renewable Energy Laboratory (NREL), Golden, CO (United States); McCormick, Robert L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Christensen, Earl D [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Fouts, Lisa A [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2018-04-03

    Knock-limited loads for a set of surrogate gasolines all having nominal 100 research octane number (RON), approximately 11 octane sensitivity (S), and a heat of vaporization (HOV) range of 390 to 595 kJ/kg at 25 degrees C were investigated. A single-cylinder spark-ignition engine derived from a General Motors Ecotec direct injection (DI) engine was used to perform load sweeps at a fixed intake air temperature (IAT) of 50 degrees C, as well as knock-limited load measurements across a range of IATs up to 90 degrees C. Both DI and pre-vaporized fuel (supplied by a fuel injector mounted far upstream of the intake valves and heated intake runner walls) experiments were performed to separate the chemical and thermal effects of the fuels' knock resistance. The DI load sweeps at 50 degrees C intake air temperature showed no effect of HOV on the knock-limited performance. The data suggest that HOV acts as a thermal contributor to S under the conditions studied. Measurement of knock-limited loads from the IAT sweeps for DI at late combustion phasing showed that a 40 vol% ethanol (E40) blend provided additional knock resistance at the highest temperatures, compared to a 20 vol% ethanol blend and hydrocarbon fuel with similar RON and S. Using the pre-vaporized fuel system, all the high S fuels produced nearly identical knock-limited loads at each temperature across the range of IATs studied. For these fuels RON ranged from 99.2 to 101.1 and S ranged from 9.4 to 12.2, with E40 having the lowest RON and highest S. The higher knock-limited loads for E40 at the highest IATs examined were consistent with the slightly higher S for this fuel, and the lower engine operating condition K values arising from use of this fuel. The study highlights how fuel HOV can affect the temperature at intake valve closing, and consequently the pressure-temperature history of the end gas leading to more negative values of K, thereby enhancing the effect of S on knock resistance.

  18. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  19. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  20. Mass transport measurements and modeling for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Chiang, D.Y.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1997-12-01

    This project involves experimental and modeling investigation of densification behavior and mass transport in fiber preforms and partially densified composites, and application of these results to chemical vapor infiltration (CVI) process modeling. This supports work on-going at ORNL in process development for fabrication of ceramic matrix composite (CMC) tubes. Tube-shaped composite preforms are fabricated at ORNL with Nextel{trademark} 312 fiber (3M Corporation, St. Paul, MN) by placing and compressing several layers of braided sleeve on a tubular mandrel. In terms of fiber architecture these preforms are significantly different than those made previously with Nicalon{trademark} fiber (Nippon Carbon Corp., Tokyo, Japan) square weave cloth. The authors have made microstructure and permeability measurements on several of these preforms and a few partially densified composites so as to better understand their densification behavior during CVI.

  1. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  2. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  3. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  4. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  5. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  6. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  7. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  8. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  9. Highly sensitive room temperature organic vapor sensor based on polybenzoxazine-derived carbon aerogel thin film composite

    International Nuclear Information System (INIS)

    Thubsuang, Uthen; Sukanan, Darunee; Sahasithiwat, Somboon; Wongkasemjit, Sujitra; Chaisuwan, Thanyalak

    2015-01-01

    Graphical abstract: - Highlights: • Activated carbon aerogel with high surface area can be prepared from polybenzoxazine. • Activated carbon aerogel enhances the adsorption capacity of gas sensor. • Organic vapors with very low concentration can be detected by the as-prepared sensor. • The as-prepared sensor shows impressive short exposure and recovery time. • The response to different organic vapors can be tailored by changing polymer matrix. - Abstract: Gas sensing composites were fabricated using polybenzoxazine-based activated carbon aerogel as a conductive filler. The activated carbon aerogel is a nano-porous material, which has high pore volume of 0.57 cm 3 /g and surface area of 917 m 2 /g. The activated carbon aerogel/polybutadiene composite displayed good response of 11.2 and 6.7 to toluene and n-hexane, respectively, compared to those of graphite/polybutadiene composite. The activated carbon aerogel/polybutadiene composite also showed high sensitivity of 3.09 × 10 2 ppm −1 to toluene. However, the sensitivity of activated carbon aerogel/polybutadiene composite drastically decreased to 1.99 ppm −1 and zero when exposed to acetone and water, respectively. Contrarily, when polyvinyl alcohol was used as a matrix, the sensitivity was about 4.19 ppm −1 to water. While the composite was found to be not sensitive to toluene. The activated carbon aerogel/polybutadiene composite also showed good recovery as the electrical resistance came back to the original value within minutes when exposed to nitrogen gas

  10. Sensitive limits on the abundance of cold water vapor in the DM Tauri protoplanetary disk

    NARCIS (Netherlands)

    Bergin, E. A.; Hogerheijde, M. R.; Brinch, C.; Fogel, J.; Yildiz, U. A.; Kristensen, L. E.; van Dishoeck, E. F.; Bell, T. A.; Blake, G.A.; Cernicharo, J.; Dominik, C.; Lis, D.; Melnick, G.; Neufeld, D.; Panic, O.; Pearson, J. C.; Bachiller, R.; Baudry, A.; Benedettini, M.; Benz, A. O.; Bjerkeli, P.; Bontemps, S.; Braine, J.; Bruderer, S.; Caselli, P.; Codella, C.; Daniel, F.; di Giorgio, A. M.; Doty, S. D.; Encrenaz, P.; Fich, M.; Fuente, A.; Giannini, T.; Goicoechea, J. R.; de Graauw, Th.; Helmich, F.; Herczeg, G. J.; Herpin, F.; Jacq, T.; Johnstone, D.; Jorgensen, J. K.; Larsson, B.; Liseau, R.; Marseille, M.; Mc Coey, C.; Nisini, B.; Olberg, M.; Parise, B.; Plume, R.; Risacher, C.; Santiago-Garcia, J.; Saraceno, P.; Shipman, R.; Tafalla, M.; van Kempen, T. A.; Visser, R.; Wampfler, S. F.; Wyrowski, F.; van der Tak, F.; Jellema, W.; Tielens, A. G. G. M.; Hartogh, P.; Stuetzki, J.; Szczerba, R.

    2010-01-01

    We performed a sensitive search for the ground-state emission lines of ortho-and para-water vapor in the DM Tau protoplanetary disk using the Herschel/HIFI instrument. No strong lines are detected down to 3 sigma levels in 0.5 km s(-1) channels of 4.2 mK for the 1(10)-1(01) line and 12.6 mK for the

  11. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  12. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  13. Non-allergic cutaneous reactions in airborne chemical sensitivity--a population based study.

    Science.gov (United States)

    Berg, Nikolaj Drimer; Linneberg, Allan; Thyssen, Jacob Pontoppidan; Dirksen, Asger; Elberling, Jesper

    2011-06-01

    Multiple chemical sensitivity (MCS) is characterised by adverse effects due to exposure to low levels of chemical substances. The aetiology is unknown, but chemical related respiratory symptoms have been found associated with positive patch test. The purpose of this study was to investigate the relationship between cutaneous reactions from patch testing and self-reported severity of chemical sensitivity to common airborne chemicals. A total of 3460 individuals participating in a general health examination, Health 2006, were patch tested with allergens from the European standard series and screened for chemical sensitivity with a standardised questionnaire dividing the participants into four severity groups of chemical sensitivity. Both allergic and non-allergic cutaneous reactions--defined as irritative, follicular, or doubtful allergic reactions--were analysed in relationship with severity of chemical sensitivity. Associations were controlled for the possible confounding effects of sex, age, asthma, eczema, atopic dermatitis, psychological and social factors, and smoking habits. In unadjusted analyses we found associations between allergic and non-allergic cutaneous reactions on patch testing and the two most severe groups of self-reported sensitivity to airborne chemicals. When adjusting for confounding, associations were weakened, and only non-allergic cutaneous reactions were significantly associated with individuals most severely affected by inhalation of airborne chemicals (odds ratio = 2.5, p = 0.006). Our results suggest that individuals with self-reported chemical sensitivity show increased non-allergic cutaneous reactions based on day 2 readings of patch tests. Copyright © 2011 Elsevier GmbH. All rights reserved.

  14. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  15. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  16. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  17. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  18. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  19. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  20. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  1. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    Science.gov (United States)

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  2. Chemical microsensors based on polymer fiber composites

    Science.gov (United States)

    Kessick, Royal F.; Levit, Natalia; Tepper, Gary C.

    2005-05-01

    There is an urgent need for new chemical sensors for defense and security applications. In particular, sensors are required that can provide higher sensitivity and faster response in the field than existing baseline technologies. We have been developing a new solid-state chemical sensor technology based on microscale polymer composite fiber arrays. The fibers consist of an insulating polymer doped with conducting particles and are electrospun directly onto the surface of an interdigitated microelectrode. The concentration of the conducting particles within the fiber is controlled and is near the percolation threshold. Thus, the electrical resistance of the polymer fiber composite is very sensitive to volumetric changes produced in the polymer by vapor absorption. Preliminary results are presented on the fabrication and testing of the new microsensor. The objective is to take advantage of the very high surface to volume ratio, low thermal mass and linear geometry of the composite fibers to produce sensors exhibiting an extremely high vapor sensitivity and rapid response. The simplicity and low cost of a resistance-based chemical microsensor makes this sensing approach an attractive alternative to devices requiring RF electronics or time-of-flight analysis. Potential applications of this technology include battlespace awareness, homeland security, environmental surveillance, medical diagnostics and food process monitoring.

  3. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  4. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  5. Sensitive and comprehensive detection of chemical warfare agents in air by atmospheric pressure chemical ionization ion trap tandem mass spectrometry with counterflow introduction.

    Science.gov (United States)

    Seto, Yasuo; Sekiguchi, Hiroshi; Maruko, Hisashi; Yamashiro, Shigeharu; Sano, Yasuhiro; Takayama, Yasuo; Sekioka, Ryoji; Yamaguchi, Shintaro; Kishi, Shintaro; Satoh, Takafumi; Sekiguchi, Hiroyuki; Iura, Kazumitsu; Nagashima, Hisayuki; Nagoya, Tomoki; Tsuge, Kouichiro; Ohsawa, Isaac; Okumura, Akihiko; Takada, Yasuaki; Ezawa, Naoya; Watanabe, Susumu; Hashimoto, Hiroaki

    2014-05-06

    A highly sensitive and specific real-time field-deployable detection technology, based on counterflow air introduction atmospheric pressure chemical ionization, has been developed for a wide range of chemical warfare agents (CWAs) comprising gaseous (two blood agents, three choking agents), volatile (six nerve gases and one precursor agent, five blister agents), and nonvolatile (three lachrymators, three vomiting agents) agents in air. The approach can afford effective chemical ionization, in both positive and negative ion modes, for ion trap multiple-stage mass spectrometry (MS(n)). The volatile and nonvolatile CWAs tested provided characteristic ions, which were fragmented into MS(3) product ions in positive and negative ion modes. Portions of the fragment ions were assigned by laboratory hybrid mass spectrometry (MS) composed of linear ion trap and high-resolution mass spectrometers. Gaseous agents were detected by MS or MS(2) in negative ion mode. The limits of detection for a 1 s measurement were typically at or below the microgram per cubic meter level except for chloropicrin (submilligram per cubic meter). Matrix effects by gasoline vapor resulted in minimal false-positive signals for all the CWAs and some signal suppression in the case of mustard gas. The moisture level did influence the measurement of the CWAs.

  6. Non-allergic cutaneous reactions in airborne chemical sensitivity--a population based study

    DEFF Research Database (Denmark)

    Berg, Nikolaj Drimer; Linneberg, Allan; Thyssen, Jacob Pontoppidan

    2011-01-01

    the relationship between cutaneous reactions from patch testing and self-reported severity of chemical sensitivity to common airborne chemicals. A total of 3460 individuals participating in a general health examination, Health 2006, were patch tested with allergens from the European standard series and screened...... for chemical sensitivity with a standardised questionnaire dividing the participants into four severity groups of chemical sensitivity. Both allergic and non-allergic cutaneous reactions--defined as irritative, follicular, or doubtful allergic reactions--were analysed in relationship with severity of chemical...... most severe groups of self-reported sensitivity to airborne chemicals. When adjusting for confounding, associations were weakened, and only non-allergic cutaneous reactions were significantly associated with individuals most severely affected by inhalation of airborne chemicals (odds ratio = 2.5, p = 0...

  7. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  8. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  9. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damaging the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.

  10. A quantitative infrared spectral library of vapor phase chemicals: applications to environmental monitoring and homeland defense

    Science.gov (United States)

    Sharpe, Steven W.; Johnson, Timothy J.; Sams, Robert L.

    2004-12-01

    The utility of infrared spectroscopy for monitoring and early warning of accidental or deliberate chemical releases to the atmosphere is well documented. Regardless of the monitoring technique (open-path or extractive) or weather the spectrometer is passive or active (Fourier transform or lidar) a high quality, quantitative reference library is essential for meaningful interpretation of the data. Pacific Northwest National Laboratory through the support of the Department of Energy has been building a library of pure, vapor phase chemical species for the last 4 years. This infrared spectral library currently contains over 300 chemicals and is expected to grow to over 400 chemicals before completion. The library spectra are based on a statistical fit to many spectra at different concentrations, allowing for rigorous error analysis. The contents of the library are focused on atmospheric pollutants, naturally occurring chemicals, toxic industrial chemicals and chemicals specifically designed to do damage. Applications, limitations and technical details of the spectral library will be discussed.

  11. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  12. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  13. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  14. Highly sensitive room temperature organic vapor sensor based on polybenzoxazine-derived carbon aerogel thin film composite

    Energy Technology Data Exchange (ETDEWEB)

    Thubsuang, Uthen [Materials Science and Engineering, School of Engineering and Resources, Walailak University, Nakhon Si Thammarat 80160 (Thailand); Sukanan, Darunee [The Petroleum and Petrochemical College and the Center of Excellence on Petrochemical and Materials Technology, Chulalongkorn University, Bangkok 10330 (Thailand); Sahasithiwat, Somboon [National Metal and Materials Technology Center, Thailand Science Park (TSP), Khlong Luang, Pathum Thani 12120 (Thailand); Wongkasemjit, Sujitra [The Petroleum and Petrochemical College and the Center of Excellence on Petrochemical and Materials Technology, Chulalongkorn University, Bangkok 10330 (Thailand); Chaisuwan, Thanyalak, E-mail: thanyalak.c@chula.ac.th [The Petroleum and Petrochemical College and the Center of Excellence on Petrochemical and Materials Technology, Chulalongkorn University, Bangkok 10330 (Thailand)

    2015-10-15

    Graphical abstract: - Highlights: • Activated carbon aerogel with high surface area can be prepared from polybenzoxazine. • Activated carbon aerogel enhances the adsorption capacity of gas sensor. • Organic vapors with very low concentration can be detected by the as-prepared sensor. • The as-prepared sensor shows impressive short exposure and recovery time. • The response to different organic vapors can be tailored by changing polymer matrix. - Abstract: Gas sensing composites were fabricated using polybenzoxazine-based activated carbon aerogel as a conductive filler. The activated carbon aerogel is a nano-porous material, which has high pore volume of 0.57 cm{sup 3}/g and surface area of 917 m{sup 2}/g. The activated carbon aerogel/polybutadiene composite displayed good response of 11.2 and 6.7 to toluene and n-hexane, respectively, compared to those of graphite/polybutadiene composite. The activated carbon aerogel/polybutadiene composite also showed high sensitivity of 3.09 × 10{sup 2} ppm{sup −1} to toluene. However, the sensitivity of activated carbon aerogel/polybutadiene composite drastically decreased to 1.99 ppm{sup −1} and zero when exposed to acetone and water, respectively. Contrarily, when polyvinyl alcohol was used as a matrix, the sensitivity was about 4.19 ppm{sup −1} to water. While the composite was found to be not sensitive to toluene. The activated carbon aerogel/polybutadiene composite also showed good recovery as the electrical resistance came back to the original value within minutes when exposed to nitrogen gas.

  15. Environmental issues and work: women with multiple chemical sensitivities.

    Science.gov (United States)

    Lipson, Juliene G; Doiron, Nathalie

    2006-08-01

    Multiple chemical sensitivities (MCS) is an acquired condition in which exposure to low levels of chemicals causes symptoms in multiple organ systems. Some 12%-16% of the U.S. population has some level of chemical sensitivity, 80% of whom are women. Attempts to reduce chemical exposures leads to enormous life difficulties at home, school, and workplace. We base our article on an ethnographic study of MCS in the United States and Canada. We describe here themes related to work issues in terms of a general trajectory of becoming sick from work exposures, coping with toxic physical environments and dealing with coworkers and, when unable to continue working, applying for workers' compensation, or disability status, or both.

  16. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  17. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  18. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  19. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  20. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    Energy Technology Data Exchange (ETDEWEB)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization which have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  3. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    Science.gov (United States)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  4. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  5. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  6. Sensitivity of simulated convection-driven stratosphere-troposphere exchange in WRF-Chem to the choice of physical and chemical parameterization

    Science.gov (United States)

    Phoenix, Daniel B.; Homeyer, Cameron R.; Barth, Mary C.

    2017-08-01

    Tropopause-penetrating convection is capable of rapidly transporting air from the lower troposphere to the upper troposphere and lower stratosphere (UTLS), where it can have important impacts on chemistry, the radiative budget, and climate. However, obtaining in situ measurements of convection and convective transport is difficult and such observations are historically rare. Modeling studies, on the other hand, offer the advantage of providing output related to the physical, dynamical, and chemical characteristics of storms and their environments at fine spatial and temporal scales. Since these characteristics of simulated convection depend on the chosen model design, we examine the sensitivity of simulated convective transport to the choice of physical (bulk microphysics or BMP and planetary boundary layer or PBL) and chemical parameterizations in the Weather Research and Forecasting model coupled with Chemistry (WRF-Chem). In particular, we simulate multiple cases where in situ observations are available from the recent (2012) Deep Convective Clouds and Chemistry (DC3) experiment. Model output is evaluated using ground-based radar observations of each storm and in situ trace gas observations from two aircraft operated during the DC3 experiment. Model results show measurable sensitivity of the physical characteristics of a storm and the transport of water vapor and additional trace gases into the UTLS to the choice of BMP. The physical characteristics of the storm and transport of insoluble trace gases are largely insensitive to the choice of PBL scheme and chemical mechanism, though several soluble trace gases (e.g., SO2, CH2O, and HNO3) exhibit some measurable sensitivity.

  7. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  9. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  10. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  11. A polarization system for persistent chemical detection

    Science.gov (United States)

    Craven-Jones, Julia; Appelhans, Leah; Couphos, Eric; Embree, Todd; Finnegan, Patrick; Goldstein, Dennis; Karelitz, David; LaCasse, Charles; Luk, Ting S.; Mahamat, Adoum; Massey, Lee; Tanbakuchi, Anthony; Washburn, Cody; Vigil, Steven

    2015-09-01

    We report on the development of a prototype polarization tag based system for detecting chemical vapors. The system primarily consists of two components, a chemically sensitive tag that experiences a change in its optical polarization properties when exposed to a specific chemical of interest, and an optical imaging polarimeter that is used to measure the polarization properties of the tags. Although the system concept could be extended to other chemicals, for the initial system prototype presented here the tags were developed to be sensitive to hydrogen fluoride (HF) vapors. HF is used in many industrial processes but is highly toxic and thus monitoring for its presence and concentration is often of interest for personnel and environmental safety. The tags are periodic multilayer structures that are produced using standard photolithographic processes. The polarimetric imager has been designed to measure the degree of linear polarization reflected from the tags in the short wave infrared. By monitoring the change in the reflected polarization signature from the tags, the polarimeter can be used to determine if the tag was exposed to HF gas. In this paper, a review of the system development effort and preliminary test results are presented and discussed, as well as our plan for future work.

  12. Synthesis and characterization of carbon nanofilms for chemical sensing

    Science.gov (United States)

    Kumar, Vivek

    Carbon nanofilms obtained by high temperature graphitization of diamond surface in inert atmospheres or vacuum are modified by treatment in plasma of different precursor gases. At temperatures above 1000 °C, a stable conductive film of thickness between 10 - 100 nm and specific resistivity 10-3-10-4 Ωm, depending upon the heating conditions and the growth atmosphere, is formed on diamond surface. A gray, thin film of high surface resistivity is obtained in high vacuum, while at low vacuum (below 10-4 mbar), a thick black film of low surface resistivity forms. It is observed that the exposure to plasma reduces the surface conductance of carbon nanofilms as result of a partial removal of carbon and the plasma-stimulated amorphization. The rate of the reduction of conductance and hence the etching ability of plasma depends on the type of precursor gas. Hydrogen reveals the strongest etching ability, followed by oxygen and argon, whereas SF6 is ineffective. The carbon nanofilms show significant sensitivity of their electrical conductance to temperature and exposure to the vapors of common organic compounds. The oxygen plasma treated films exhibit selective response to acetone and water vapors. The fast response and recovery of the conductance are the features of the carbon nanofilms. The plasma-treated carbon nanofilm on graphitized diamond surface is discussed as a promising sensing material for development of all-carbon chemical sensors, which may be suitable for biological and medical applications. An alternative approach of fabrication of temperature and chemical sensitive carbon nanofilms on insulating substrates is proposed. The films are obtained by direct deposition of sputtered carbon on highly polished quartz substrates followed by subsequent annealing at temperatures above 400 °C. It is observed that the as-deposited films are essentially amorphous, while the heating induces irreversible structural ordering and gradual conversion of amorphous carbon in

  13. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  14. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  15. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  16. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  17. Characterization and monitoring of total organic chloride vapors

    International Nuclear Information System (INIS)

    Anheier, N.C. Jr.; Evans, J.C. Jr.; Olsen, K.B.

    1992-07-01

    Chemical sensors are being developed intermediate highly selective and broadly selective methods. PNL is developing an optical-emission based TOCl (total organic chlorinated compounds) sensor (Halosnif) which is capable of measuring TOCl in real time on an extracted gas sample over a wide linear dynamic range. Halosnif employs an atomic emission sensor that is broadly selective for any moderately volatile organic hclorinated vapor but does not distinguish between classes of chlorinated compounds. A rf-induced He plasma is used to excite the chlorine atoms, causing light emission at 837.6 nm. The sensitivity ranges from 1-2 ppM up to at least 10,000 ppM. Field tests were conducted at Tinker AFB in areas of high TCE contamination, in two boreholes at Savannah River, and at Hanford CCl 4 vapor extraction system. This sensor is briefly compared with acoustic wave sensors being developed by SNL (PAWS). 4 figs

  18. Trace detection of hydrogen peroxide vapor using a carbon-nanotube-based chemical sensor.

    Science.gov (United States)

    Lu, Yijiang; Meyyappan, M; Li, Jing

    2011-06-20

    The sensitive detection of hydrogen peroxide in the vapor phase is achieved using a nanochemical sensor consisting of single-walled carbon nanotubes as the sensing material. The interdigitated electrode-based sensor is constructed using a simple and standard microfabrication approach. The test results indicate a sensing capability of 25 ppm and response and recovery times in seconds. The sensor array consisting of 32 sensor elements with variations in sensing materials is capable of discriminating hydrogen peroxide from water and methanol. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  20. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  1. Nonradioactive Environmental Emissions Chemical Source Term for the Double-Shell Tank (DST) Vapor Space During Waste Retrieval Operations

    International Nuclear Information System (INIS)

    MAY, T.H.

    2000-01-01

    A nonradioactive chemical vapor space source term for tanks on the Phase 1 and the extended Phase 1 delivery, storage, and disposal mission was determined. Operations modeled included mixer pump operation and DST waste transfers. Concentrations of ammonia, specific volatile organic compounds, and quantitative volumes of aerosols were estimated

  2. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  3. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  4. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  5. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  6. A PMMA coated PMN–PT single crystal resonator for sensing chemical agents

    International Nuclear Information System (INIS)

    Frank, Michael; Kassegne, Sam; Moon, Kee S

    2010-01-01

    A highly sensitive lead magnesium niobate–lead titanate (PMN–PT) single crystal resonator coated with a thin film of polymethylmethacrylate (PMMA) useful for detecting chemical agents such as acetone, methanol, and isopropyl alcohol is presented. Swelling of the cured PMMA polymer layer in the presence of acetone, methanol, and isopropyl alcohol vapors is sensed as a mass change transduced to an electrical signal by the PMN–PT thickness shear mode sensor. Frequency change in the PMN–PT sensor is demonstrated to vary according to the concentration of the chemical vapor present within the sensing chamber. For acetone, the results indicate a frequency change more than 6000 times greater than that which would be expected from a quartz crystal microbalance coated with PMMA. This study is the first of its kind to demonstrate vapor loading of adsorbed chemical agents onto a polymer coated PMN–PT resonator

  7. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  8. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  9. Observation of Zn vacancies in ZnO grown by chemical vapor transport

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, F.; Saarinen, K. [Laboratory of Physics, Helsinki University of Technology, P.O. Box 1100, 02015 TKK (Finland); Grasza, K.; Mycielski, A. [Institute of Physics, Polish Academy of Sciences, Lotnikow 32/46, 02-668 Warsaw (Poland)

    2006-03-15

    We have used positron annihilation spectroscopy to study the vacancy defects in ZnO crystals grown by both the conventional and contactless chemical vapor transport (CVT and CCVT). Our results show that Zn vacancies or Zn vacancy related defects are present in as-grown ZnO, irrespective of the growth method. Zn vacancies are observed in CVT-grown undoped ZnO and (Zn,Mn)O. The Zn vacancies present in undoped CCVT-ZnO are the dominant negatively charged point defect in the material. Doping the material with As introduces also Zn vacancy-related defect complexes with larger open volume. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  11. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  12. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  13. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  14. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  15. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  16. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  17. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  18. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  19. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  20. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  1. Sensitivity of animals to chemical compounds links to metabolic rate.

    NARCIS (Netherlands)

    Baas, J.; Kooijman, S.A.L.M.

    2015-01-01

    Ecotoxicological studies have shown considerable variation in species sensitivity for chemical compounds, but general patterns in sensitivity are still not known. A better understanding of this sensitivity is important in the context of environmental risk assessment but also in a more general

  2. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  3. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  4. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  5. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  6. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  7. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Importance Profiles for Water Vapor

    Science.gov (United States)

    Mapes, Brian; Chandra, Arunchandra S.; Kuang, Zhiming; Zuidema, Paquita

    2017-11-01

    Motivated by the scientific desire to align observations with quantities of physical interest, we survey how scalar importance functions depend on vertically resolved water vapor. Definitions of importance begin from familiar examples of water mass I m and TOA clear-sky outgoing longwave flux I OLR, in order to establish notation and illustrate graphically how the sensitivity profile or "kernel" depends on whether specific humidity S, relative humidity R, or ln( R) are used as measures of vapor. Then, new results on the sensitivity of convective activity I con to vapor (with implied knock-on effects such as weather prediction skill) are presented. In radiative-convective equilibrium, organized (line-like) convection is much more sensitive to moisture than scattered isotropic convection, but it exists in a drier mean state. The lesson for natural convection may be that organized convection is less susceptible to dryness and can survive and propagate into regions unfavorable for disorganized convection. This counterintuitive interpretive conclusion, with respect to the narrow numerical result behind it, highlights the importance of clarity about what is held constant at what values in sensitivity or susceptibility kernels. Finally, the sensitivities of observable radiance signals I sig for passive remote sensing are considered. While the accuracy of R in the lower free troposphere is crucial for the physical importance scalars, this layer is unfortunately the most difficult to isolate with passive remote sensing: In high emissivity channels, water vapor signals come from too high in the atmosphere (for satellites) or too low (for surface radiometers), while low emissivity channels have poor altitude discrimination and (in the case of satellites) are contaminated by surface emissions. For these reasons, active ranging (LiDAR) is the preferred observing strategy.

  9. Silver chemical vapor generation for atomic absorption spectrometry: Minimization of transport losses, interferences and application to water analysis

    Czech Academy of Sciences Publication Activity Database

    Musil, Stanislav; Kratzer, Jan; Vobecký, Miloslav; Benada, Oldřich; Matoušek, Tomáš

    2010-01-01

    Roč. 25, č. 10 (2010), s. 1618-1626 ISSN 0267-9477 R&D Projects: GA ČR GA203/09/1783 Institutional research plan: CEZ:AV0Z40310501; CEZ:AV0Z50200510 Keywords : chemical vapor generation * 111Ag radioindicator * transport losses Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 4.372, year: 2010

  10. Enhanced chemical sensing organic thin-film transistors

    Science.gov (United States)

    Tanese, M. C.; Torsi, L.; Farinola, G. M.; Valli, L.; Hassan Omar, O.; Giancane, G.; Ieva, E.; Babudri, F.; Palmisano, F.; Naso, F.; Zambonin, P. G.

    2007-09-01

    Organic thin film transistor (OTFT) sensors are capable of fast, sensitive and reliable detection of a variety of analytes. They have been successfully tested towards many chemical and biological "odor" molecules showing high selectivity, and displaying the additional advantage of being compatible with plastic technologies. Their versatility is based on the possibility to control the device properties, from molecular design up to device architecture. Here phenylene-thiophene based organic semiconductors functionalized with ad hoc chosen side groups are used as active layers in sensing OTFTs. These materials, indeed, combine the detection capability of organic molecules (particularly in the case of bio-substituted systems) with the electronic properties of the conjugated backbone. A new OTFT structure including Langmuir-Schäfer layer by layer organic thin films is here proposed to perform chemical detection of organic vapors, including vapor phase chiral molecules such as citronellol vapors, with a detection limit in the ppm range. Thermally evaporated α6T based OTFT sensors are used as well to be employed as standard system in order to compare sensors performances.

  11. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  12. Response of the ionosphere to the injection of chemically reactive vapors

    International Nuclear Information System (INIS)

    Bernhardt, P.A.

    1976-05-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere, and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply and this rise causes a thermal expansion of the plasma and a further reduction in the local plasma concentration

  13. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  14. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  15. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  16. Investigation of sensitivity and selectivity of ZnO thin film to volatile organic compounds

    Science.gov (United States)

    Teimoori, F.; Khojier, K.; Dehnavi, N. Z.

    2017-06-01

    This research addresses a detailed study on the sensitivity and selectivity of ZnO thin film to volatile organic compound (VOC) vapors that can be used for the development of VOC sensors. The ZnO thin film of 100 nm thickness was prepared by post-annealing of e-beam evaporated Zn thin film. The sample was structurally, morphologically, and chemically characterized by X-ray diffraction and field emission scanning electron microscopy analyses. The sensitivity, selectivity, and detection limit of the sample were tested with respect to a wide range of common VOC vapors, including acetone, formaldehyde, acetic acid, formic acid, acetylene, toluene, benzene, ethanol, methanol, and isopropanol in the temperature range of 200-400 °C. The results show that the best sensitivity and detection limit of the sample are related to acetone vapor in the studied temperature range. The ZnO thin film-based acetone sensor also shows a good reproducibility and stability at the operating temperature of 280 °C.

  17. Computer modeling of the sensitivity of a laser water vapor sensor to variations in temperature and air speed

    Science.gov (United States)

    Tucker, George F.

    1994-01-01

    Currently, there is disagreement among existing methods of determining atmospheric water vapor concentration at dew-points below -40 C. A major source of error is wall effects which result from the necessity of bringing samples into the instruments. All of these instruments also have response times on the order of seconds. NASA Langley is developing a water vapor sensor which utilizes the absorption of the infrared radiation produced by a diode laser to estimate water vapor concentration. The laser beam is directed through an aircraft window to a retroreflector located on an engine. The reflected beam is detected by an infrared detector located near the laser. To maximize signal to noise, derivative signals are analyzed. By measuring the 2f/DC signal and correcting for ambient temperature, atmospheric pressure and air speed (which results in a Doppler shifting of the laser beam), the water vapor concentration can be retrieved. Since this is an in situ measurement there are no wall effects and measurements can be made at a rate of more than 20 per second. This allows small spatial variations of water vapor to be studied. In order to study the sensitivity of the instrument to variations in temperature and air speed, a computer program which generated the 2f, 3f, 4f, DC and 2f/DC signals of the instrument as a function of temperature, pressure and air speed was written. This model was used to determine the effect of errors in measurement of the temperature and air speed on the measured water vapor concentration. Future studies will quantify the effect of pressure measurement errors, which are expected to be very small. As a result of these studied, a retrieval algorithm has been formulated, and will be applied to data taken during the PEM-West atmospheric science field mission. Spectroscopic studies of the water vapor line used by the instrument will be used to refine this algorithm. To prepare for these studies, several lasers have been studied to determine their

  18. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  19. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  20. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  1. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  2. Estimating enthalpy of vaporization from vapor pressure using Trouton's rule.

    Science.gov (United States)

    MacLeod, Matthew; Scheringer, Martin; Hungerbühler, Konrad

    2007-04-15

    The enthalpy of vaporization of liquids and subcooled liquids at 298 K (delta H(VAP)) is an important parameter in environmental fate assessments that consider spatial and temporal variability in environmental conditions. It has been shown that delta H(VAP)P for non-hydrogen-bonding substances can be estimated from vapor pressure at 298 K (P(L)) using an empirically derived linear relationship. Here, we demonstrate that the relationship between delta H(VAP)and PL is consistent with Trouton's rule and the ClausiusClapeyron equation under the assumption that delta H(VAP) is linearly dependent on temperature between 298 K and the boiling point temperature. Our interpretation based on Trouton's rule substantiates the empirical relationship between delta H(VAP) degree and P(L) degrees for non-hydrogen-bonding chemicals with subcooled liquid vapor pressures ranging over 15 orders of magnitude. We apply the relationship between delta H(VAP) degrees and P(L) degrees to evaluate data reported in literature reviews for several important classes of semivolatile environmental contaminants, including polycyclic aromatic hydrocarbons, chlorobenzenes, polychlorinated biphenyls and polychlorinated dibenzo-dioxins and -furans and illustrate the temperature dependence of results from a multimedia model presented as a partitioning map. The uncertainty associated with estimating delta H(VAP)degrees from P(L) degrees using this relationship is acceptable for most environmental fate modeling of non-hydrogen-bonding semivolatile organic chemicals.

  3. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  4. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing.

    Science.gov (United States)

    Piszter, Gábor; Kertész, Krisztián; Bálint, Zsolt; Biró, László Péter

    2016-09-07

    Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  5. Non-allergic cutaneous reactions in airborne chemical sensitivity--a population based study

    DEFF Research Database (Denmark)

    Berg, Nikolaj Drimer; Linneberg, Allan; Thyssen, Jacob Pontoppidan

    2011-01-01

    the relationship between cutaneous reactions from patch testing and self-reported severity of chemical sensitivity to common airborne chemicals. A total of 3460 individuals participating in a general health examination, Health 2006, were patch tested with allergens from the European standard series and screened...... most severe groups of self-reported sensitivity to airborne chemicals. When adjusting for confounding, associations were weakened, and only non-allergic cutaneous reactions were significantly associated with individuals most severely affected by inhalation of airborne chemicals (odds ratio = 2.5, p = 0...

  6. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  7. Prediction of the vapor pressure and vaporization enthalpy of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids.

    Science.gov (United States)

    Diedenhofen, Michael; Klamt, Andreas; Marsh, Kenneth; Schäfer, Ansgar

    2007-09-07

    The vapor pressures and vaporization enthalpies of a series of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids have been predicted with two different approaches using the COSMO-RS method and quantum chemical gas phase calculations. While the calculated enthalpies are in good agreement with the experimental data, COSMO-RS seems to underestimate the vapor pressures by roughly 0.5-4 log units dependent on the IL and approach used.

  8. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Effects of symbiotic bacteria on chemical sensitivity of Daphnia magna.

    Science.gov (United States)

    Manakul, Patcharaporn; Peerakietkhajorn, Saranya; Matsuura, Tomoaki; Kato, Yasuhiko; Watanabe, Hajime

    2017-07-01

    The crustacean zooplankton Daphnia magna has been widely used for chemical toxicity tests. Although abiotic factors have been well documented in ecotoxicological test protocols, biotic factors that may affect the sensitivity to chemical compounds remain limited. Recently, we identified symbiotic bacteria that are critical for the growth and reproduction of D. magna. The presence of symbiotic bacteria on Daphnia raised the question as to whether these bacteria have a positive or negative effect on toxicity tests. In order to evaluate the effects of symbiotic bacteria on toxicity tests, bacteria-free Daphnia were prepared, and their chemical sensitivities were compared with that of Daphnia with symbiotic bacteria based on an acute immobilization test. The Daphnia with symbiotic bacteria showed higher chemical resistance to nonylphenol, fenoxycarb, and pentachlorophenol than bacteria-free Daphnia. These results suggested potential roles of symbiotic bacteria in the chemical resistance of its host Daphnia. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  11. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  12. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  13. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  14. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  15. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  16. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  17. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  18. Reduction in infection risk through treatment of microbially contaminated surfaces with a novel, portable, saturated steam vapor disinfection system.

    Science.gov (United States)

    Tanner, Benjamin D

    2009-02-01

    Surface-mediated infectious disease transmission is a major concern in various settings, including schools, hospitals, and food-processing facilities. Chemical disinfectants are frequently used to reduce contamination, but many pose significant risks to humans, surfaces, and the environment, and all must be properly applied in strict accordance with label instructions to be effective. This study set out to determine the capability of a novel chemical-free, saturated steam vapor disinfection system to kill microorganisms, reduce surface-mediated infection risks, and serve as an alternative to chemical disinfectants. High concentrations of Escherichia coli, Shigella flexneri, vancomycin-resistant Enterococcus faecalis (VRE), methicillin-resistant Staphylococcus aureus (MRSA), Salmonella enterica, methicillin-sensitive Staphylococcus aureus, MS2 coliphage (used as a surrogate for nonenveloped viruses including norovirus), Candida albicans, Aspergillus niger, and the endospores of Clostridium difficile were dried individually onto porous clay test surfaces. Surfaces were treated with the saturated steam vapor disinfection system for brief periods and then numbers of surviving microorganisms were determined. Infection risks were calculated from the kill-time data using microbial dose-response relationships published in the scientific literature, accounting for surface-to-hand and hand-to-mouth transfer efficiencies. A diverse assortment of pathogenic microorganisms was rapidly killed by the steam disinfection system; all of the pathogens tested were completely inactivated within 5 seconds. Risks of infection from the contaminated surfaces decreased rapidly with increasing periods of treatment by the saturated steam vapor disinfection system. The saturated steam vapor disinfection system tested for this study is chemical-free, broadly active, rapidly efficacious, and therefore represents a novel alternative to liquid chemical disinfectants.

  19. Spherical porphyrin sensor array based on encoded colloidal crystal beads for VOC vapor detection.

    Science.gov (United States)

    Xu, Hua; Cao, Kai-Di; Ding, Hai-Bo; Zhong, Qi-Feng; Gu, Hong-Cheng; Xie, Zhuo-Ying; Zhao, Yuan-Jin; Gu, Zhong-Ze

    2012-12-01

    A spherical porphyrin sensor array using colloidal crystal beads (CCBs) as the encoding microcarriers has been developed for VOC vapor detection. Six different porphyrins were coated onto the CCBs with distinctive encoded reflection peaks via physical adsorption and the sensor array was fabricated by placing the prepared porphyrin-modified CCBs together. The change in fluorescence color of the porphyrin-modified CCBs array serves as the detection signal for discriminating between different VOC vapors and the reflection peak of the CCBs serves as the encoding signal to distinguish between different sensors. It was demonstrated that the VOC vapors detection using the prepared sensor array showed excellent discrimination: not only could the compounds from the different chemical classes be easily differentiated (e.g., alcohol vs acids vs ketones) but similar compounds from the same chemical family (e.g., methanol vs ethanol) and the same compound with different concentration ((e.g., Sat. ethanol vs 60 ppm ethanol vs 10 ppm ethanol) could also be distinguished. The detection reproducibility and the humidity effect were also investigated. The present spherical sensor array, with its simple preparation, rapid response, high sensitivity, reproducibility, and humidity insensitivity, and especially with stable and high-throughput encoding, is promising for real applications in artificial olfactory systems.

  20. Properties of zinc selenide grown by chemical vapor transport and its application to room-temperature radiation detection

    International Nuclear Information System (INIS)

    Brunett, B.A.; Toney, J.E.; Schlesinger, T.E.; Yoon, H.; Goorsky, M.S.; Rudolph, P.

    1998-01-01

    The authors have characterized ZnSe material grown by chemical vapor transport in iodine using triple-axis X-ray diffraction (TAD), photo-induced current transient spectroscopy (PICTS), photoluminescence (PL), current-voltage measurements and gamma-ray spectroscopy. The material was found to have inadequate carrier transport for nuclear spectrometer use, but there was a discernible difference in performance between crystals which could be correlated with crystallinity as determined by the TAD rocking curves

  1. An approach to fabricating chemical sensors based on ZnO nanorod arrays

    International Nuclear Information System (INIS)

    Park, Jae Young; Song, Dong Eon; Kim, Sang Sub

    2008-01-01

    Vertically and laterally aligned ZnO nanorod arrays were synthesized on Pt-coated Si substrates by catalyst-free metal organic chemical vapor deposition. An approach to fabricating chemical sensors based on the nanorod arrays using a coating-and-etching process with a photo-resist is reported. Tests of the devices as oxygen gas sensors have been performed. Our results demonstrate that the approach holds promise for the realization of sensitive and reliable nanorod array chemical sensors

  2. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  3. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing

    Directory of Open Access Journals (Sweden)

    Gábor Piszter

    2016-09-01

    Full Text Available Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  4. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  5. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Gorham-Bergeron, E.; Benson, D.A.

    1978-01-01

    A series of experiments is described in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressures measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical nonequilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented

  6. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  7. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  8. An elevated pro-inflammatory cytokine profile in multiple chemical sensitivity

    DEFF Research Database (Denmark)

    Dantoft, Thomas Meinertz; Elberling, J.; Brix, Susanne

    2014-01-01

    BackgroundMultiple chemical sensitivity (MCS) is a medically unexplained condition characterized by reports of recurrent unspecific symptoms attributed to exposure to low levels of common volatile chemicals. The etiology of MCS is poorly understood, but dysregulation of the immune system has been...

  9. Hard X-ray photoelectron spectroscopy study for transport behavior of CsI in heating test simulating a BWR severe accident condition: Chemical effects of boron vapors

    Energy Technology Data Exchange (ETDEWEB)

    Okane, T., E-mail: okanet@spring8.or.jp [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Kobata, M. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Sato, I. [Oarai Research and Development Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Kobayashi, K. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Osaka, M. [Nuclear Science and Engineering Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Yamagami, H. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Faculty of Science, Kyoto Sangyo University, Motoyama, Kamigamo, Kita-ku, Kyoto, 603-8555 (Japan)

    2016-02-15

    Highlights: • We have clarified the temperature-dependent chemical forms of Cs/I products. • We have examined the CsI-decomposing effects of B{sub 2}O{sub 3} vapor. • The possibility of Cs re-evaporation from CsI-deposited surface is suggested. • We have demonstrated the usefulness of HAXPES on FP chemistry. - Abstract: Transport behavior of CsI in the heating test, which simulated a BWR severe accident, was investigated by hard X-ray photoelectron spectroscopy (HAXPES) with an emphasis on the chemical effect of boron vapors. CsI deposited on metal tube at temperatures ranging from 150 °C to 750 °C was reacted with vapor/aerosol B{sub 2}O{sub 3}, and the chemical form of reaction products on the sample surface was examined from the HAXPES spectra of core levels, e.g., Ni 2p, Cs 3d and I 3d levels, and valence band. For the samples at ∼300 °C, while the chemical form of major product on the sample surface without an exposure to B{sub 2}O{sub 3} was suggested to be CsI from the HAXPES spectra, an intensity ratio of Cs/I was dramatically reduced at the sample surface after the reaction with B{sub 2}O{sub 3}. The results suggest the possibility of significant decomposition of deposited CsI induced by the chemical reaction with B{sub 2}O{sub 3} at specific temperatures.

  10. Modeling UTLS water vapor: Transport/Chemistry interactions

    International Nuclear Information System (INIS)

    Gulstad, Line

    2005-01-01

    This thesis was initially meant to be a study on the impact on chemistry and climate from UTLS water vapor. However, the complexity of the UTLS water vapor and its recent changes turned out to be a challenge by it self. In the light of this, the overall motivation for the thesis became to study the processes controlling UTLS water vapor and its changes. Water vapor is the most important greenhouse gas, involved in important climate feedback loops. Thus, a good understanding of the chemical and dynamical behavior of water vapor in the atmosphere is crucial for understanding the climate changes in the last century. Additionally, parts of the work was motivated by the development of a coupled climate chemistry model based on the CAM3 model coupled with the Chemical Transport Model Oslo CTM2. The future work will be concentrated on the UTLS water vapor impact on chemistry and climate. We are currently studying long term trends in UTLS water vapor, focusing on identification of the different processes involved in the determination of such trends. The study is based on natural as well as anthropogenic climate forcings. The ongoing work on the development of a coupled climate chemistry model will continue within our group, in collaboration with Prof. Wei-Chyung Wang at the State University of New York, Albany. Valuable contacts with observational groups are established during the work on this thesis. These collaborations will be continued focusing on continuous model validation, as well as identification of trends and new features in UTLS water vapor, and other tracers in this region. (Author)

  11. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  12. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  13. Rapid Chemical Vapor Infiltration of Silicon Carbide Minicomposites at Atmospheric Pressure.

    Science.gov (United States)

    Petroski, Kenneth; Poges, Shannon; Monteleone, Chris; Grady, Joseph; Bhatt, Ram; Suib, Steven L

    2018-02-07

    The chemical vapor infiltration technique is one of the most popular for the fabrication of the matrix portion of a ceramic matrix composite. This work focuses on tailoring an atmospheric pressure deposition of silicon carbide onto carbon fiber tows using the methyltrichlorosilane (CH 3 SiCl 3 ) and H 2 deposition system at atmospheric pressure to create minicomposites faster than low pressure systems. Adjustment of the flow rate of H 2 bubbled through CH 3 SiCl 3 will improve the uniformity of the deposition as well as infiltrate the substrate more completely as the flow rate is decreased. Low pressure depositions conducted at 50 Torr deposit SiC at a rate of approximately 200 nm*h -1 , while the atmospheric pressure system presented has a deposition rate ranging from 750 nm*h -1 to 3.88 μm*h -1 . The minicomposites fabricated in this study had approximate total porosities of 3 and 6% for 10 and 25 SCCM infiltrations, respectively.

  14. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  15. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  16. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  17. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  18. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  19. Piezoelectric trace vapor calibrator

    International Nuclear Information System (INIS)

    Verkouteren, R. Michael; Gillen, Greg; Taylor, David W.

    2006-01-01

    The design and performance of a vapor generator for calibration and testing of trace chemical sensors are described. The device utilizes piezoelectric ink-jet nozzles to dispense and vaporize precisely known amounts of analyte solutions as monodisperse droplets onto a hot ceramic surface, where the generated vapors are mixed with air before exiting the device. Injected droplets are monitored by microscope with strobed illumination, and the reproducibility of droplet volumes is optimized by adjustment of piezoelectric wave form parameters. Complete vaporization of the droplets occurs only across a 10 deg. C window within the transition boiling regime of the solvent, and the minimum and maximum rates of trace analyte that may be injected and evaporated are determined by thermodynamic principles and empirical observations of droplet formation and stability. By varying solution concentrations, droplet injection rates, air flow, and the number of active nozzles, the system is designed to deliver--on demand--continuous vapor concentrations across more than six orders of magnitude (nominally 290 fg/l to 1.05 μg/l). Vapor pulses containing femtogram to microgram quantities of analyte may also be generated. Calibrated ranges of three explosive vapors at ng/l levels were generated by the device and directly measured by ion mobility spectrometry (IMS). These data demonstrate expected linear trends within the limited working range of the IMS detector and also exhibit subtle nonlinear behavior from the IMS measurement process

  20. Sickness-related dysfunction in persons with self-reported multiple chemical sensitivity at four levels of severity.

    Science.gov (United States)

    Gibson, Pamela Reed; Vogel, Valerie M

    2009-01-01

    To examine quality of life outcome for persons who self-report chemical sensitivity, often referred to as multiple chemical sensitivity. Multiple chemical sensitivity is poorly understood with few providers specialising in its treatment. This lack of treatment and the ubiquity of chemicals engender severe life impacts such as job loss, financial loss, social isolation and even homelessness for persons who experience these sensitivities. Survey. We examined chemical incitants, symptoms and sickness-related behavioural dysfunction as measured by the Sickness Impact Profile in 254 persons self-identified with multiple chemical sensitivity. Chemicals rated as causing the most symptomatology in respondents were pesticide, formaldehyde, fresh paint, new carpet, diesel exhaust, perfume and air fresheners. The five highest rated symptoms in this sample were tiredness/lethargy, difficulty concentrating, muscle aches, memory difficulties and long-term fatigue. Overall mean Sickness Impact Profile score was 25.25%, showing serious impairment, with the most serious dysfunction in the categories of work (55.36%), alertness behaviour (53.45%) and recreation and pastimes (45.20%). Multiple chemical sensitivity is an important health care issue because it often includes serious dysfunction, is poorly understood by providers and poses extensive financial and treatment obstacles for those who experience it. Persons with multiple chemical sensitivity seek medical treatment in a variety of contexts and informed providers can both avoid iatrogenic harm due to medical exposures and provide any possible treatment for the chemical sensitivities. Understanding the impact of the health condition is crucial to communicate with and treat persons who experience the sensitivities.

  1. Local lymph node assay (LLNA) for detection of sensitization capacity of chemicals.

    Science.gov (United States)

    Gerberick, G Frank; Ryan, Cindy A; Dearman, Rebecca J; Kimber, Ian

    2007-01-01

    The local lymph node assay (LLNA) is a murine model developed to evaluate the skin sensitization potential of chemicals. The LLNA is an alternative approach to traditional guinea pig methods and in comparison provides important animal welfare benefits. The assay relies on measurement of events induced during the induction phase of skin sensitization, specifically lymphocyte proliferation in the draining lymph nodes which is a hallmark of a skin sensitization response. Since its introduction the LLNA has been the subject of extensive evaluation on a national and international scale, and has been successfully validated and incorporated worldwide into regulatory guidelines. Experience gained in recent years has demonstrated that adherence to published procedures and guidelines for the LLNA (e.g., with respect to dose and vehicle selection) is critical for the successful conduct and eventual interpretation of the data. In addition to providing a robust method for skin sensitization hazard identification, the LLNA has proven very useful in assessing the skin sensitizing potency of test chemicals, and this has provided invaluable information to risk assessors. The primary method to make comparisons of the relative potency of chemical sensitizers is to use linear interpolation to estimate the concentration of chemical required to induce a stimulation index of three relative to concurrent vehicle-treated controls (EC3). In certain situations where there are available less than optimal dose response data a log-linear extrapolation method can be used to estimate an EC3 value which can reduce significantly the need for repeat testing of chemicals. The LLNA, when conducted according to published guidelines, provides a robust method for skin sensitization testing that not only provides reliable hazard identification information but also data necessary for effective risk assessment and risk management.

  2. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Benson, D.A.; Bergeron, E.G.

    1979-01-01

    This paper describes a series of experiments in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressure measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical non-equilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented. (orig.) [de

  3. Vapor pressures and vaporization enthalpy of (−) α-bisabolol and (dl) menthol by correlation gas chromatography

    International Nuclear Information System (INIS)

    Keating, Leasa; Harris, Harold H.; Chickos, James S.

    2017-01-01

    Highlights: • The vaporization enthalpy and vapor pressure of (−) α-bisabolol and (dl)-menthol have been measured as a function of temperature. • Vapor pressures, vaporization enthalpies and boiling temperatures have been compared to available literature data. • Vapor pressures of (l)-menthol are compared to (dl)-menthol. - Abstract: The vapor pressures and vaporization enthalpies of (−) α-bisabolol and (dl)-menthol, two GRAS chemicals (generally recognized as safe) are evaluated by correlation gas chromatography using a series of saturated primary alcohols as standards. Vaporization enthalpies of (96.6 ± 2.4) and (74.2 ± 2.8) kJ mol −1 and vapor pressures of p/Pa = (0.020 ± 0.003) and (4.5 ± 0.44) were evaluated at T = 298.15 K for (−) α-bisabolol and (dl)-menthol, respectively, and compared to literature values. The vapor pressures of both compounds from T = (298.15 to 500) K have been derived from correlations using vapor pressures of a series of 1-alkanols and corresponding gas chromatographic retention times at 10 K intervals. The results were fit to a second order polynomial. Calculated normal boiling temperatures of T B = (574.8 and 492.7) K are calculated for (−) α-bisabolol and (dl)-menthol, respectively. A normal boiling temperature of T B = (485.2, and 489.7) K has previously been reported for (dl)-menthol. Vapor pressures for both (l)-menthol and (dl)-menthol from a previous study and (dl)-menthol from this study are compared with literature values.

  4. Alcohol Recognition by Flexible, Transparent and Highly Sensitive Graphene-Based Thin-Film Sensors

    KAUST Repository

    Xu, Xuezhu

    2017-06-22

    Chemical sensors detect a variety of chemicals across numerous fields, such as automobile, aerospace, safety, indoor air quality, environmental control, food, industrial production and medicine. We successfully assemble an alcohol-sensing device comprising a thin-film sensor made of graphene nanosheets (GNs) and bacterial cellulose nanofibers (BCNs). We show that the GN/BCN sensor has a high selectivity to ethanol by distinguishing liquid-phase or vapor-phase ethanol (C2H6O) from water (H2O) intelligently with accurate transformation into electrical signals in devices. The BCN component of the film amplifies the ethanol sensitivity of the film, whereby the GN/BCN sensor has 12400% sensitivity for vapor-phase ethanol compared to the pure GN sensor, which has only 21% sensitivity. Finally, GN/BCN sensors demonstrate fast response/recovery times and a wide range of alcohol detection (10-100%). The superior sensing ability of GN/BCN compared to GNs alone is due to the improved wettability of BCNs and the ionization of liquids. We prove a facile, green, low-cost route for the assembly of ethanol-sensing devices with potential for vast application.

  5. Millimeter-wave Radiometer for High Sensitivity Water Vapor Profiling in Arid Regions

    Energy Technology Data Exchange (ETDEWEB)

    Pazmany, Andrew

    2006-11-09

    Abstract - ProSensing Inc. has developed a G-band (183 GHz) water Vapor Radiometer (GVR) for long-term, unattended measurements of low concentrations of atmospheric water vapor and liquid water. Precipitable water vapor and liquid water path are estimated from zenith brightness temperatures measured from four double-sideband receiver channels, centered at 183.31 1, 3 and 7, and 14 GHz. A prototype ground-based version of the instrument was deployed at the DOE ARM program?s North Slope of Alaska site near Barrow AK in April 2005, where it collected data continuously for one year. A compact, airborne version of this instrument, packaged to operate from a standard 2-D PMS probe canister, has been tested on the ground and is scheduled for test flights in the summer of 2006. This paper presents design details, laboratory test results and examples of retrieved precipitable water vapor and liquid water path from measured brightness temperature data.

  6. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  7. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  8. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  9. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  10. Hexamethyldisiloxane thin films as sensitive coating for quartz crystal microbalance based volatile organic compounds sensors

    International Nuclear Information System (INIS)

    Boutamine, M.; Bellel, A.; Sahli, S.; Segui, Y.; Raynaud, P.

    2014-01-01

    Hexamethyldisiloxane (HMDSO) thin films coated quartz crystal microbalance (QCM) electrodes have been characterized for the detection of volatile organic compounds (VOCs). The sensitive coatings were plasma polymerized in pure vapor of HMDSO and HMDSO/O 2 mixture. The sensor sensitivity was evaluated by monitoring the frequency shift (∆f) of the coated QCM electrode exposed to different concentrations of VOC vapors, such as ethanol, methanol, benzene and chloroform. The isotherm response characteristics showed good reproducibility and reversibility. For all types of analyte, ∆f were found to be linearly correlated with the concentration of VOC vapor. It was shown that it is possible to tune the chemical affinity of the sensor by changing the oxygen ratio in the deposition gas mixture. Contact angle measurements (CA), attenuated total reflectance-Fourier transform infrared (ATR-FTIR) spectroscopy, atomic force microscopy (AFM) and scanning electron microscopy (SEM) were used to study surface wettability, chemical composition and surface morphology of the coated QCM electrodes. ATR-FTIR analysis showed the presence of methyl groups around 840 cm −1 due to Si-(CH 3 ) 3 rocking vibration making the elaborated sensor surface hydrophobic. When the coating is performed in HMDSO/O 2 mixture, AFM and SEM images showed an increase in the effective specific surface area of the sensor due to the increase in surface roughness. Surface morphology combined with chemical composition significantly affects the sensitivity of the QCM-based sensor. - Highlights: • Hexamethyldisiloxane layers were evaluated for volatile organic compounds detection. • The kinetic response of the sensor showed good reproducibility and reversibility. • Hydrophobic coating and high specific surface area increase the sensor sensitivity. • Sensor affinity can be controlled by controlling oxygen proportion in the mixture

  11. Ion mobility spectrometric analysis of vaporous chemical warfare agents by the instrument with corona discharge ionization ammonia dopant ambient temperature operation.

    Science.gov (United States)

    Satoh, Takafumi; Kishi, Shintaro; Nagashima, Hisayuki; Tachikawa, Masumi; Kanamori-Kataoka, Mieko; Nakagawa, Takao; Kitagawa, Nobuyoshi; Tokita, Kenichi; Yamamoto, Soichiro; Seto, Yasuo

    2015-03-20

    The ion mobility behavior of nineteen chemical warfare agents (7 nerve gases, 5 blister agents, 2 lachrymators, 2 blood agents, 3 choking agents) and related compounds including simulants (8 agents) and organic solvents (39) was comparably investigated by the ion mobility spectrometry instrument utilizing weak electric field linear drift tube with corona discharge ionization, ammonia doping, purified inner air drift flow circulation operated at ambient temperature and pressure. Three alkyl methylphosphonofluoridates, tabun, and four organophosphorus simulants gave the intense characteristic positive monomer-derived ion peaks and small dimer-derived ion peaks, and the later ion peaks were increased with the vapor concentrations. VX, RVX and tabun gave both characteristic positive monomer-derived ions and degradation product ions. Nitrogen mustards gave the intense characteristic positive ion peaks, and in addition distinctive negative ion peak appeared from HN3. Mustard gas, lewisite 1, o-chlorobenzylidenemalononitrile and 2-mercaptoethanol gave the characteristic negative ion peaks. Methylphosphonyl difluoride, 2-chloroacetophenone and 1,4-thioxane gave the characteristic ion peaks both in the positive and negative ion mode. 2-Chloroethylethylsulfide and allylisothiocyanate gave weak ion peaks. The marker ion peaks derived from two blood agents and three choking agents were very close to the reactant ion peak in negative ion mode and the respective reduced ion mobility was fluctuated. The reduced ion mobility of the CWA monomer-derived peaks were positively correlated with molecular masses among structurally similar agents such as G-type nerve gases and organophosphorus simulants; V-type nerve gases and nitrogen mustards. The slope values of the calibration plots of the peak heights of the characteristic marker ions versus the vapor concentrations are related to the detection sensitivity, and within chemical warfare agents examined the slope values for sarin, soman

  12. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  13. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  14. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  15. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  16. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  17. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  18. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  19. Water Vapor Permeation in Plastics

    Energy Technology Data Exchange (ETDEWEB)

    Keller, Paul E. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Kouzes, Richard T. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2017-01-01

    Polyvinyl toluene (PVT) and polystyrene (PS) (referred to as “plastic scintillator”) are used for gamma ray detectors. A significant decrease in radiation detection performance has been observed in some PVT-based gamma-ray detectors in systems in outdoor environments as they age. Recent studies have revealed that plastic scintillator can undergo an environmentally related material degradation that adversely affects gamma ray detection performance under certain conditions and histories. A significant decrease in sensitivity has been seen in some gamma-ray detectors in some systems as they age. The degradation of sensitivity of plastic scintillator over time is due to a variety of factors, and the term “aging” is used to encompass all factors. Some plastic scintillator samples show no aging effects (no significant change in sensitivity over more than 10 years), while others show severe aging (significant change in sensitivity in less than 5 years). Aging effects arise from weather (variations in heat and humidity), chemical exposure, mechanical stress, light exposure, and loss of volatile components. The damage produced by these various causes can be cumulative, causing observable damage to increase over time. Damage may be reversible up to some point, but becomes permanent under some conditions. The objective of this report is to document the phenomenon of permeability of plastic scintillator to water vapor and to derive the relationship between time, temperature, humidity and degree of water penetration in plastic. Several conclusions are documented about the properties of water permeability of plastic scintillator.

  20. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    Science.gov (United States)

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  1. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  2. Development of High Temperature/High Sensitivity Novel Chemical Resistive Sensor

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chunrui [Univ. of Texas, San Antonio, TX (United States); Enriquez, Erik [Univ. of Texas, San Antonio, TX (United States); Wang, Haibing [Univ. of Texas, San Antonio, TX (United States); Xu, Xing [Univ. of Texas, San Antonio, TX (United States); Bao, Shangyong [Univ. of Texas, San Antonio, TX (United States); Collins, Gregory [Univ. of Texas, San Antonio, TX (United States)

    2013-08-13

    The research has been focused to design, fabricate, and develop high temperature/high sensitivity novel multifunctional chemical sensors for the selective detection of fossil energy gases used in power and fuel systems. By systematically studying the physical properties of the LnBaCo2O5+d (LBCO) [Ln=Pr or La] thin-films, a new concept chemical sensor based high temperature chemical resistant change has been developed for the application for the next generation highly efficient and near zero emission power generation technologies. We also discovered that the superfast chemical dynamic behavior and an ultrafast surface exchange kinetics in the highly epitaxial LBCO thin films. Furthermore, our research indicates that hydrogen can superfast diffuse in the ordered oxygen vacancy structures in the highly epitaxial LBCO thin films, which suggest that the LBCO thin film not only can be an excellent candidate for the fabrication of high temperature ultra sensitive chemical sensors and control systems for power and fuel monitoring systems, but also can be an excellent candidate for the low temperature solid oxide fuel cell anode and cathode materials.

  3. Active sampling technique to enhance chemical signature of buried explosives

    Science.gov (United States)

    Lovell, John S.; French, Patrick D.

    2004-09-01

    Deminers and dismounted countermine engineers commonly use metal detectors, ground penetrating radar and probes to locate mines. Many modern landmines have a very low metal content, which severely limits the effectiveness of metal detectors. Canines have also been used for landmine detection for decades. Experiments have shown that canines smell the explosives which are known to leak from most types of landmines. The fact that dogs can detect landmines indicates that vapor sensing is a viable approach to landmine detection. Several groups are currently developing systems to detect landmines by "sniffing" for the ultra-trace explosive vapors above the soil. The amount of material that is available to passive vapor sensing systems is limited to no more than the vapor in equilibrium with the explosive related chemicals (ERCs) distributed in the surface soils over and near the landmine. The low equilibrium vapor pressure of TNT in the soil/atmosphere boundary layer and the limited volume of the boundary layer air imply that passive chemical vapor sensing systems require sensitivities in the picogram range, or lower. ADA is working to overcome many of the limitations of passive sampling methods, by the use of an active sampling method that employs a high-powered (1,200+ joules) strobe lamp to create a highly amplified plume of vapor and/or ERC-bearing fine particulates. Initial investigations have demonstrated that this approach can amplify the detectability of TNT by two or three orders of magnitude. This new active sampling technique could be used with any suitable explosive sensor.

  4. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  5. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  6. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  7. The Electrochemical Behavior of Carbon Fiber Microelectrodes Modified with Carbon Nanotubes Using a Two-Step Electroless Plating/Chemical Vapor Deposition Process

    Directory of Open Access Journals (Sweden)

    Longsheng Lu

    2017-03-01

    Full Text Available Carbon fiber microelectrode (CFME has been extensively applied in the biosensor and chemical sensor domains. In order to improve the electrochemical activity and sensitivity of the CFME, a new CFME modified with carbon nanotubes (CNTs, denoted as CNTs/CFME, was fabricated and investigated. First, carbon fiber (CF monofilaments grafted with CNTs (simplified as CNTs/CFs were fabricated in two key steps: (i nickel electroless plating, followed by (ii chemical vapor deposition (CVD. Second, a single CNTs/CF monofilament was selected and encapsulated into a CNTs/CFME with a simple packaging method. The morphologies of as-prepared CNTs/CFs were characterized by scanning electron microscopy. The electrochemical properties of CNTs/CFMEs were measured in potassium ferrocyanide solution (K4Fe(CN6, by using a cyclic voltammetry (CV and a chronoamperometry method. Compared with a bare CFME, a CNTs/CFME showed better CV curves with a higher distinguishable redox peak and response current; the higher the CNT content was, the better the CV curves were. Because the as-grown CNTs significantly enhanced the effective electrode area of CNTs/CFME, the contact area between the electrode and reactant was enlarged, further increasing the electrocatalytic active site density. Furthermore, the modified microelectrode displayed almost the same electrochemical behavior after 104 days, exhibiting remarkable stability and outstanding reproducibility.

  8. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  9. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  10. Sensitivity of transatlantic dust transport to chemical aging and related atmospheric processes

    KAUST Repository

    Abdelkader, Mohamed

    2017-03-20

    We present a sensitivity study on transatlantic dust transport, a process which has many implications for the atmosphere, the ocean and the climate. We investigate the impact of key processes that control the dust outflow, i.e., the emission flux, convection schemes and the chemical aging of mineral dust, by using the EMAC model following Abdelkader et al. (2015). To characterize the dust outflow over the Atlantic Ocean, we distinguish two geographic zones: (i) dust interactions within the Intertropical Convergence Zone (ITCZ), or the dust–ITCZ interaction zone (DIZ), and (ii) the adjacent dust transport over the Atlantic Ocean (DTA) zone. In the latter zone, the dust loading shows a steep and linear gradient westward over the Atlantic Ocean since particle sedimentation is the dominant removal process, whereas in the DIZ zone aerosol–cloud interactions, wet deposition and scavenging processes determine the extent of the dust outflow. Generally, the EMAC simulated dust compares well with CALIPSO observations; however, our reference model configuration tends to overestimate the dust extinction at a lower elevation and underestimates it at a higher elevation. The aerosol optical depth (AOD) over the Caribbean responds to the dust emission flux only when the emitted dust mass is significantly increased over the source region in Africa by a factor of 10. These findings point to the dominant role of dust removal (especially wet deposition) in transatlantic dust transport. Experiments with different convection schemes have indeed revealed that the transatlantic dust transport is more sensitive to the convection scheme than to the dust emission flux parameterization. To study the impact of dust chemical aging, we focus on a major dust outflow in July 2009. We use the calcium cation as a proxy for the overall chemical reactive dust fraction and consider the uptake of major inorganic acids (i.e., H2SO4, HNO3 and HCl) and their anions, i.e., sulfate (SO42−), bisulfate

  11. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  12. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  13. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  14. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  15. Mass spectrometric study of Nd2S3 vaporization

    International Nuclear Information System (INIS)

    Fenochka, B.V.

    1987-01-01

    The authors conduct a mass-spectrometric study of neodymium(III) sulfide vaporization. The chemical composition of the samples was stoichiometric and the samples were vaporized from tantalum effusion cells. When the vapor over Nd 2 S 3 is ionized by electrons the mass spectra shows monovalent cations of Nd, S, NdS, and NdO. The enthalpy of vaporization if Nd atoms from Nd 2 S 3 at average experimental temperatures and the standard enthalpy of reaction is shown. Also presented is the enthalpy of vaporization of NdS molecules from Nd 2 S 3 at average experimental temperatures and the standard enthalpy of reaction

  16. Sensitive chemical neutron dosimetry using silver colloids

    International Nuclear Information System (INIS)

    Brede, O.; Boes, J.; Hoesselbarth, B.

    1982-01-01

    The radiation-induced formation of silver colloid was checked for its use as a sensitive dosimeter for neutron irradiation. For non-monoenergetic pulsed neutron irradiation in the Dubna IBR-30 reactor, the colloid dosimeter was found to be suitable to indicate the chemical neutron effect, i.e., to determine the sum concentration of the primary particles of water radiolysis: esub(aq)sup(-), OH and H. (author)

  17. Electrochemical generation of mercury cold vapor and its in-situ trapping in gold-covered graphite tube atomizers

    International Nuclear Information System (INIS)

    Cerveny, Vaclav; Rychlovsky, Petr; Netolicka, Jarmila; Sima, Jan

    2007-01-01

    The combination of more efficient flow-through electrochemical mercury cold vapor generation with its in-situ trapping in a graphite tube atomizer is described. This coupled technique has been optimized to attain the maximum sensitivity for Hg determination and to minimize the limits of detection and determination. A laboratory constructed thin-layer flow-through cell with a platinum cathode served as the cold vapor generator. Various cathode arrangements with different active surface areas were tested. Automated sampling equipment for the graphite atomizer with an untreated fused silica capillary was used for the introduction of the mercury vapor. The inner surface of the graphite tube was covered with a gold foil placed against the sampling hole. The results attained for the electrochemical mercury cold vapor generation (an absolute limit of detection of 80 pg; peak absorbance, 3σ criterion) were compared with the traditional vapor generation using NaBH 4 as the reducing agent (an absolute limit of detection of 124 pg; peak absorbance, 3σ criterion). The repeatability at the 5 ng ml -1 level was better than 4.1% (RSD) for electrochemical mercury vapor generation and better than 5.6% for the chemical cold vapor generation. The proposed method was applied to the determination the of Hg contents in a certified reference material and in spiked river water samples

  18. Climate Sensitivity

    Energy Technology Data Exchange (ETDEWEB)

    Lindzen, Richard [M.I.T.

    2011-11-09

    Warming observed thus far is entirely consistent with low climate sensitivity. However, the result is ambiguous because the sources of climate change are numerous and poorly specified. Model predictions of substantial warming aredependent on positive feedbacks associated with upper level water vapor and clouds, but models are notably inadequate in dealing with clouds and the impacts of clouds and water vapor are intimately intertwined. Various approaches to measuring sensitivity based on the physics of the feedbacks will be described. The results thus far point to negative feedbacks. Problems with these approaches as well as problems with the concept of climate sensitivity will be described.

  19. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  20. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  1. Toxicity of vapor phase petroleum contaminants to microbial degrader communities

    International Nuclear Information System (INIS)

    Long, S.C.; Davey, C.A.

    1994-01-01

    Petroleum products constitute the largest quantity of synthetic organic chemical products produced in the US. They are comprised of mostly hydrocarbon constituents from many different chemical classes including alkenes, cycloalkanes, aromatic compounds, and polyaromatic hydrocarbons. Many petroleum constituents are classified as volatile organic compounds or VOCs. Petroleum products also constitute a major portion of environmental pollution. One emerging technology, with promise for applications to VOCs in subsurface soil environments, is bioventing coupled with soil vapor extraction. These technologies involve volatilization of contaminants into the soil gas phase by injection and withdrawal of air. This air movement causes enhancement of the aerobic microbial degradation of the mobilized vapors by the indigenous populations. This study investigated the effects of exposure of mixed, subsurface microbial communities to vapor phase petroleum constituents or vapors of petroleum mixtures. Soil slurries were prepared and plated onto mineral salts agar plates and exposed to vapor phase contaminants at equilibrium with pure product. Representative n-alkane, branched alkane, cycloalkane, and aromatic compounds were tested as well as petroleum product mixtures. Vapor exposure altered the numbers and morphologies of the colonies enumerated when compared to controls. However, even at high, equilibrium vapor concentrations, microbial degrader populations were not completely inhibited

  2. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  3. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-01-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  4. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-05-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  5. Method for Hot Real-Time Analysis of Pyrolysis Vapors at Pilot Scale

    Energy Technology Data Exchange (ETDEWEB)

    Pomeroy, Marc D [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-09-29

    Pyrolysis oils contain more than 400 compounds, up to 60% of which do not re-volatilize for subsequent chemical analysis. Vapor chemical composition is also complicated as additional condensation reactions occur during quenching and collection of the product. Due to the complexity of the pyrolysis oil, and a desire to catalytically upgrade the vapor composition before condensation, online real-time analytical techniques such as Molecular Beam Mass Spectrometry (MBMS) are of great use. However, in order to properly sample hot pyrolysis vapors at the pilot scale, many challenges must be overcome.

  6. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  7. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  8. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  9. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  10. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  11. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  12. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  13. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  14. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  15. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  16. MOFs for the Sensitive Detection of Ammonia: Deployment of fcu-MOF Thin Films as Effective Chemical Capacitive Sensors.

    Science.gov (United States)

    Assen, Ayalew H; Yassine, Omar; Shekhah, Osama; Eddaoudi, Mohamed; Salama, Khaled N

    2017-09-22

    This work reports on the fabrication and deployment of a select metal-organic framework (MOF) thin film as an advanced chemical capacitive sensor for the sensing/detection of ammonia (NH 3 ) at room temperature. Namely, the MOF thin film sensing layer consists of a rare-earth (RE) MOF (RE-fcu-MOF) deposited on a capacitive interdigitated electrode (IDE). Purposely, the chemically stable naphthalene-based RE-fcu-MOF (NDC-Y-fcu-MOF) was elected and prepared/arranged as a thin film on a prefunctionalized capacitive IDE via the solvothermal growth method. Unlike earlier realizations, the fabricated MOF-based sensor showed a notable detection sensitivity for NH 3 at concentrations down to 1 ppm, with a detection limit appraised to be around 100 ppb (at room temperature) even in the presence of humidity and/or CO 2 . Distinctly, the NDC-Y-fcu-MOF based sensor exhibited the required stability to NH 3 , in contrast to other reported MOFs, and a remarkable detection selectivity toward NH 3 vs CH 4 , NO 2 , H 2 , and C 7 H 8 . The NDC-Y-fcu-MOF based sensor exhibited excellent performance for sensing ammonia for simulated breathing system in the presence of the mixture of carbon dioxide and/or humidity (water vapor), with no major alteration in the detection signal.

  17. Performance of horizontal versus vertical vapor extraction wells

    International Nuclear Information System (INIS)

    Birdsell, K.H.; Roseberg, N.D.; Edlund, K.M.

    1994-06-01

    Vapor extraction wells used for site remediation of volatile organic chemicals in the vadose zone are typically vertical wells. Over the past few years, there has been an increased interest in horizontal wells for environmental remediation. Despite the interest and potential benefits of horizontal wells, there has been little study of the relative performance of horizontal and vertical vapor extraction wells. This study uses numerical simulations to investigate the relative performance of horizontal versus vertical vapor extraction wells under a variety of conditions. The most significant conclusion that can be drawn from this study is that in a homogeneous medium, a single, horizontal vapor extraction well outperforms a single, vertical vapor extraction well (with surface capping) only for long, linear plumes. Guidelines are presented regarding the use of horizontal wells

  18. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  19. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  20. Molecular restrictions for human eye irritation by chemical vapors

    International Nuclear Information System (INIS)

    Cometto-Muniz, J. Enrique; Cain, William S.; Abraham, Michael H.

    2005-01-01

    Previous research showed a cut-off along homologous volatile organic compounds (VOCs) in their ability to produce acute human mucosal irritation. The present study sought to specify the particular cut-off homolog for sensory eye irritation in an acetate and n-alcohol series. A 1900-ml glass vessel system and a three-alternative forced-choice procedure served to test nonyl, decyl, and dodecyl acetate, and 1-nonanol, 1-decanol, and 1-undecanol. Flowrate to the eye ranged from 2 to 8 L/min and time of exposure from 3 to 24 s. Decyl acetate and 1-undecanol were the shortest homologs that failed to produce eye irritation under all conditions, producing a cut-off effect. Increasing the vapor concentration of decyl acetate and 1-undecanol by 3 and 8 times, respectively, via heating them to 37 deg C made either or both VOCs detectable to only half of the 12 subjects tested, even though the higher vapor concentration was well above a predicted eye irritation threshold. When eye irritation thresholds for homologous acetates and n-alcohols were plotted as a function of the longest unfolded length of the molecule, the values for decyl acetate and 1-undecanol fell within a restricted range of 18 to 19 A. The outcome suggests that the basis for the cut-off is biological, that is, the molecule lacks a key size or structure to trigger transduction, rather than physical, that is, the vapor concentration is too low to precipitate detection

  1. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  2. Mass spectrometric study of vaporization of (U,Pu)O2 fuel simulating high burnup

    International Nuclear Information System (INIS)

    Maeda, Atsushi; Ohmichi, Toshihiko; Fukushima, Susumu; Handa, Muneo

    1985-08-01

    The vaporization behavior of (U,Pu)O 2 fuel simulatig high burnup was studied in the temperature range of 1,573 -- 2,173 K by high temperature mass spectrometry. The phases in the simulated fuel were examined by X-ray microprobe analysis. The relationship between chemical form and vaporization behavior of simulated fission product elements was discussed. Pd, Sr, Ba, Ce and actinide-bearing vapor species were observed, and it was clarified that Pd vapor originated from metallic inclusion and Sr and Ce vapors, from mixed oxide fuel matrix. The vaporization behavior of the actinide elements was somewhat similar to that of hypostoichiometric mixed oxide fuel. The behavior of Ba-bearing vapor species changed markedly over about 2,000 K. From the determination of BaO vapor pressures over simulated fuel and BaZrO 3 , it was revealed thermodynamically that the transformation of the chemical form of Ba about 2,000 K, i.e., dissolution of BaZrO 3 phase into fuel matrix, might be the reason of the observed vapor pressure change. (author)

  3. Covering sources of toxic vapors with foam

    International Nuclear Information System (INIS)

    Aue, W. P.; Guidetti, F.

    2009-01-01

    In a case of chemical terrorism, first responders might well be confronted with a liquid source of toxic vapor which keeps spreading out its hazardous contents. With foam as an efficient and simple means, such a source could be covered up in seconds and the spread of vapors mitigated drastically. Once covered, the source could then wait for a longer time to be removed carefully and professionally by a decontamination team. In order to find foams useful for covering up toxic vapor sources, a large set of measurements has been performed in order to answer the following questions: - Which foams could be used for this purpose? - How thick should the foam cover be? - For how long would such a foam cover be effective? - Could the practical application of foam cause a spread of the toxic chemical? The toxic vapors sources included GB, GD and HD. Among the foams were 10 fire fighter foams (e.g. AFFF, protein) and the aqueous decontamination foam CASCAD. Small scale experiments showed that CASCAD is best suited for covering a toxic source; a 10 cm layer of it covers and decontaminates GB. The large scale experiments confirmed that any fire fighter foam is a suitable cover for a longer or shorter period.(author)

  4. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  5. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  6. Application of rat mast cell incubates as a possible short-time test for sensitizing occupational chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Diel, F.; Neidhart, B.; Opree, W.

    1981-08-01

    The direct action of sensitizing occupational chemicals (formaldehyde, phenol, phenylhydrazine, p-aminophenol) on rat mast cells was investigated by determination of histamine using HPLC separation and fluorimetric detection. It turned out that dispersed mast cells from immunized and non-immunized Wistar-rats are more sensitive than small-cut lung tissue slices. Passive cutaneous anaphylaxis was negative after a fortnight sensitizing experiment with the here described occupational chemicals. Short-time tests with rat mast cells reflect anaphylactoid response and are suitable for the screening of sensitizing chemicals.

  7. Electrospun Polymer Fiber Lasers for Applications in Vapor Sensing

    DEFF Research Database (Denmark)

    Krämmer, Sarah; Laye, Fabrice; Friedrich, Felix

    2017-01-01

    of the narrow lasing modes upon uptake of alcohol vapors (model vapors are methanol and ethanol) serves as sensor signal. Thus, the high sensitivity related to the spectral line shifts of cavity-based transducers can be combined with the fiber's large surface to volume ratio. The resulting optical sensors...

  8. Optical bio-sniffer for ethanol vapor using an oxygen-sensitive optical fiber.

    Science.gov (United States)

    Mitsubayashi, Kohji; Kon, Takuo; Hashimoto, Yuki

    2003-11-30

    An optical bio-sniffer for ethanol was constructed by immobilizing alcohol oxidase (AOD) onto a tip of a fiber optic oxygen sensor with a tube-ring, using an oxygen sensitive ruthenium organic complex (excitation, 470 nm; fluorescent, 600 nm). A reaction unit for circulating buffer solution was applied to the tip of the device. After the experiment in the liquid phase, the sniffer-device was applied for gas analysis using a gas flow measurement system with a gas generator. The optical device was applied to detect the oxygen consumption induced by AOD enzymatic reaction with alcohol application. The sensor in the liquid phase was used to measure ethanol solution from 0.50 to 9.09 mmol/l. Then, the bio-sniffer was calibrated against ethanol vapor from 0.71 to 51.49 ppm with good gas-selectivity based on the AOD substrate specificity. The bio-sniffer with the reaction unit was also used to monitor the concentration change of gaseous ethanol by rinsing and cleaning the fiber tip and the enzyme membrane with buffer solution.

  9. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  10. Sensitivity analysis on parameters and processes affecting vapor intrusion risk

    KAUST Repository

    Picone, Sara

    2012-03-30

    A one-dimensional numerical model was developed and used to identify the key processes controlling vapor intrusion risks by means of a sensitivity analysis. The model simulates the fate of a dissolved volatile organic compound present below the ventilated crawl space of a house. In contrast to the vast majority of previous studies, this model accounts for vertical variation of soil water saturation and includes aerobic biodegradation. The attenuation factor (ratio between concentration in the crawl space and source concentration) and the characteristic time to approach maximum concentrations were calculated and compared for a variety of scenarios. These concepts allow an understanding of controlling mechanisms and aid in the identification of critical parameters to be collected for field situations. The relative distance of the source to the nearest gas-filled pores of the unsaturated zone is the most critical parameter because diffusive contaminant transport is significantly slower in water-filled pores than in gas-filled pores. Therefore, attenuation factors decrease and characteristic times increase with increasing relative distance of the contaminant dissolved source to the nearest gas diffusion front. Aerobic biodegradation may decrease the attenuation factor by up to three orders of magnitude. Moreover, the occurrence of water table oscillations is of importance. Dynamic processes leading to a retreating water table increase the attenuation factor by two orders of magnitude because of the enhanced gas phase diffusion. © 2012 SETAC.

  11. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  12. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  13. A simple in chemico method for testing skin sensitizing potential of chemicals using small endogenous molecules.

    Science.gov (United States)

    Nepal, Mahesh Raj; Shakya, Rajina; Kang, Mi Jeong; Jeong, Tae Cheon

    2018-06-01

    Among many of the validated methods for testing skin sensitization, direct peptide reactivity assay (DPRA) employs no cells or animals. Although no immune cells are involved in this assay, it reliably predicts the skin sensitization potential of a chemical in chemico. Herein, a new method was developed using endogenous small-molecular-weight compounds, cysteamine and glutathione, rather than synthetic peptides, to differentiate skin sensitizers from non-sensitizers with an accuracy as high as DPRA. The percent depletion of cysteamine and glutathione by test chemicals was measured by an HPLC equipped with a PDA detector. To detect small-size molecules, such as cysteamine and glutathione, a derivatization by 4-(4-dimethylaminophenylazo) benzenesulfonyl chloride (DABS-Cl) was employed prior to the HPLC analysis. Following test method optimization, a cut-off criterion of 7.14% depletion was applied to differentiate skin sensitizers from non-sensitizers in combination of the ratio of 1:25 for cysteamine:test chemical with 1:50 for glutathione:test chemical for the best predictivity among various single or combination conditions. Although overlapping HPLC peaks could not be fully resolved for some test chemicals, high levels of sensitivity (100.0%), specificity (81.8%), and accuracy (93.3%) were obtained for 30 chemicals tested, which were comparable or better than those achieved with DPRA. Copyright © 2018 Elsevier B.V. All rights reserved.

  14. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  15. Oxygen source-oriented control of atmospheric pressure chemical vapor deposition of VO2 for capacitive applications

    Directory of Open Access Journals (Sweden)

    Dimitra Vernardou

    2016-06-01

    Full Text Available Vanadium dioxides of different crystalline orientation planes have successfully been fabricated by chemical vapor deposition at atmospheric pressure using propanol, ethanol and O2 gas as oxygen sources. The thick a-axis textured monoclinic vanadium dioxide obtained through propanol presented the best electrochemical response in terms of the highest specific discharge capacity of 459 mAh g-1 with a capacitance retention of 97 % after 1000 scans under constant specific current of 2 A g-1. Finally, the electrochemical impedance spectroscopy indicated that the charge transfer of Li+ through the vanadium dioxide / electrolyte interface was easier for this sample enhancing significantly its capacitance performance.

  16. Dry air effects on the copper oxides sensitive layers formation for ethanol vapor detection

    International Nuclear Information System (INIS)

    Labidi, A.; Bejaoui, A.; Ouali, H.; Akkari, F. Chaffar; Hajjaji, A.; Gaidi, M.; Kanzari, M.; Bessais, B.; Maaref, M.

    2011-01-01

    The copper oxide films have been deposited by thermal evaporation and annealed under ambient air and dry air respectively, at different temperatures. The structural characteristics of the films were investigated by X-ray diffraction. They showed the presences of two hydroxy-carbonate minerals of copper for annealing temperatures below 250 deg. C. Above this temperature the conductivity measurements during the annealing process, show a transition phase from metallic copper to copper oxides. The copper oxides sensitivity toward ethanol were performed using conductivity measurements at the working temperature of 200 deg. C. A decrease of conductivity was observed under ethanol vapor, showing the p-type semi-conducting characters of obtained copper oxide films. It was found that the sensing properties of copper oxide toward ethanol depend mainly on the annealing conditions. The best responses were obtained with copper layers annealed under dry air.

  17. Dry air effects on the copper oxides sensitive layers formation for ethanol vapor detection

    Energy Technology Data Exchange (ETDEWEB)

    Labidi, A., E-mail: Ahmed_laabidi@yahoo.fr [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia); Bejaoui, A.; Ouali, H. [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia); Akkari, F. Chaffar [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs, ENIT, Universite de Tunis el Manar, BP 37, Le belvedere 1002, Tunis (Tunisia); Hajjaji, A.; Gaidi, M. [Laboratoire de Photovoltaique, Centre de Recherches et de technologies de l' energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Kanzari, M. [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs, ENIT, Universite de Tunis el Manar, BP 37, Le belvedere 1002, Tunis (Tunisia); Bessais, B. [Laboratoire de Photovoltaique, Centre de Recherches et de technologies de l' energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Maaref, M. [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia)

    2011-09-15

    The copper oxide films have been deposited by thermal evaporation and annealed under ambient air and dry air respectively, at different temperatures. The structural characteristics of the films were investigated by X-ray diffraction. They showed the presences of two hydroxy-carbonate minerals of copper for annealing temperatures below 250 deg. C. Above this temperature the conductivity measurements during the annealing process, show a transition phase from metallic copper to copper oxides. The copper oxides sensitivity toward ethanol were performed using conductivity measurements at the working temperature of 200 deg. C. A decrease of conductivity was observed under ethanol vapor, showing the p-type semi-conducting characters of obtained copper oxide films. It was found that the sensing properties of copper oxide toward ethanol depend mainly on the annealing conditions. The best responses were obtained with copper layers annealed under dry air.

  18. Water vapor profiling using microwave radiometry

    Science.gov (United States)

    Wang, J. R.; Wilheit, T. T.

    1988-01-01

    Water vapor is one of the most important constituents in the Earth's atmosphere. Its spatial and temporal variations affect a wide spectrum of meteorological phenomena ranging from the formation of clouds to the development of severe storms. The passive microwave technique offers an excellent means for water vapor measurements. It can provide both day and night coverage under most cloud conditions. Two water vapor absorption features, at 22 and 183 GHz, were explored in the past years. The line strengths of these features differ by nearly two orders of magnitude. As a consequence, the techniques and the final products of water vapor measurements are also quite different. The research effort in the past few years was to improve and extend the retrieval algorithm to the measurements of water vapor profiles under cloudy conditions. In addition, the retrieval of total precipitable water using 183 GHz measurements, but in a manner analogous to the use of 22 GHz measurements, to increase measurement sensitivity for atmospheres of very low moisture content was also explored.

  19. Mobile vapor recovery and vapor scavenging unit

    International Nuclear Information System (INIS)

    Stokes, C.A.; Steppe, D.E.

    1991-01-01

    This patent describes a mobile anti- pollution apparatus, for the recovery of hydrocarbon emissions. It comprises a mobile platform upon which is mounted a vapor recovery unit for recovering vapors including light hydrocarbons, the vapor recovery unit having an inlet and an outlet end, the inlet end adapted for coupling to an external source of hydrocarbon vapor emissions to recover a portion of the vapors including light hydrocarbons emitted therefrom, and the outlet end adapted for connection to a means for conveying unrecovered vapors to a vapor scavenging unit, the vapor scavenging unit comprising an internal combustion engine adapted for utilizing light hydrocarbon in the unrecovered vapors exiting from the vapor recovery unit as supplemental fuel

  20. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  1. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  2. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  3. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  4. Sol-Generating Chemical Vapor into Liquid (SG-CViL) deposition – a facile method for encapsulation of diverse cell types in silica matrices

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Robert [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Materials Engineering Dept.; Rogelj, Snezna [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Biology Dept.; Harper, Jason C. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Bioenergy and Biodefense Technologies Dept.; Tartis, Michaelann [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Materials and Chemical Engineering Dept.

    2014-12-12

    In nature, cells perform a variety of complex functions such as sensing, catalysis, and energy conversion which hold great potential for biotechnological device construction. However, cellular sensitivity to ex vivo environments necessitates development of bio–nano interfaces which allow integration of cells into devices and maintain their desired functionality. In order to develop such an interface, the use of a novel Sol-Generating Chemical Vapor into Liquid (SG-CViL) deposition process for whole cell encapsulation in silica was explored. In SG-CViL, the high vapor pressure of tetramethyl orthosilicate (TMOS) is utilized to deliver silica into an aqueous medium, creating a silica sol. Cells are then mixed with the resulting silica sol, facilitating encapsulation of cells in silica while minimizing cell contact with the cytotoxic products of silica generating reactions (i.e. methanol), and reduce exposure of cells to compressive stresses induced from silica condensation reactions. Using SG-CVIL, Saccharomyces cerevisiae (S. cerevisiae) engineered with an inducible beta galactosidase system were encapsulated in silica solids and remained both viable and responsive 29 days post encapsulation. By tuning SG-CViL parameters, thin layer silica deposition on mammalian HeLa and U87 human cancer cells was also achieved. Thus, the ability to encapsulate various cell types in either a multi cell (S. cerevisiae) or a thin layer (HeLa and U87 cells) fashion shows the promise of SG-CViL as an encapsulation strategy for generating cell–silica constructs with diverse functions for incorporation into devices for sensing, bioelectronics, biocatalysis, and biofuel applications.

  5. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  6. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  7. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  8. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  9. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  10. Concise and Efficient Fluorescent Probe via an Intromolecular Charge Transfer for the Chemical Warfare Agent Mimic Diethylchlorophosphate Vapor Detection.

    Science.gov (United States)

    Yao, Junjun; Fu, Yanyan; Xu, Wei; Fan, Tianchi; Gao, Yixun; He, Qingguo; Zhu, Defeng; Cao, Huimin; Cheng, Jiangong

    2016-02-16

    Sarin, used as chemical warfare agents (CWAs) for terrorist attacks, can induce a number of virulent effects. Therefore, countermeasures which could realize robust and convenient detection of sarin are in exigent need. A concise charge-transfer colorimetric and fluorescent probe (4-(6-(tert-butyl)pyridine-2-yl)-N,N-diphenylaniline, TBPY-TPA) that could be capable of real-time and on-site monitoring of DCP vapor was reported in this contribution. Upon contact with DCP, the emission band red-shifted from 410 to 522 nm upon exposure to DCP vapor. And the quenching rate of TBPY-TPA reached up to 98% within 25 s. Chemical substances such as acetic acid (HAc), dimethyl methylphosphonate (DMMP), pinacolyl methylphosphonate (PAMP), and triethyl phosphate (TEP) do not interfere with the detection. A detection limit for DCP down to 2.6 ppb level is remarkably achieved which is below the Immediately Dangerous to Life or Health concentration. NMR data suggested that a transformation of the pyridine group into pyridinium salt via a cascade reaction is responsible for the sensing process which induced the dramatic fluorescent red shift. All of these data suggest TBPY-TPA is a promising fluorescent sensor for a rapid, simple, and low-cost method for DCP detection, which could be easy to prepare as a portable chemosensor kit for its practical application in real-time and on-site monitoring.

  11. Chemical Vapor Detection with a Multispectral Thermal Imager

    National Research Council Canada - National Science Library

    Althouse, Mark L. G; Chang, Chein-I

    1991-01-01

    .... Real-time autonomous detection and alarm is also required. A detection system model by Warren, based on a Gaussian vapor concentration distribution is the basis for detection algorithms. Algorithms recursive in both time and spectral frequency have been derived using Kalman filter theory. Adaptive filtering is used for preprocessing clutter rejection. Various components of the detection system have been tested individually and an integrated system is now being fabricated.

  12. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  13. ZnO:Al Thin Film Gas Sensor for Detection of Ethanol Vapor

    Directory of Open Access Journals (Sweden)

    Min Hsiung Hon

    2006-10-01

    Full Text Available The ZnO:Al thin films were prepared by RF magnetron sputtering on Si substrateusing Pt as interdigitated electrodes. The structure was characterized by XRD and SEManalyses, and the ethanol vapor gas sensing as well as electrical properties have beeninvestigated and discussed. The gas sensing results show that the sensitivity for detecting400 ppm ethanol vapor was ~20 at an operating temperature of 250°C. The high sensitivity,fast recovery, and reliability suggest that ZnO:Al thin film prepared by RF magnetronsputtering can be used for ethanol vapor gas sensing.

  14. Vapor pressures and sublimation enthalpies of novel bicyclic heterocycle derivatives

    International Nuclear Information System (INIS)

    Blokhina, Svetlana V.; Ol’khovich, Marina V.; Sharapova, Angelica V.; Perlovich, German L.; Proshin, Alexey N.

    2014-01-01

    Highlights: • The vapor pressures of novel bicyclo-derivatives of amine were measured. • Thermodynamic functions of sublimation were calculated. • The influence of substituent structure and chemical nature on the vapor pressure was studied. -- Abstract: The vapor pressures of five novel bicyclic heterocycle derivatives were measured over the temperature 341.15 to 396.15 K using the transpiration method by means of an inert gas carrier. From these results the standard enthalpies and Gibbs free energies of sublimation at the temperature 298.15 K were calculated. The effects of alkyl- and chloro-substitutions on changes in the thermodynamic functions have been investigated. Quantitative structure–property relationship on the basis HYBOT physico-chemical descriptors for biologically active compounds have been developed to predict the sublimation enthalpies and Gibbs free energies of the compounds studied

  15. Determination of trace cadmium in rice by liquid spray dielectric barrier discharge induced plasma - chemical vapor generation coupled with atomic fluorescence spectrometry

    Science.gov (United States)

    Liu, Xing; Zhu, Zhenli; Bao, Zhengyu; Zheng, Hongtao; Hu, Shenghong

    2018-03-01

    Cadmium contamination in rice has become an increasing concern in many countries including China. A simple, cost-effective, and highly sensitive method was developed for the determination of trace cadmium in rice samples based on a new high-efficient liquid spray dielectric barrier discharge induced plasma (LSDBD) vapor generation coupled with atomic fluorescence spectrometry (AFS). The analytical procedure involves the efficient formation of Cd volatile species by LSDBD plasma induced chemical processes without the use of any reducing reagents (Na/KBH4 in conventional hydride generation). The effects of the addition of organic substances, different discharge parameters such as discharge voltage and discharge gap, as well as the foreign ion interferences were investigated. Under optimized conditions, a detection limit of 0.01 μg L- 1 and a precision of 0.8% (RSD, n = 5, 1 μg L- 1 Cd) was readily achieved. The calibration curve was linear in the range between 0.1 and 10 μg L- 1, with a correlation coefficient of R2 = 0.9995. Compared with the conventional acid-BH4- vapor generation, the proposed method not only eliminates the use of unstable and expensive reagents, but also offers high tolerance for coexisting ions, which is well suited to the direct analysis of environmental samples. The validation of the proposed method was demonstrated by the analysis of Cd in reference material of rice (GBW080684). It was also successfully applied to the determination of trace cadmium in locally collected 11 rice samples, and the obtained Cd concentrations are ranged from 7.2 to 517.7 μg kg- 1.

  16. Tungsten chemical vapor deposition characteristics using SiH4 in a single wafer system

    International Nuclear Information System (INIS)

    Rosler, R.S.; Mendonca, J.; Rice, M.J. Jr.

    1988-01-01

    Several workers have recently begun using silane as a high-rate, low-temperature alternative to hydrogen for the reduction of WF 6 in the chemical vapor deposition of W. The deposition and film characteristics of both selective and blanket W using this new chemistry are explored in a radiantly heated single wafer system using closed-loop temperature control with a thermocouple in direct contact with the backside of the wafer. Selective W deposition rates of up to 1.5 μm/min were measured over the temperature range 250--550 0 C with blanket W rates typically 2--5 x lower. Resistivity is in the 10--15 μΩcm range at 300 0 C for SiH 4 /WF 6 ratios of 0.2 to 1.0, while above 400 0 C the range is 7.5--8.5 μΩcm. Si content in the W films is quite low at 10 16 to 10 17 atoms/cm 3 . Adhesion to silicon is excellent at temperatures of 350 0 C and above. Selective W using SiH 4 reduction for doped silicon contact fill shows none of the consumption or encroachment problems common to H 2 reduction, although selectivity is more sensitive. Contact resistance for p + and n + silicon contacts are comparable to aluminum controls and to previously published data. Blanket deposition into narrow geometries gives ≥0% step coverage and without keyholes in the 250--450 0 C deposition temperature range. For low-SiH 4 flows, deposition at 500 0 C causes small keyholes, while at 550 0 C even larger keyholes result. At higher SiH 4 flows, keyholes are typically not seen from 250 to 550 0 C

  17. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong; Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee; Boo, Jin-Hyo

    2011-01-01

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  18. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong [School of Mechanical Engineering, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee [SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Boo, Jin-Hyo, E-mail: byunghee@skku.edu, E-mail: boong33@skku.edu [Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of)

    2011-03-04

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  19. Toxic vapor concentrations in the control room following a postulated accidental release

    International Nuclear Information System (INIS)

    Wing, J.

    1979-05-01

    An acceptable method is presented for calculating the vapor concentrations in a control room as a function of time after a postulated accidental release. Included are the mathematical formulas for computing the rates of vaporization and evaporation of liquid spills, the vapor dispersion in air, and the control room air exchange. A list of toxic chemicals and their physical properties is also given

  20. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  1. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  2. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  3. X-ray photoelectron spectroscopy study on Fe and Co catalysts during the first stages of ethanol chemical vapor deposition for single-walled carbon nanotube growth

    NARCIS (Netherlands)

    Oida, S.; McFeely, F.R.; Bol, A.A.

    2011-01-01

    Optimized chemical vapor deposition processes for single-walled carbon nanotube (SWCNT) can lead to the growth of dense, vertically aligned, mm-long forests of SWCNTs. Precise control of the growth process is however still difficult, mainly because of poor understanding of the interplay between

  4. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  5. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shukrullah, S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Mohamed, N. M., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Shaharun, M. S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my [Department of Fundamental and Applied Sciences, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia); Yasar, M., E-mail: Muhammad.yasar@ieee.org [Department of Electrical and Electronic Engineering, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia)

    2014-10-24

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure.

  6. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  7. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  8. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Shukrullah, S.; Mohamed, N. M.; Shaharun, M. S.; Yasar, M.

    2014-01-01

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure

  9. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  10. MOFs for the Sensitive Detection of Ammonia: Deployment of fcu-MOF Thin-Films as Effective Chemical Capacitive Sensors.

    KAUST Repository

    Assen, Ayalew Hussen Assen

    2017-08-15

    This work reports on the fabrication and deployment of a select metal-organic framework (MOF) thin film as an advanced chemical capacitive sensor for the sensing/detection of ammonia (NH3) at room temperature. Namely, the MOF thin film sensing layer consists of a rare-earth (RE) MOF (RE-fcu-MOF) deposited on a capacitive interdigitated electrode (IDE). Purposely, the chemically stable naphthalene-based RE-fcu-MOF (NDC-Y-fcu-MOF) was elected and prepared/arranged as a thin film on a pre-functionalized capacitive IDE via the solvothermal growth method. Unlike earlier realizations, the fabricated MOF-based sensor showed a notable detection sensitivity for NH3 at concentrations down to 1 ppm, with a detection limit appraised to be around 100 ppb (at room temperature) even in the presence of humidity and/or CO2. Distinctly, the NDC-Y-fcu-MOF based sensor exhibited the required stability to NH3, in contract to other reported MOFs, and a remarkable detection selectivity towards NH3 vs. CH4, NO2, H2 and C7H8. The NDC-Y-fcu-MOF based sensor exhibited excellent performance for sensing ammonia for simulated breathing system in the presence of the mixture of carbon dioxide and/or humidity (water vapor), with no major alteration in the detection signal.

  11. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  12. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  13. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  14. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  15. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  16. Vapor-Driven Propulsion of Catalytic Micromotors

    Science.gov (United States)

    Dong, Renfeng; Li, Jinxing; Rozen, Isaac; Ezhilan, Barath; Xu, Tailin; Christianson, Caleb; Gao, Wei; Saintillan, David; Ren, Biye; Wang, Joseph

    2015-08-01

    Chemically-powered micromotors offer exciting opportunities in diverse fields, including therapeutic delivery, environmental remediation, and nanoscale manufacturing. However, these nanovehicles require direct addition of high concentration of chemical fuel to the motor solution for their propulsion. We report the efficient vapor-powered propulsion of catalytic micromotors without direct addition of fuel to the micromotor solution. Diffusion of hydrazine vapor from the surrounding atmosphere into the sample solution is instead used to trigger rapid movement of iridium-gold Janus microsphere motors. Such operation creates a new type of remotely-triggered and powered catalytic micro/nanomotors that are responsive to their surrounding environment. This new propulsion mechanism is accompanied by unique phenomena, such as the distinct off-on response to the presence of fuel in the surrounding atmosphere, and spatio-temporal dependence of the motor speed borne out of the concentration gradient evolution within the motor solution. The relationship between the motor speed and the variables affecting the fuel concentration distribution is examined using a theoretical model for hydrazine transport, which is in turn used to explain the observed phenomena. The vapor-powered catalytic micro/nanomotors offer new opportunities in gas sensing, threat detection, and environmental monitoring, and open the door for a new class of environmentally-triggered micromotors.

  17. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  18. Research on removing reservoir core water sensitivity using the method of ultrasound-chemical agent for enhanced oil recovery.

    Science.gov (United States)

    Wang, Zhenjun; Huang, Jiehao

    2018-04-01

    The phenomenon of water sensitivity often occurs in the oil reservoir core during the process of crude oil production, which seriously affects the efficiency of oil extraction. In recent years, near-well ultrasonic processing technology attaches more attention due to its safety and energy efficient. In this paper, the comparison of removing core water sensitivity by ultrasonic wave, chemical injection and ultrasound-chemical combination technique are investigated through experiments. Results show that: lower ultrasonic frequency and higher power can improve the efficiency of core water sensitivity removal; the effects of removing core water sensitivity under ultrasonic treatment get better with increase of core initial permeability; the effect of removing core water sensitivity using ultrasonic treatment won't get better over time. Ultrasonic treatment time should be controlled in a reasonable range; the effect of removing core water sensitivity using chemical agent alone is slightly better than that using ultrasonic treatment, however, chemical injection could be replaced by ultrasonic treatment for removing core water sensitivity from the viewpoint of oil reservoir protection and the sustainable development of oil field; ultrasound-chemical combination technique has the best effect for water sensitivity removal than using ultrasonic treatment or chemical injection alone. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  20. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  1. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  2. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  3. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  4. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  5. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  6. Bionanomaterials and Bioinspired Nanostructures for Selective Vapor Sensing

    Science.gov (United States)

    Potyrailo, Radislav; Naik, Rajesh R.

    2013-07-01

    At present, monitoring of air at the workplace, in urban environments, and on battlefields; exhaled air from medical patients; air in packaged food containers; and so forth can be accomplished with different types of analytical instruments. Vapor sensors have their niche in these measurements when an unobtrusive, low-power, and cost-sensitive technical solution is required. Unfortunately, existing vapor sensors often degrade their vapor-quantitation accuracy in the presence of high levels of interferences and cannot quantitate several components in complex gas mixtures. Thus, new sensing approaches with improved sensor selectivity are required. This technological task can be accomplished by the careful design of sensing materials with new performance properties and by coupling these materials with the suitable physical transducers. This review is focused on the assessment of the capabilities of bionanomaterials and bioinspired nanostructures for selective vapor sensing. We demonstrate that these sensing materials can operate with diverse transducers based on electrical, mechanical, and optical readout principles and can provide vapor-response selectivity previously unattainable by using other sensing materials. This ability for selective vapor sensing provides opportunities to significantly impact the major directions in development and application scenarios of vapor sensors.

  7. Superconducting magnesium diboride coatings for radio frequency cavities fabricated by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Wolak, M. A.; Tan, T.; Krick, A.; Johnson, E.; Hambe, M.; Chen, Ke; Xi, X. X.

    2014-01-01

    We have investigated the coating of an inner surface of superconducting radio frequency cavities with a magnesium diboride thin film by hybrid physical-chemical vapor deposition (HPCVD). To simulate a 6 GHz rf cavity, a straight stainless steel tube of 1.5-inch inner diameter and a dummy stainless steel cavity were employed, on which small sapphire and metal substrates were mounted at different locations. The MgB2 films on these substrates showed uniformly good superconducting properties including Tc of 37-40 K, residual resistivity ratio of up to 14, and root-mean-square roughness Rq of 20-30 nm. This work demonstrates the feasibility of coating the interior of cylindrical and curved objects with MgB2 by the HPCVD technique, an important step towards superconducting rf cavities with MgB2 coating.

  8. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  9. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  10. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  11. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  12. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  13. A Temperature Window for the Synthesis of Single-Walled Carbon Nanotubes by Catalytic Chemical Vapor Deposition of CH4over Mo2-Fe10/MgO Catalyst

    Directory of Open Access Journals (Sweden)

    Yu Ouyang

    2009-01-01

    Full Text Available Abstract A temperature window for the synthesis of single-walled carbon nanotubes by catalytic chemical vapor deposition of CH4over Mo2-Fe10/MgO catalyst has been studied by Raman spectroscopy. The results showed that when the temperature is lower than 750 °C, there were few SWCNTs formed, and when the temperature is higher than 950 °C, mass amorphous carbons were formed in the SWCNTs bundles due to the self-decomposition of CH4. The temperature window of SWCNTs efficient growth is between 800 and 950 °C, and the optimum growth temperature is about 900 °C. These results were supported by transmission electron microscope images of samples formed under different temperatures. The temperature window is important for large-scale production of SWCNTs by catalytic chemical vapor deposition method.

  14. Layered Black Phosphorus as a Selective Vapor Sensor.

    Science.gov (United States)

    Mayorga-Martinez, Carmen C; Sofer, Zdeněk; Pumera, Martin

    2015-11-23

    Black phosphorus is a layered material that is sensitive to the surrounding atmosphere. This is generally considered as a disadvantage, especially when compared to more stable layered compounds, such as graphite or MoS2. This sensitivity is now turned into an advantage. A vapor sensor that is based on layered black phosphorus and uses electrochemical impedance spectroscopy as the detection method is presented; the device selectively detects methanol vapor. The impedance phase measured at a constant frequency is used as a distinctive parameter for the selective quantification of methanol, and increases with the methanol concentration. The low detection limit of 28 ppm is well below the approved exposure limit of 200 ppm. The results are highly reproducible, and the vapor sensor is shown to be very selective in the presence of other vapors and to have long-term stability. © 2015 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA. This is an open access article under the terms of the Creative Commons Attribution Non-Commercial NoDerivs License, which permits use and distribution in any medium, provided the original work is properly cited, the use is non-commercial and no modifications or adaptations are made.

  15. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  16. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  17. CMOS-MEMS Chemiresistive and Chemicapacitive Chemical Sensor System

    Science.gov (United States)

    Lazarus, Nathan S.

    Integrating chemical sensors with testing electronics is a powerful technique with the potential to lower power and cost and allow for lower system limits of detection. This thesis explores the possibility of creating an integrated sensor system intended to be embedded within respirator cartridges to notify the user that hazardous chemicals will soon leak into the face mask. For a chemical sensor designer, this application is particularly challenging due to the need for a very sensitive and cheap sensor that will be exposed to widely varying environmental conditions during use. An octanethiol-coated gold nanoparticle chemiresistor to detect industrial solvents is developed, focusing on characterizing the environmental stability and limits of detection of the sensor. Since the chemiresistor was found to be highly sensitive to water vapor, a series of highly sensitive humidity sensor topologies were developed, with sensitivities several times previous integrated capacitive humidity sensors achieved. Circuit techniques were then explored to reduce the humidity sensor limits of detection, including the analysis of noise, charge injection, jitter and clock feedthrough in a charge-based capacitance measurement (CBCM) circuit and the design of a low noise Colpitts LC oscillator. The characterization of high resistance gold nanoclusters for capacitive chemical sensing was also performed. In the final section, a preconcentrator, a heater element intended to release a brief concentrated pulse of analate, was developed and tested for the purposes of lowering the system limit of detection.

  18. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  19. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  20. Enhancement of humidity sensitivity of graphene through functionalization with polyethylenimine

    International Nuclear Information System (INIS)

    Ben Aziza, Zeineb; Zhang, Kang; Baillargeat, Dominique; Zhang, Qing

    2015-01-01

    In this work, we show that the sensing performance of graphene based humidity sensors can be largely improved through polymer functionalization. Chemical vapor deposited graphene is functionalized with amine rich polymer, leading to electron transfer from amine groups in the polymer to graphene. The functionalized graphene humidity sensor has demonstrated good sensitivity, recovery, and repeatability. Charge transfer between the functionalized graphene and water molecules and the sensing mechanism are studied systemically using field effect transistor geometry and scanning Kelvin probe microscopy

  1. Structure and Properties of Hydrophobic Aggregation Hydrogel with Chemical Sensitive Switch

    Directory of Open Access Journals (Sweden)

    Jiufang Duan

    2017-01-01

    Full Text Available Hydrogels with chemical sensitive switch have control release properties in special environments. A series of polyacrylamide-octadecyl methacrylate hydrogels crosslinked by N,N′-bis (acryloyl cystamine were synthesized as potential chemical sensitive system. When this hydrogel encounters dithiothreitol it can change its quality. The properties of the hydrogels were characterized by infrared spectroscopy, contact angle, and scanning electron microscopy. The water absorption of the hydrogel has the maximum value of 475%, when the content of octadecyl methacrylate is 5 wt%. The amount of weight loss was changed from 34.6% to 17.2%, as the content of octadecyl methacrylate increased from 3 wt% to 9.4 wt%. At the same time, the stress of the hydrogel decreased from 67.01% to 47.61%; the strength of the hydrogel reaches to the maximum 0.367 Mpa at 7 wt% octadecyl methacrylate. The increasing content of octadecyl methacrylate from 3 wt% to 9.4 wt% can enhance the hydrophobicity of the hydrogel; the contact angle of water to hydrogel changed from 14.10° to 19.62°. This hydrogel has the porous structure which permits loading of oils into the gel matrix. The functionalities of the hydrogel make it have more widely potential applications in chemical sensitive response materials.

  2. Enhancement of NH3 gas sensitivity at room temperature by carbon nanotube-based sensor coated with Co nanoparticles.

    Science.gov (United States)

    Nguyen, Lich Quang; Phan, Pho Quoc; Duong, Huyen Ngoc; Nguyen, Chien Duc; Nguyen, Lam Huu

    2013-01-30

    Multi-walled carbon nanotube (MWCNT) film has been fabricated onto Pt-patterned alumina substrates using the chemical vapor deposition method for NH(3) gas sensing applications. The MWCNT-based sensor is sensitive to NH(3) gas at room temperature. Nanoclusters of Co catalysts have been sputtered on the surface of the MWCNT film to enhance gas sensitivity with respect to unfunctionalized CNT films. The gas sensitivity of Co-functionalized MWCNT-based gas sensors is thus significantly improved. The sensor exhibits good repeatability and high selectivity towards NH(3), compared with alcohol and LPG.

  3. Suitability of macrophage inflammatory protein-1beta production by THP-1 cells in differentiating skin sensitizers from irritant chemicals.

    Science.gov (United States)

    Lim, Yeon-Mi; Moon, Seong-Joon; An, Su-Sun; Lee, Soo-Jin; Kim, Seo-Young; Chang, Ih-Seop; Park, Kui-Lea; Kim, Hyoung-Ah; Heo, Yong

    2008-04-01

    Worldwide restrictions in animal use for research have driven efforts to develop alternative methods. The study aimed to test the efficacy of the macrophage inflammatory protein-1beta (MIP-1beta) assay for testing chemicals' skin-sensitizing capacity. The assay was performed using 9 chemicals judged to be sensitizing and 7 non-sensitizing by the standard in vivo assays. THP-1 cells were cultured in the presence or absence of 4 doses, 0.01x, 0.1x, 0.5x, or 1x IC(50) (50% inhibitory concentration for THP-1 cell proliferation) of these chemicals for 24 hr, and the MIP-1beta level in the supernatants was determined. Skin sensitization by the test chemicals was determined by MIP-1beta production rates. The MIP-1beta production rate was expressed as the relative increase in MIP-1beta production in response to chemical treatment compared with vehicle treatment. When the threshold MIP-1beta production rate used was 100% or 105% of dimethyl sulfoxide, all the sensitizing chemicals tested (dinitrochlorobenzene, hexyl cinnamic aldehyde, eugenol, hydroquinone, dinitrofluorobenzene, benzocaine, nickel, chromium, and 5-chloro-2-methyl-4-isothiazolin-3-one) were positive, and all the non-sensitizing chemicals (methyl salicylate, benzalkonium chloride, lactic acid, isopropanol, and salicylic acid), with the exception of sodium lauryl sulfate, were negative for MIP-1beta production. These results indicate that MIP-1beta could be a biomarker for classification of chemicals as sensitizers or non-sensitizers.

  4. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  5. Atomic-vapor-laser isotope separation

    International Nuclear Information System (INIS)

    Davis, J.I.

    1982-10-01

    This paper gives a brief history of the scientific considerations leading to the development of laser isotope separation (LIS) processes. The close relationship of LIS to the broader field of laser-induced chemical processes is evaluated in terms of physical criteria to achieve an efficient production process. Atomic-vapor LIS processes under development at Livermore are reviwed. 8 figures

  6. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  7. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  8. Pred-Skin: A Fast and Reliable Web Application to Assess Skin Sensitization Effect of Chemicals.

    Science.gov (United States)

    Braga, Rodolpho C; Alves, Vinicius M; Muratov, Eugene N; Strickland, Judy; Kleinstreuer, Nicole; Trospsha, Alexander; Andrade, Carolina Horta

    2017-05-22

    Chemically induced skin sensitization is a complex immunological disease with a profound impact on quality of life and working ability. Despite some progress in developing alternative methods for assessing the skin sensitization potential of chemical substances, there is no in vitro test that correlates well with human data. Computational QSAR models provide a rapid screening approach and contribute valuable information for the assessment of chemical toxicity. We describe the development of a freely accessible web-based and mobile application for the identification of potential skin sensitizers. The application is based on previously developed binary QSAR models of skin sensitization potential from human (109 compounds) and murine local lymph node assay (LLNA, 515 compounds) data with good external correct classification rate (0.70-0.81 and 0.72-0.84, respectively). We also included a multiclass skin sensitization potency model based on LLNA data (accuracy ranging between 0.73 and 0.76). When a user evaluates a compound in the web app, the outputs are (i) binary predictions of human and murine skin sensitization potential; (ii) multiclass prediction of murine skin sensitization; and (iii) probability maps illustrating the predicted contribution of chemical fragments. The app is the first tool available that incorporates quantitative structure-activity relationship (QSAR) models based on human data as well as multiclass models for LLNA. The Pred-Skin web app version 1.0 is freely available for the web, iOS, and Android (in development) at the LabMol web portal ( http://labmol.com.br/predskin/ ), in the Apple Store, and on Google Play, respectively. We will continuously update the app as new skin sensitization data and respective models become available.

  9. Some Sensitivity Studies of Chemical Transport Simulated in Models of the Soil-Plant-Litter System

    Energy Technology Data Exchange (ETDEWEB)

    Begovich, C.L.

    2002-10-28

    Fifteen parameters in a set of five coupled models describing carbon, water, and chemical dynamics in the soil-plant-litter system were varied in a sensitivity analysis of model response. Results are presented for chemical distribution in the components of soil, plants, and litter along with selected responses of biomass, internal chemical transport (xylem and phloem pathways), and chemical uptake. Response and sensitivity coefficients are presented for up to 102 model outputs in an appendix. Two soil properties (chemical distribution coefficient and chemical solubility) and three plant properties (leaf chemical permeability, cuticle thickness, and root chemical conductivity) had the greatest influence on chemical transport in the soil-plant-litter system under the conditions examined. Pollutant gas uptake (SO{sub 2}) increased with change in plant properties that increased plant growth. Heavy metal dynamics in litter responded to plant properties (phloem resistance, respiration characteristics) which induced changes in the chemical cycling to the litter system. Some of the SO{sub 2} and heavy metal responses were not expected but became apparent through the modeling analysis.

  10. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  11. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  12. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  13. Structure of metal β-diketonates and their enthalpies of vaporization

    International Nuclear Information System (INIS)

    Domrachev, G.A.; Sevast'yanov, V.G.; Zakharov, L.N.; Krasnodubskaya, S.V.; AN SSSR, Moscow. Inst. Obshchej i Neorganicheskoj Khimii)

    1987-01-01

    Using the method of additive schemes in combinaion with the structural estimation of the degree of screening of the central atom and other elements of β-diketonate molecule while analyzing the experimental enthalpies of vaporization, the contributions of separate fragments of complexes into the enthalpy of vaporization are found. It is shown that energies of intermolecular interaction in a condensed phase of monomeric metal β-diketonates with identical substituents do not depend on the central atom type. The enthalpies of dimer dissociation in a series of rare earth dipivaloylmethanates calculated. The proposed approach is advisable fo selecting forms of metal β-diketonates, the most suitable for the purposes of deep purificaion, which are characterized by maximum chemical and physico-chemical selectivity with respect to impurities, chemical inertness to equipment material, container, etc

  14. Sevoflurane anaesthesia for nasal surgery in a patient with multiple chemical sensitivity.

    Science.gov (United States)

    Fernández Martín, M T; Álvarez López, J C

    2018-01-01

    Multiple chemical sensitivity syndrome is a group of complex disorders that include psychiatric disorders, chronic fatigue and/or respiratory problems. This syndrome could be triggered by specific allergens and toxins that cause neurophysiological sensitization and the appearance of the clinical symptomatology. Anaesthesia for these patients always poses a challenge for the anaesthetist, because they need to find and use drugs that do not trigger or aggravate the symptoms of the disease. Therefore, sevoflurane in these circumstances might be "the ideal anaesthetic". Performing general anaesthesia with sevoflurane as the sole anaesthetic agent, together with a series of environmental measures formed the basis for successful anaesthesia and surgery in our patient with a multiple chemical sensitivity syndrome. Copyright © 2017 Sociedad Española de Anestesiología, Reanimación y Terapéutica del Dolor. Publicado por Elsevier España, S.L.U. All rights reserved.

  15. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor deposition ► Deposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  16. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  17. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  18. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  19. Prediction of the contact sensitizing potential of chemicals using analysis of gene expression changes in human THP-1 monocytes.

    Science.gov (United States)

    Arkusz, Joanna; Stępnik, Maciej; Sobala, Wojciech; Dastych, Jarosław

    2010-11-10

    The aim of this study was to find differentially regulated genes in THP-1 monocytic cells exposed to sensitizers and nonsensitizers and to investigate if such genes could be reliable markers for an in vitro predictive method for the identification of skin sensitizing chemicals. Changes in expression of 35 genes in the THP-1 cell line following treatment with chemicals of different sensitizing potential (from nonsensitizers to extreme sensitizers) were assessed using real-time PCR. Verification of 13 candidate genes by testing a large number of chemicals (an additional 22 sensitizers and 8 nonsensitizers) revealed that prediction of contact sensitization potential was possible based on evaluation of changes in three genes: IL8, HMOX1 and PAIMP1. In total, changes in expression of these genes allowed correct detection of sensitization potential of 21 out of 27 (78%) test sensitizers. The gene expression levels inside potency groups varied and did not allow estimation of sensitization potency of test chemicals. Results of this study indicate that evaluation of changes in expression of proposed biomarkers in THP-1 cells could be a valuable model for preliminary screening of chemicals to discriminate an appreciable majority of sensitizers from nonsensitizers. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  20. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  1. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  2. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  3. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  4. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  5. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    Science.gov (United States)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  6. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiang, E-mail: xiangliwj@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Wang, Lin [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Yu, Xiaoming [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Feng, Yafei [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Wang, Chengtao [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Yang, Ke [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Su, Daniel [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China)

    2013-07-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold.

  7. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    International Nuclear Information System (INIS)

    Li, Xiang; Wang, Lin; Yu, Xiaoming; Feng, Yafei; Wang, Chengtao; Yang, Ke; Su, Daniel

    2013-01-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold

  8. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  9. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  10. Robust numerical simulation of porosity evolution in chemical vapor infiltration III: three space dimension

    CERN Document Server

    Jin Shi

    2003-01-01

    Chemical vapor infiltration (CVI) process is an important technology to fabricate ceramic matrix composites (CMC's). In this paper, a three-dimension numerical model is presented to describe pore microstructure evolution during the CVI process. We extend the two-dimension model proposed in [S. Jin, X.L. Wang, T.L. Starr, J. Mater. Res. 14 (1999) 3829; S. Jin. X.L. Wang, T.L. Starr, X.F. Chen, J. Comp. Phys. 162 (2000) 467], where the fiber surface is modeled as an evolving interface, to the three space dimension. The 3D method keeps all the virtue of the 2D model: robust numerical capturing of topological changes of the interface such as the merging, and fast detection of the inaccessible pores. For models in the kinetic limit, where the moving speed of the interface is constant, some numerical examples are presented to show that this three-dimension model will effectively track the change of porosity, close-off time, location and shape of all pores.

  11. Uniformly Distributed Graphene Domain Grows on Standing Copper via Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shih-Hao Chan

    2013-01-01

    Full Text Available Uniformly distributed graphene domains were synthesized on standing copper foil by a low-pressure chemical vapor deposition system. This method improved the distribution of the graphene domains at different positions on the same piece of copper foil along the forward direction of the gas flow. Scanning electron microscopy (SEM showed the average size of the graphene domains to be about ~20 m. This results show that the sheet resistance of monolayer graphene on a polyethylene terephthalate (PET substrate is about ~359 /□ whereas that of the four-layer graphene films is about ~178 /□, with a transmittance value of 88.86% at the 550 nm wavelength. Furthermore, the sheet resistance can be reduced with the addition of HNO3 resulting in a value of 84 /□. These values meet the absolute standard for touch sensor applications, so we believe that this method can be a candidate for some transparent conductive electrode applications.

  12. Chemosensory perception, symptoms and autonomic responses during chemical exposure in multiple chemical sensitivity

    DEFF Research Database (Denmark)

    Andersson, Linus; Claeson, Anna Sara; Dantoft, Thomas Meinertz

    2016-01-01

    Purpose: Multiple chemical sensitivity (MCS) is a prevalent medically unexplained symptom characterized by symptom reactions to everyday chemical exposure below hygienic thresholds. The aim of this study was to investigate the expressions of hyper-reactivity in MCS during whole-body exposure to low...... concentrations of the odorant n-butanol. Methods: We exposed 18 participants with MCS and 18 non-ill controls to a low concentration of the odorant n-butanol using an exposure chamber. The first 10 min constituted blank exposure, after which the n-butanol concentration increased and reached a plateau at 11.5 mg....../m3. Results: MCS participants, compared with controls, reported greater perceived odor intensities, more unpleasantness to the exposure and increasing symptoms over time. MCS participants also expressed higher pulse rate and lower pulse rate variability than controls did. No group differences were...

  13. Large-Scale Fabrication of Boron Nitride Nanotubes via a Facile Chemical Vapor Reaction Route and Their Cathodoluminescence Properties

    Directory of Open Access Journals (Sweden)

    Zhong Bo

    2011-01-01

    Full Text Available Abstract Cylinder- and bamboo-shaped boron nitride nanotubes (BNNTs have been synthesized in large scale via a facile chemical vapor reaction route using ammonia borane as a precursor. The structure and chemical composition of the as-synthesized BNNTs are extensively characterized by X-ray diffraction, scanning electron microscopy, high-resolution transmission electron microscopy, and selected-area electron diffraction. The cylinder-shaped BNNTs have an average diameter of about 100 nm and length of hundreds of microns, while the bamboo-shaped BNNTs are 100–500 nm in diameter with length up to tens of microns. The formation mechanism of the BNNTs has been explored on the basis of our experimental observations and a growth model has been proposed accordingly. Ultraviolet–visible and cathodoluminescence spectroscopic analyses are performed on the BNNTs. Strong ultraviolet emissions are detected on both morphologies of BNNTs. The band gap of the BNNTs are around 5.82 eV and nearly unaffected by tube morphology. There exist two intermediate bands in the band gap of BNNTs, which could be distinguishably assigned to structural defects and chemical impurities. Additional file 1 Click here for file

  14. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  15. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  16. Limiting Size of Monolayer Graphene Flakes Grown on Silicon Carbide or via Chemical Vapor Deposition on Different Substrates

    Science.gov (United States)

    Alekseev, N. I.

    2018-05-01

    The maximum size of homogeneous monolayer graphene flakes that form during the high-temperature evaporation of silicon from a surface of SiC or during graphene synthesis via chemical vapor deposition is estimated, based on the theoretical calculations developed in this work. Conditions conducive to the fragmentation of a monolayer graphene sheet to form discrete fragments or terrace-type structures in which excess energy due to dangling bonds at the edges is compensated for by the lack of internal stress are indentified and described. The results from calculations for the sizes of graphene structures are compared with experimental findings for the most successful graphene syntheses reported in the literature.

  17. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  18. Vapor pressures and enthalpies of vaporization of azides

    International Nuclear Information System (INIS)

    Verevkin, Sergey P.; Emel'yanenko, Vladimir N.; Algarra, Manuel; Manuel Lopez-Romero, J.; Aguiar, Fabio; Enrique Rodriguez-Borges, J.; Esteves da Silva, Joaquim C.G.

    2011-01-01

    Highlights: → We prepared and measured vapor pressures and vaporization enthalpies of 7 azides. → We examined consistency of new and available in the literature data. → Data for geminal azides and azido-alkanes selected for thermochemical calculations. - Abstract: Vapor pressures of some azides have been determined by the transpiration method. The molar enthalpies of vaporization Δ l g H m of these compounds were derived from the temperature dependencies of vapor pressures. The measured data sets were successfully checked for internal consistency by comparison with vaporization enthalpies of similarly structured compounds.

  19. On the vapor-liquid equilibrium in hydroprocessing reactors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, J.; Munteanu, M.; Farooqi, H. [National Centre for Upgrading Technology, Devon, AB (Canada)

    2009-07-01

    When petroleum distillates undergo hydrotreating and hydrocracking, the feedstock and hydrogen pass through trickle-bed catalytic reactors at high temperatures and pressures with large hydrogen flow. As such, the oil is partially vaporized and the hydrogen is partially dissolved in liquid to form a vapor-liquid equilibrium (VLE) system with both vapor and liquid phases containing oil and hydrogen. This may result in considerable changes in flow rates, physical properties and chemical compositions of both phases. Flow dynamics, mass transfer, heat transfer and reaction kinetics may also be modified. Experimental observations of VLE behaviours in distillates with different feedstocks under a range of operating conditions were presented. In addition, VLE was predicted along with its effects on distillates in pilot and commercial scale plants. tabs., figs.

  20. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.